TW202320174A - Method of forming semiconductor structure - Google Patents

Method of forming semiconductor structure Download PDF

Info

Publication number
TW202320174A
TW202320174A TW111122820A TW111122820A TW202320174A TW 202320174 A TW202320174 A TW 202320174A TW 111122820 A TW111122820 A TW 111122820A TW 111122820 A TW111122820 A TW 111122820A TW 202320174 A TW202320174 A TW 202320174A
Authority
TW
Taiwan
Prior art keywords
layer
gate
semiconductor
type
dielectric
Prior art date
Application number
TW111122820A
Other languages
Chinese (zh)
Inventor
劉格成
劉昌淼
鄭銘龍
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202320174A publication Critical patent/TW202320174A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Multi-gate devices and methods for fabricating such are disclosed herein. An exemplary method includes forming a diffusion blocking layer on a semiconductor substrate; forming channel material layers over the diffusion blocking layer; patterning the semiconductor substrate, the channel material layers, and the diffusion blocking layer to form a trench in the semiconductor substrate, thereby defining an active region being adjacent the trench; filling the trench with a dielectric material layer and a solid doping source material layer containing a dopant; and driving the dopant from the solid doping source material layer to the active region, thereby forming an anti-punch-through (APT) feature in the active region.

Description

半導體結構的形成方法Formation method of semiconductor structure

本發明實施例一般關於積體電路裝置,更特別關於多閘極裝置如全繞式閘極裝置。Embodiments of the present invention relate generally to integrated circuit devices, and more particularly to multi-gate devices such as all-wound gate devices.

電子產業對更小且更快的電子裝置的需求持續成長,其可同時支援大量的複雜功能。為了符合這些需求,積體電路產業的持續趨勢為製造低成本、高效能、與低能耗的積體電路。達成這些目標的主要方法為減少積體電路尺寸(比如最小的積體電路結構尺寸),進而改善產能與降低相關成本。然而縮小尺寸亦增加積體電路製造製程的複雜度。因此為了實現積體電路裝置與其效能的持續進展,積體電路的製造製程與技術亦需類似進展。The electronics industry continues to demand smaller and faster electronic devices that can simultaneously support a large number of complex functions. In order to meet these demands, there is a continuing trend in the integrated circuit industry to manufacture low cost, high performance, and low power consumption integrated circuits. The main way to achieve these goals is to reduce the size of the integrated circuit (eg, the smallest structure size of the integrated circuit), thereby improving the yield and reducing the related cost. However, shrinking the size also increases the complexity of the integrated circuit manufacturing process. Therefore, in order to achieve continuous progress in integrated circuit devices and their performance, similar progress is required in the manufacturing process and technology of integrated circuits.

近來已導入多閘極裝置以改善閘極控制。多閘極裝置可增加閘極-通道耦合、減少關閉狀態電流、及/或降低短通道效應。這些多閘極裝置之一為全繞式閘極裝置(亦可視作多通道裝置),其包括堆疊的多個通道,且其閘極結構可部分或完全延伸於多個通道周圍以接觸通道區的至少兩側。全繞式閘極裝置可大幅縮小積體電路技術、維持閘極控制、緩解短通道效應、以及無縫整合至現有的積體電路製造製程。隨著全繞式閘極裝置持續縮小,產生多種挑戰。舉例來說,無法適當實施抗擊穿佈植以達所需效果,因為摻質擴散於通道區中(與其他問題)而劣化遷移率與其他裝置效能,特別是對高遷移率的通道而言。因此亟需積體電路結構與其製作方法解決上述問題。Recently, multi-gate devices have been introduced to improve gate control. Multiple gate devices can increase gate-channel coupling, reduce off-state current, and/or reduce short channel effects. One of these multi-gate devices is a full-wrap gate device (also known as a multi-channel device), which includes multiple channels stacked and whose gate structure can extend partially or completely around the multiple channels to contact the channel area at least two sides of the Wrap-around gate devices can greatly shrink IC technology, maintain gate control, mitigate short channel effects, and seamlessly integrate into existing IC manufacturing processes. As all-wrap-around gate devices continue to shrink, several challenges arise. For example, punch-through resistant implants cannot be properly implemented to achieve the desired effect because dopant diffusion in the channel region (among other issues) degrades mobility and other device performance, especially for high-mobility channels. Therefore, there is an urgent need for an integrated circuit structure and a manufacturing method thereof to solve the above-mentioned problems.

本發明一實施例提供半導體結構的形成方法。方法包括形成擴散阻擋層於半導體基板上;形成多個通道材料層於擴散阻擋層上;圖案化半導體基板、通道半導體層、與擴散阻擋層,以形成溝槽於半導體基板中,進而定義主動區而與溝槽相鄰;將介電材料層與含有摻質的固體摻雜源材料層填入溝槽;以及自固體摻雜源材料層驅動摻質至主動區中,進而形成抗擊穿結構於主動區中。An embodiment of the invention provides a method for forming a semiconductor structure. The method includes forming a diffusion barrier layer on a semiconductor substrate; forming a plurality of channel material layers on the diffusion barrier layer; patterning the semiconductor substrate, the channel semiconductor layer, and the diffusion barrier layer to form trenches in the semiconductor substrate, thereby defining an active region and adjacent to the trench; filling the trench with a dielectric material layer and a solid dopant source material layer containing dopant; and driving the dopant from the solid dopant source material layer into the active region, thereby forming an anti-breakdown structure in the trench. in the active zone.

本發明另一實施例提供半導體結構的形成方法。方法包括形成擴散阻擋層於半導體基板上;形成通道材料層於擴散阻擋層上;圖案化半導體基板、通道材料層、與擴散阻擋層,以形成溝槽於半導體基板中,進而定義鰭狀主動區以與溝槽相鄰;將介電材料層填入溝槽以形成隔離結構;使隔離結構凹陷,進而形成鰭狀結構於鰭狀主動區中;以及之後沉積硼矽酸鹽玻璃層於隔離結構上。Another embodiment of the invention provides a method for forming a semiconductor structure. The method includes forming a diffusion barrier layer on the semiconductor substrate; forming a channel material layer on the diffusion barrier layer; patterning the semiconductor substrate, the channel material layer, and the diffusion barrier layer to form trenches in the semiconductor substrate, and then defining fin-shaped active regions to be adjacent to the trench; filling the trench with a dielectric material layer to form an isolation structure; recessing the isolation structure to form a fin structure in the fin active region; and then depositing a borosilicate glass layer on the isolation structure superior.

本發明另一實施例提供半導體結構。半導體結構包括主動區,位於半導體基板中並自第一淺溝槽隔離結構跨到第二淺溝槽隔離結構;第一導電型態的多個通道層,位於半導體基板上,並跨越於第一側壁與第二側壁之間;閘極堆疊,形成於半導體基板上並延伸包覆每一通道層;以及含有第一摻質的第一導電型態的抗擊穿結構,其中抗擊穿結構位於閘極堆疊下,其中第一淺溝槽隔離結構與第二淺溝槽隔離結構各自包括含有第一摻質的固體摻雜源材料層,且其中第一淺溝槽隔離結構與第二淺溝槽隔離結構的上表面低於抗擊穿結構的上表面。Another embodiment of the present invention provides a semiconductor structure. The semiconductor structure includes an active region located in the semiconductor substrate and spanning from the first shallow trench isolation structure to the second shallow trench isolation structure; a plurality of channel layers of the first conductivity type located on the semiconductor substrate and spanning the first shallow trench isolation structure; between the sidewall and the second sidewall; a gate stack formed on the semiconductor substrate and extending to cover each channel layer; and an anti-breakdown structure of a first conductivity type containing a first dopant, wherein the anti-breakdown structure is located at the gate Under the stack, wherein the first shallow trench isolation structure and the second shallow trench isolation structure each include a solid dopant source material layer containing a first dopant, and wherein the first shallow trench isolation structure and the second shallow trench isolation The upper surface of the structure is lower than the upper surface of the breakdown resistant structure.

下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。The following detailed description can be accompanied by accompanying drawings to facilitate understanding of various aspects of the present invention. It is worth noting that various structures are used for illustration purposes only and are not drawn to scale, as is the norm in the industry. In fact, the dimensions of the various structures may be arbitrarily increased or decreased for clarity of illustration.

下述內容提供的不同實施例或實例可實施本發明的不同結構。本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。此外,下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構的實施例中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間,使結構及另一結構不直接接觸。Different embodiments or examples provided below may implement different configurations of the present invention. Multiple examples of the present invention may repeatedly use the same reference numerals for brevity, but elements with the same reference numerals in various embodiments and/or configurations do not necessarily have the same corresponding relationship. In addition, the following examples of specific components and arrangements are used to simplify the content of the present invention but not to limit the present invention. For example, a description of forming a first component on a second component includes an embodiment in which the two are in direct contact, or an embodiment in which the two are interposed by other additional components rather than in direct contact. Additionally, in embodiments of the present invention where a structure is formed on, connected to, and/or coupled to another structure, the structure may directly contact the other structure, or additional structures may be formed on the structure and another structure, so that the structure and another structure are not in direct contact.

此外,空間相對用語如「在…下方」、「下方」、「較低的」、「上方」、「較高的」、或類似用詞,用於描述圖式中一些元件或結構與另一元件或結構之間的關係。這些空間相對用語包括使用中或操作中的裝置之不同方向,以及圖式中所描述的方向。當裝置轉向不同方向時(旋轉90度或其他角度),則使用的空間相對形容詞也將依轉向後的方向來解釋。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5 nm」包含的尺寸範圍介於4.5 nm至5.5 nm之間。In addition, spatially relative terms such as "below", "beneath", "lower", "above", "higher", or similar terms are used to describe the relationship between some elements or structures in the drawings and other Relationships between elements or structures. These spatially relative terms include different orientations of the device in use or operation, as well as the orientation depicted in the drawings. When the device is turned to a different direction (rotated 90 degrees or other angles), the spatially relative adjectives used will also be interpreted according to the turned direction. Additionally, when values or ranges of values are described with "about," "approximately," or similar language, it includes +/-10% of the stated value unless otherwise specified. For example, the phrase "about 5 nm" includes a size range between 4.5 nm and 5.5 nm.

圖1A、1B、1C、及1D係本發明多種實施例中,製作多閘極裝置的方法100的流程圖。在一些實施例中,方法100製作的多閘極裝置包括n型全繞式閘極電晶體與p型全繞式閘極電晶體。步驟102可形成摻雜井如n型井與p型井於基板中。舉例來說,進行程序如沉積、微影製程、與蝕刻,以形成圖案化的遮罩覆蓋p型場效電晶體的區域,並採用p型摻質如硼進行佈植以形成p型摻雜井。接著類似地形成n型摻雜井於p型場效電晶體區中。舉例來說,進行程序如沉積、微影製程、與蝕刻,以形成圖案化的遮罩覆蓋n型場效電晶體區,並採用n型摻質如磷進行佈植以形成n型摻雜井。在其他實施例中,可先形成n型井,再以類似程序形成p型井。步驟104可形成擴散阻擋層於基板上。擴散阻擋層的組成與厚度可有效避免摻質擴散至通道層中。擴散阻擋層包括磊晶成長於基板上的半導體材料。步驟106形成半導體層堆疊於擴散阻擋層上。半導體層堆疊包括垂直堆疊且交錯設置的第一半導體層與第二半導體層。步驟108圖案化半導體層堆疊、擴散阻擋層、與基板,以形成溝槽並定義鰭狀主動區。步驟110形成淺溝槽隔離結構於溝槽中,其中淺溝槽隔離結構包括含摻質的固體介電材料層。固體介電材料層亦可視作固體摻雜源材料層。在所述實施例中,固體摻雜源材料層包括硼矽酸鹽玻璃層。步驟112將摻質驅入鰭狀主動區,以形成抗擊穿結構於其中。抗擊穿結構位於擴散阻擋層之下。1A, 1B, 1C, and 1D are flowcharts of a method 100 for fabricating a multi-gate device in various embodiments of the present invention. In some embodiments, the multi-gate device fabricated by the method 100 includes an n-type all-around gate transistor and a p-type all-around gate transistor. In step 102, doped wells such as n-type wells and p-type wells can be formed in the substrate. For example, processes such as deposition, lithography, and etching are performed to form a patterned mask covering the p-type field effect transistor region, and implanted with a p-type dopant such as boron to form a p-type dopant well. Then similarly form an n-type doped well in the p-type field effect transistor region. For example, processes such as deposition, lithography, and etching are performed to form a patterned mask covering the n-type field effect transistor region, and implanted with n-type dopants such as phosphorus to form n-type doped wells . In other embodiments, the n-type well can be formed first, and then the p-type well can be formed in a similar procedure. Step 104 can form a diffusion barrier layer on the substrate. The composition and thickness of the diffusion barrier layer can effectively prevent the dopant from diffusing into the channel layer. The diffusion barrier layer includes semiconductor material epitaxially grown on the substrate. Step 106 is to form a semiconductor layer stacked on the diffusion barrier layer. The semiconductor layer stack includes vertically stacked and alternately arranged first semiconductor layers and second semiconductor layers. Step 108 patterns the semiconductor layer stack, the diffusion barrier layer, and the substrate to form trenches and define fin-like active regions. Step 110 forms a shallow trench isolation structure in the trench, wherein the shallow trench isolation structure includes a dopant-containing solid dielectric material layer. The layer of solid dielectric material can also be regarded as the layer of solid dopant source material. In the described embodiment, the layer of solid dopant source material includes a layer of borosilicate glass. Step 112 drives dopants into the fin-shaped active region to form a breakdown-resistant structure therein. An anti-puncture structure is located below the diffusion barrier layer.

在多種實施例中,具有固體摻雜源材料層的淺溝槽隔離結構的形成方法可為步驟110。步驟110包括多個子步驟,如圖1B所示。步驟114形成介電襯墊於溝槽中,其形成方法可為沉積或熱氧化。步驟116形成固體摻雜源材料層於溝槽中的介電襯墊上。步驟118進一步將一或多種介電材料填入溝槽以形成淺溝槽隔離結構,其形成方法可為合適製程如沉積與化學機械研磨。步驟120以選擇性蝕刻使淺溝槽隔離結構凹陷,且形成對應的鰭狀物主動區以凸起高於淺溝槽隔離結構。In various embodiments, the method of forming a shallow trench isolation structure with a solid doped source material layer may be step 110 . Step 110 includes multiple sub-steps, as shown in FIG. 1B . Step 114 forms a dielectric liner in the trench by deposition or thermal oxidation. Step 116 forms a solid dopant source material layer on the dielectric liner in the trench. Step 118 further fills the trench with one or more dielectric materials to form a shallow trench isolation structure, which can be formed by a suitable process such as deposition and chemical mechanical polishing. Step 120 recesses the STI structure by selective etching and forms corresponding fin active regions to protrude above the STI structure.

一些其他實施例的步驟110如圖1C所示。步驟114形成介電襯墊於溝槽中。步驟122進一步將一或多種介電材料填入溝槽以形成淺溝槽隔離結構,其形成方法可為合適製程如沉積與化學機械研磨。步驟124以選擇性蝕刻使淺溝槽隔離結構凹陷,並形成鰭狀主動區以凸起高於淺溝槽隔離結構。步驟126形成固體摻雜源材料層於淺溝槽隔離結構上的製程可為沉積與蝕刻。在此實施例中,固體摻雜源材料層形成於淺溝槽隔離結構的上表面之上。隔離結構與固體摻雜源材料層可一起構成淺溝槽隔離結構。Step 110 of some other embodiments is shown in Figure 1C. Step 114 forms a dielectric liner in the trench. Step 122 further fills the trench with one or more dielectric materials to form a shallow trench isolation structure, which can be formed by a suitable process such as deposition and chemical mechanical polishing. Step 124 recesses the STI structure by selective etching, and forms a fin-like active region to protrude higher than the STI structure. The process of forming the solid dopant source material layer on the shallow trench isolation structure in step 126 may be deposition and etching. In this embodiment, a solid dopant source material layer is formed on the upper surface of the STI structure. The isolation structure and the solid dopant source material layer can form a shallow trench isolation structure together.

在步驟110形成淺溝槽隔離結構與步驟112自固體摻雜源材料層驅動摻質以形成抗擊穿結構於鰭狀主動區中之後,方法100接著進行後續步驟,如圖1D所示。步驟132形成覆層於鰭狀主動區的側壁上。在一些實施例中,覆層包括的半導體材料的組成,可與半導體層堆疊中的第二半導體層的組成類似。步驟134形成閘極結構於半導體層堆疊上。閘極結構包括虛置閘極堆疊與閘極間隔物。步驟136移除源極/汲極區中的半導體層堆疊的部分,以形成源極/汲極凹陷。步驟138沿著半導體層堆疊的第二半導體層的側壁形成內側間隔物。步驟140形成磊晶源極/汲極結構於源極/汲極凹陷中。步驟142形成層間介電層於磊晶源極/汲極結構上。步驟144移除虛置閘極堆疊,以形成閘極溝槽而露出閘極區中的半導體層堆疊。步驟146自閘極溝槽所露出的半導體層堆疊移除第一半導體層,以形成間隙於第二半導體層之間。步驟148形成金屬閘極於第二半導體層周圍的閘極溝槽中。金屬閘極填入第二半導體層之間的間隙,並包覆第二半導體層。金屬閘極包括閘極介電層與閘極。方法100的步驟150接著形成接點。本發明實施例可實施額外製程。在方法100之前、之中、與之後可提供額外步驟,且方法100的額外實施例可調換、置換、或省略一些所述步驟。下述說明奈米線為主的積體電路裝置的多種實施例,其製作方法可依據方法100。After forming the shallow trench isolation structure in step 110 and driving dopants from the solid doped source material layer in step 112 to form a breakdown-resistant structure in the fin-shaped active region, the method 100 proceeds to subsequent steps, as shown in FIG. 1D . Step 132 forms a capping layer on sidewalls of the fin-like active region. In some embodiments, the cladding layer may comprise a semiconductor material having a composition similar to that of the second semiconductor layer in the semiconductor layer stack. Step 134 forms a gate structure on the semiconductor layer stack. The gate structure includes dummy gate stacks and gate spacers. Step 136 removes portions of the semiconductor layer stack in the source/drain regions to form source/drain recesses. Step 138 forms inner spacers along sidewalls of the second semiconductor layer of the semiconductor layer stack. Step 140 forms epitaxial source/drain structures in the source/drain recesses. Step 142 forms an interlayer dielectric layer on the epitaxial source/drain structure. Step 144 removes the dummy gate stack to form a gate trench exposing the semiconductor layer stack in the gate region. Step 146 removes the first semiconductor layer from the stack of semiconductor layers exposed by the gate trenches to form gaps between the second semiconductor layers. Step 148 forms a metal gate in the gate trench around the second semiconductor layer. The metal gate fills the gap between the second semiconductor layers and covers the second semiconductor layers. The metal gate includes a gate dielectric layer and a gate. Step 150 of method 100 then forms contacts. Embodiments of the present invention may implement additional processes. Additional steps may be provided before, during, and after method 100, and additional embodiments of method 100 may swap, replace, or omit some of the described steps. Various embodiments of nanowire-based integrated circuit devices, which may be fabricated according to the method 100 , are described below.

圖2A至17A、圖2B至17B、圖2C至17C、與圖2D至17D係本發明多種實施例中,多閘極裝置200的部分或整體於多種製作階段(如圖1A、1B、1C、及1D中的方法100相關的製作階段)的部分圖式。具體而言,圖2A至4A與圖7A至17A係多閘極裝置200於X-Y平面的上視圖。圖2B至4B與圖7B至17B係多閘極裝置200分別沿著圖2A至4A與圖7A至17A的剖線B-B’的剖視圖(在X-Z平面中)。圖2C至4C與圖7C至17C係多閘極裝置200分別沿著圖2A至4A與圖7A至17A的剖線C-C’的剖視圖(在Y-Z平面中)。圖2D至4D與圖7D至17D係多閘極裝置200分別沿著圖2A至4A與圖7A至17A的剖線D-D’的剖視圖(在Y-Z平面中)。圖5A、5B、5C、及5D係一些實施例中,多閘極裝置200於多種製作階段沿著圖4A的剖線B-B’的剖視圖(在X-Z平面中)。圖6A、6B、6C、及6D係一些實施例中,多閘極裝置200於多種製作階段沿著圖4A的剖線B-B’的剖視圖(在X-Z平面中)。多閘極裝置200可包含於微處理器、記憶體、及/或其他積體電路裝置中。在一些實施例中,多閘極裝置200為積體電路晶片、單晶片系統、或其部分的一部分,且可包括多種被動與主動半導體裝置如電阻、電容器、電感、二極體、p型場效電晶體、n型場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極接面電晶體、橫向擴散金氧半電晶體、高電壓電晶體、高頻電晶體、其他合適構件、或上述之組合。在一些實施例中,多閘極裝置200包含於非揮發性記憶體如非揮發性隨機存取記憶體、快閃記憶體、電可抹除可程式化唯讀記憶體、電可程式化唯讀記憶體、其他合適記憶體種類、或上述之組合中。已簡化圖2A至17A、圖2B至17B、圖2C至17C、與圖2D至17D以利理解本發明實施例的發明概念。可添加額外結構至多閘極裝置200中,且多閘極裝置200的其他實施例可置換、調整、或省略一些下述結構。Figures 2A to 17A, Figures 2B to 17B, Figures 2C to 17C, and Figures 2D to 17D are various embodiments of the present invention, part or whole of a multi-gate device 200 in various manufacturing stages (as shown in Figures 1A, 1B, 1C, and 100 of the method 100 in 1D). Specifically, FIGS. 2A to 4A and FIGS. 7A to 17A are top views of the multi-gate device 200 on the X-Y plane. 2B to 4B and FIGS. 7B to 17B are cross-sectional views (in the X-Z plane) of the multi-gate device 200 along the section line B-B' of FIGS. 2A to 4A and FIGS. 7A to 17A, respectively. FIGS. 2C to 4C and FIGS. 7C to 17C are cross-sectional views (in the Y-Z plane) of the multi-gate device 200 along the line C-C' of FIGS. 2A to 4A and FIGS. 7A to 17A, respectively. 2D to 4D and FIGS. 7D to 17D are cross-sectional views (in the Y-Z plane) of the multi-gate device 200 along the section line D-D' of FIGS. 2A to 4A and FIGS. 7A to 17A respectively. 5A, 5B, 5C, and 5D are cross-sectional views (in the X-Z plane) of the multi-gate device 200 along the line B-B' of FIG. 4A at various fabrication stages in some embodiments. 6A, 6B, 6C, and 6D are cross-sectional views (in the X-Z plane) of the multi-gate device 200 along the line B-B' of FIG. 4A at various fabrication stages in some embodiments. The multi-gate device 200 may be included in a microprocessor, memory, and/or other integrated circuit devices. In some embodiments, the multi-gate device 200 is a part of an integrated circuit chip, a monolithic system, or a portion thereof, and may include various passive and active semiconductor devices such as resistors, capacitors, inductors, diodes, p-type field Effect transistors, n-type field effect transistors, metal oxide semiconductor field effect transistors, complementary metal oxide semiconductor transistors, bipolar junction transistors, laterally diffused metal oxide semiconductor transistors, high voltage transistors, high frequency transistors , other suitable components, or a combination of the above. In some embodiments, the multi-gate device 200 is included in non-volatile memory such as non-volatile random access memory, flash memory, electrically erasable programmable read-only memory, electrically programmable Read memory, other suitable types of memory, or a combination of the above. 2A to 17A, 2B to 17B, 2C to 17C, and 2D to 17D have been simplified to facilitate understanding of the inventive concepts of the embodiments of the present invention. Additional structures may be added to the multi-gate device 200, and other embodiments of the multi-gate device 200 may substitute, modify, or omit some of the structures described below.

如圖2A至2D所示,多閘極裝置200包括基板(晶圓)202。在所述實施例中,基板202包括矽。基板202可額外或替代地包含另一半導體元素如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。基板202可改為絕緣層上半導體基板,比如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。絕緣層上半導體基板的製作方法可採用分離佈植氧、晶圓接合、及/或其他合適方法。基板202可包括多種摻雜區,端視多閘極裝置200的設計需求而定。在所述實施例中,基板202包括p型摻雜區如p型井203A (其可設置為用於n型全繞式閘極電晶體),以及n型摻雜區如n型井203B (其可設置為用於p型全繞式閘極電晶體)。n型摻雜區如n型井203B可摻雜n型摻質如磷、砷、其他n型摻質、或上述之組合。p型摻雜區如p型井203A可摻雜p型摻質如硼、銦、其他p型摻質、或上述之組合。在一些實施方式中,基板202包括的摻雜區具有p型摻質與n型摻質的組合。舉例來說,多種摻雜區可直接形成於基板202之上及/或之中,以提供p型井結構、n型井結構、雙井結構、隆起結構、或上述之組合。可進行離子佈植製程、擴散製程、及/或其他合適的摻雜製程,以形成多種摻雜區。在一些實施例中,p型井203A與n型井203B的形成方法可為離子佈植。舉例來說,可形成第一圖案化遮罩,其形成方法可為沉積與微影製程,且可具有第一開口對應p型井203A的區域;進行第一離子佈植製程,經由第一開口將p型摻質導入基板202;之後移除第一圖案化遮罩;形成第二圖案化遮罩,其具有第二開口以對應n型井203B所用的區域;進行第二離子佈植製程,經由第二開口將n型摻質導入基板202;以及之後移除第二圖案化遮罩。As shown in FIGS. 2A to 2D , the multi-gate device 200 includes a substrate (wafer) 202 . In the depicted embodiment, substrate 202 includes silicon. The substrate 202 may additionally or alternatively comprise another semiconductor element such as germanium; a semiconductor compound such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; a semiconductor alloy such as silicon germanium, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaAsP; or combinations thereof. The substrate 202 can be changed to a semiconductor-on-insulator substrate, such as a silicon-on-insulator substrate, a silicon-germanium-on-insulator substrate, or a germanium-on-insulator substrate. The fabrication method of the semiconductor-on-insulator substrate may adopt separation and implantation of oxygen, wafer bonding, and/or other suitable methods. The substrate 202 may include various doped regions, depending on the design requirements of the multi-gate device 200 . In the illustrated embodiment, the substrate 202 includes a p-type doped region such as a p-type well 203A (which may be configured for an n-type all-around gate transistor), and an n-type doped region such as an n-type well 203B ( It can be configured for a p-type all-wound gate transistor). The n-type doped region such as the n-type well 203B can be doped with n-type dopants such as phosphorus, arsenic, other n-type dopants, or combinations thereof. The p-type doped region such as the p-type well 203A can be doped with p-type dopants such as boron, indium, other p-type dopants, or combinations thereof. In some embodiments, the substrate 202 includes doped regions having a combination of p-type dopants and n-type dopants. For example, various doped regions can be formed directly on and/or in the substrate 202 to provide p-well structures, n-type well structures, dual well structures, raised structures, or combinations thereof. Ion implantation process, diffusion process, and/or other suitable doping processes can be performed to form various doped regions. In some embodiments, the p-type well 203A and the n-type well 203B can be formed by ion implantation. For example, a first patterned mask can be formed, which can be formed by deposition and lithography processes, and can have a first opening corresponding to the region of the p-type well 203A; perform a first ion implantation process, through the first opening introducing p-type dopants into the substrate 202; then removing the first patterned mask; forming a second patterned mask with a second opening corresponding to the area used by the n-type well 203B; performing a second ion implantation process, introducing n-type dopants into the substrate 202 through the second opening; and then removing the second patterned mask.

擴散阻擋層204形成於基板202上,其中擴散阻擋層204的組成與厚度設計為在後續階段形成抗擊穿結構的步驟時,可有效阻擋摻質擴散。在所述實施例中,擴散阻擋層204為半導體層。在所述實施例中,擴散阻擋層204為矽鍺層。具有合適鍺濃度與足夠厚度的矽鍺可阻擋硼擴散。舉例來說,可磊晶成長矽鍺於基板202上,以形成擴散阻擋層204。在一些實施例中,擴散阻擋層204的磊晶成長方法可為分子束磊晶製程、化學氣相沉積製程、有機金屬化學氣相沉積製程、其他合適的磊晶成長製程、或上述之組合。The diffusion barrier layer 204 is formed on the substrate 202 , wherein the composition and thickness of the diffusion barrier layer 204 are designed to effectively block dopant diffusion during the step of forming an anti-breakdown structure in a subsequent stage. In the depicted embodiment, the diffusion barrier layer 204 is a semiconductor layer. In the illustrated embodiment, the diffusion barrier layer 204 is a SiGe layer. SiGe with proper germanium concentration and sufficient thickness can block boron diffusion. For example, silicon germanium can be epitaxially grown on the substrate 202 to form the diffusion barrier layer 204 . In some embodiments, the epitaxial growth method of the diffusion barrier layer 204 may be molecular beam epitaxy, chemical vapor deposition, metalorganic chemical vapor deposition, other suitable epitaxial growth processes, or a combination thereof.

半導體層堆疊205形成於擴散阻擋層204上,其中半導體層堆疊205包括自基板202的表面垂直堆疊(如沿著z方向)且交錯設置的半導體層210與半導體層215。在一些實施例中,以交錯設置的方式磊晶成長半導體層210與半導體層215。舉例來說,磊晶成長第一個半導體層210於基板上、磊晶成長第一個半導體層215於第一個半導體層210上、磊晶成長第二個半導體層210於第一個半導體層215上、以此類推,直到半導體層堆疊205具有所需數目的半導體層210與半導體層215。在這些實施例中,半導體層210與半導體層215可視作磊晶層。在一些實施例中,磊晶成長半導體層210與半導體層215的方法可為分子束磊晶製程、化學氣相沉積製程、有機金屬化學氣相沉積製程、其他合適的磊晶成長製程、或上述之組合。The semiconductor layer stack 205 is formed on the diffusion barrier layer 204 , wherein the semiconductor layer stack 205 includes semiconductor layers 210 and semiconductor layers 215 vertically stacked from the surface of the substrate 202 (eg, along the z-direction) and arranged alternately. In some embodiments, the semiconductor layer 210 and the semiconductor layer 215 are epitaxially grown in a staggered manner. For example, the epitaxial growth of the first semiconductor layer 210 on the substrate, the epitaxial growth of the first semiconductor layer 215 on the first semiconductor layer 210, the epitaxial growth of the second semiconductor layer 210 on the first semiconductor layer 215 , and so on, until the semiconductor layer stack 205 has the required number of semiconductor layers 210 and semiconductor layers 215 . In these embodiments, the semiconductor layer 210 and the semiconductor layer 215 can be regarded as epitaxial layers. In some embodiments, the epitaxial growth method of the semiconductor layer 210 and the semiconductor layer 215 can be molecular beam epitaxy process, chemical vapor deposition process, metalorganic chemical vapor deposition process, other suitable epitaxial growth process, or the above-mentioned combination.

半導體層210與半導體層215的組成不同,以在後續製程中達到蝕刻選擇性及/或不同的氧化速率。在一些實施例中,半導體層210對蝕刻劑具有第一蝕刻速率,半導體層215對蝕刻劑具有第二蝕刻速率,且第二蝕刻速率大於第一蝕刻速率。在一些實施例中,半導體層210具有第一氧化速率,半導體層215具有第二氧化速率,且第二氧化速率大於第一氧化速率。在所述實施例中,半導體層210與半導體層215包括不同材料、組成原子%、組成重量%、厚度、及/或其他特性,以在蝕刻製程(如形成懸空通道層於多閘極裝置200的通道區中的蝕刻製程)時達到所需的蝕刻選擇性。舉例來說,當半導體層210包括矽而半導體層215包括矽鍺時,半導體層210的矽蝕刻速率小於半導體層215的矽鍺蝕刻速率。在一些實施例中,半導體層210與半導體層215可包括相同材料但不同的組成原子%,以達蝕刻選擇性及/或不同的氧化速率。舉例來說,半導體層210與半導體層215可包括矽鍺,其中半導體層210具有第一矽原子%及/或第一鍺原子%,而半導體層215具有不同的第二矽原子%與不同的第二鍺源子%。本發明實施例的半導體層210與半導體層215可包括任何半導體材料的組合,其可提供所需的蝕刻選擇性、所需的氧化速率差異、及/或所需的效能特性(比如最大化電流的材料),且可包括此處所述的任何半導體材料。The composition of the semiconductor layer 210 and the semiconductor layer 215 are different to achieve etching selectivity and/or different oxidation rates in subsequent processes. In some embodiments, the semiconductor layer 210 has a first etch rate to the etchant, the semiconductor layer 215 has a second etch rate to the etchant, and the second etch rate is greater than the first etch rate. In some embodiments, the semiconductor layer 210 has a first oxidation rate, the semiconductor layer 215 has a second oxidation rate, and the second oxidation rate is greater than the first oxidation rate. In the described embodiment, the semiconductor layer 210 and the semiconductor layer 215 include different materials, composition atomic %, composition weight %, thickness, and/or other characteristics, so as to be used in the etching process (such as forming the floating channel layer in the multi-gate device 200 The etch process in the channel region) achieves the desired etch selectivity. For example, when the semiconductor layer 210 includes silicon and the semiconductor layer 215 includes silicon germanium, the silicon etching rate of the semiconductor layer 210 is lower than the silicon germanium etching rate of the semiconductor layer 215 . In some embodiments, the semiconductor layer 210 and the semiconductor layer 215 may include the same material but different atomic % to achieve etch selectivity and/or different oxidation rates. For example, the semiconductor layer 210 and the semiconductor layer 215 may comprise silicon germanium, wherein the semiconductor layer 210 has a first silicon atomic % and/or a first germanium atomic %, and the semiconductor layer 215 has a different second silicon atomic % and a different The second source of germanium %. The semiconductor layer 210 and the semiconductor layer 215 of embodiments of the present invention may comprise any combination of semiconductor materials that can provide desired etch selectivity, desired oxidation rate differential, and/or desired performance characteristics (such as maximizing current flow). materials), and may include any of the semiconductor materials described herein.

如下所述,半導體層210或其部分可形成多閘極裝置200的通道區。在所述實施例中,半導體層堆疊205包括四個半導體層210與四個半導體層215,其設置以形成半導體層堆疊於擴散阻擋層204上。在進行後續製程之後,此設置將造成多閘極裝置200具有四個通道。然而本發明實施例的半導體層堆疊205可包括更多或更少的半導體層,端視多閘極裝置200 (如全繞式閘極電晶體)所需的通道數目及/或多閘極裝置的設計需求而定。舉例來說,半導體層堆疊205可包括二至十個半導體層210與二至十個半導體層215。在所述實施例中,半導體層210具有厚度t1,半導體層215具有厚度t2,且厚度t1與厚度t2的選擇依據多閘極裝置200的製作及/或裝置效能考量。舉例來說,厚度t2可設置以定義多閘極裝置200的相鄰通道之間所需的距離(或間隙),厚度t1可設置以達多閘極裝置200的通道所需的厚度,且厚度t1與厚度t2均可設置以達多閘極裝置200所需的效能。在一些實施例中,厚度t1與厚度t2為約2 nm至約12 nm。在一些實施例中,厚度t1為約9 nm至約11 nm,而厚度t2為約5 nm至約7 nm。在一些實施例中,半導體層215的鍺濃度小於25原子%。As described below, the semiconductor layer 210 or portions thereof may form the channel region of the multi-gate device 200 . In the illustrated embodiment, the semiconductor layer stack 205 includes four semiconductor layers 210 and four semiconductor layers 215 disposed on the diffusion barrier layer 204 to form a semiconductor layer stack. After subsequent processing, this configuration will result in the multi-gate device 200 having four channels. However, the semiconductor layer stack 205 of the embodiment of the present invention may include more or fewer semiconductor layers, depending on the number of channels required by the multi-gate device 200 (such as a fully-wound gate transistor) and/or the number of channels required by the multi-gate device. Depends on the design requirements. For example, the semiconductor layer stack 205 may include two to ten semiconductor layers 210 and two to ten semiconductor layers 215 . In the embodiment, the semiconductor layer 210 has a thickness t1, and the semiconductor layer 215 has a thickness t2, and the thickness t1 and the thickness t2 are selected according to the fabrication of the multi-gate device 200 and/or device performance considerations. For example, the thickness t2 can be set to define the desired distance (or gap) between adjacent channels of the multi-gate device 200, the thickness t1 can be set to achieve the desired thickness of the channels of the multi-gate device 200, and the thickness Both t1 and thickness t2 can be set to achieve the desired performance of the multi-gate device 200 . In some embodiments, the thickness t1 and the thickness t2 are about 2 nm to about 12 nm. In some embodiments, thickness t1 is about 9 nm to about 11 nm, and thickness t2 is about 5 nm to about 7 nm. In some embodiments, the germanium concentration of semiconductor layer 215 is less than 25 atomic percent.

擴散阻擋層204與半導體層堆疊205的半導體層的半導體材料不同,特別因為個別功能而與半導體層210與半導體層215的組成及厚度不同,如上所述。舉例來說,半導體層210包括矽而半導體層215包括矽鍺時,擴散阻擋層204包括矽鍺但鍺濃度、厚度、或上述兩者不同以有效阻擋擴散。具體而言,擴散阻擋層204的鍺濃度大於半導體層215的鍺濃度,且擴散阻擋層204的厚度可進一步大於半導體層215各自的厚度。在一些例子中,擴散阻擋層204的鍺濃度介於約25原子%至約50原子%之間,且其厚度t3介於約10 nm至約15 nm之間。在一些例子中,擴散阻擋層204的鍺濃度大於25原子%,且其厚度t3大於8 nm。The diffusion barrier layer 204 differs from the semiconductor material of the semiconductor layers of the semiconductor layer stack 205 , in particular from the composition and thickness of the semiconductor layer 210 and the semiconductor layer 215 due to individual functions, as described above. For example, when the semiconductor layer 210 includes silicon and the semiconductor layer 215 includes silicon germanium, the diffusion barrier layer 204 includes silicon germanium but the germanium concentration, thickness, or both are different to effectively block diffusion. Specifically, the germanium concentration of the diffusion barrier layer 204 is greater than that of the semiconductor layer 215 , and the thickness of the diffusion barrier layer 204 may be further greater than the respective thicknesses of the semiconductor layers 215 . In some examples, the germanium concentration of the diffusion barrier layer 204 is between about 25 atomic % and about 50 atomic %, and the thickness t3 is between about 10 nm and about 15 nm. In some examples, the germanium concentration of the diffusion barrier layer 204 is greater than 25 atomic %, and its thickness t3 is greater than 8 nm.

如圖3A至3D所示,圖案化半導體層堆疊205以形成鰭狀物218A與鰭狀物218B (亦可視作鰭狀結構、鰭狀物單元、或類似結構)。可一起圖案化擴散阻擋層204與半導體層堆疊205。鰭狀物218A及218B包括基板部分(如基板202的一部分)、擴散阻擋層部分(如擴散阻擋層204的一部分)、與半導體層堆疊部分(如含半導體層210與半導體層215的半導體層堆疊205的保留部分)。鰭狀物218A及218B沿著y方向彼此實質上平行,且具有定義於y方向中的長度、定義於x方向中的寬度、與定義於z方向中的高度。在一些實施方式中,可進行微影及/或蝕刻製程以圖案化半導體層堆疊205而形成鰭狀物218A及218B。微影製程可包括形成光阻層於半導體層堆疊205上(比如旋轉塗佈)、進行曝光前烘烤製程、採用光罩進行曝光製程、進行曝光後烘烤製程、以及進行顯影製程。在曝光製程時,可由射線能量如紫外光、深紫外光、或極紫外光照射光阻層,其中光罩阻擋、穿透、及/或反射射線至光阻層,端視光罩的光罩圖案及/或光罩種類(比如二元光罩、相移光罩、或及紫外光光罩)而定,使投射於光阻層上的影像對應光罩圖案。由於光阻層對射線能量敏感,光阻層的曝光部分將產生化學變化,且顯影製程時可溶解光阻層的曝光部分(或未曝光部分),端視光阻層的特性與顯影製程中所用的顯影溶液的特性而定。在顯影之後,圖案化的光阻層包括光阻圖案以對應光罩。蝕刻製程可採用圖案化光阻層作為蝕刻遮罩,並移除半導體層堆疊205的部分。在一些實施例中,形成圖案化光阻層於半導體層堆疊205上的硬遮罩層之上,以第一蝕刻製程移除硬遮罩層的部分而形成圖案化硬遮罩層,並採用圖案化硬遮罩層作為蝕刻遮罩且以第二蝕刻製程移除半導體層堆疊205的部分。蝕刻製程可包括乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程為反應性離子蝕刻製程。舉例來說,蝕刻製程之後可移除圖案化的光阻層(在一些實施例中亦可移除硬遮罩層),且移除方法可為光阻剝除製程或其他合適製程。鰭狀物218A及218B的形成方法可改用多重圖案化製程,比如雙重圖案化製程(如微影-蝕刻-微影-蝕刻製程、自對準雙重圖案化製程、間隔物為介電層的自對準雙重圖案化製程、其他雙重圖案化製程、或上述之組合)、三重圖案化製程(如微影-蝕刻-微影-蝕刻-微影-蝕刻製程、自對準三重圖案化製程、其他三重圖案化製程、或上述之組合)、其他多重圖案化製程(比如自對準四重圖案化製程)、或上述之組合。在一些實施例中,圖案化半導體層堆疊205時可實施定向自組裝技術。此外,一些實施例的曝光製程可實施無光罩微影、電子束寫入、及/或離子束寫入以圖案化光阻層。As shown in FIGS. 3A-3D , the semiconductor layer stack 205 is patterned to form fins 218A and 218B (also referred to as fin structures, fin cells, or similar structures). The diffusion barrier layer 204 may be patterned together with the semiconductor layer stack 205 . Fins 218A and 218B include a substrate portion (such as a portion of substrate 202 ), a diffusion barrier layer portion (such as a portion of diffusion barrier layer 204 ), and a semiconductor layer stack portion (such as a semiconductor layer stack including semiconductor layer 210 and semiconductor layer 215 ). 205 reserved). Fins 218A and 218B are substantially parallel to each other along the y-direction, and have a length defined in the y-direction, a width defined in the x-direction, and a height defined in the z-direction. In some embodiments, a lithography and/or etching process may be performed to pattern the semiconductor layer stack 205 to form the fins 218A and 218B. The lithography process may include forming a photoresist layer on the semiconductor layer stack 205 (such as spin coating), performing a pre-exposure bake process, performing an exposure process using a mask, performing a post-exposure bake process, and performing a development process. During the exposure process, the photoresist layer can be irradiated with radiation energy such as ultraviolet light, deep ultraviolet light, or extreme ultraviolet light, wherein the photomask blocks, penetrates, and/or reflects the radiation to the photoresist layer, and the end view is the photomask pattern of the photomask And/or the type of photomask (such as binary photomask, phase shift photomask, or UV photomask), so that the image projected on the photoresist layer corresponds to the photomask pattern. Since the photoresist layer is sensitive to radiation energy, the exposed part of the photoresist layer will undergo chemical changes, and the exposed part (or unexposed part) of the photoresist layer can be dissolved during the development process, depending on the characteristics of the photoresist layer and the development process. Depends on the properties of the developing solution used. After development, the patterned photoresist layer includes a photoresist pattern corresponding to the photomask. The etch process may use the patterned photoresist layer as an etch mask and remove portions of the semiconductor layer stack 205 . In some embodiments, a patterned photoresist layer is formed on the hard mask layer on the semiconductor layer stack 205, a portion of the hard mask layer is removed by a first etching process to form a patterned hard mask layer, and the patterned hard mask layer is formed using The hard mask layer is patterned as an etch mask and a portion of the semiconductor layer stack 205 is removed in a second etch process. The etching process may include a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. In some embodiments, the etching process is a reactive ion etching process. For example, the patterned photoresist layer (and in some embodiments, the hard mask layer) may be removed after the etch process, and the removal method may be a photoresist stripping process or other suitable processes. The formation method of the fins 218A and 218B can be changed to a multiple patterning process, such as a double patterning process (such as a lithography-etching-lithography-etching process, a self-aligned double patterning process, and a spacer that is a dielectric layer. Self-aligned double patterning process, other double patterning process, or a combination of the above), triple patterning process (such as lithography-etching-lithography-etching-lithography-etching process, self-aligned triple patterning process, other triple patterning processes, or combinations of the above), other multiple patterning processes (such as self-aligned quadruple patterning processes), or combinations of the above. In some embodiments, directed self-assembly techniques may be implemented when patterning the semiconductor layer stack 205 . In addition, the exposure process of some embodiments may implement maskless lithography, e-beam writing, and/or ion beam writing to pattern the photoresist layer.

含有固體摻雜源材料層的隔離結構230形成於基板202之上及/或之中,以隔離多閘極裝置200的多種區域如多種裝置區。舉例來說,隔離結構230圍繞鰭狀物218A及218B的底部,因此隔離結構230使鰭狀物218A及218B彼此分開並隔離。在所述實施例中,隔離結構230圍繞鰭狀物218A及218B的基板部分(比如基板202的摻雜區如p型井203A與n型井203B),且部分圍繞鰭狀物218A及218B的半導體層堆疊部分(如最底部的半導體層210的一部分)。然而本發明實施例相對於鰭狀物218A及218B可實施不同設置的隔離結構230。隔離結構230包括氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(比如含矽、氧、氮、碳、或其他合適的隔離組成)、或上述之組合。隔離結構230可包括不同結構,比如淺溝槽隔離結構或深溝槽隔離結構。舉例來說,隔離結構230可包括淺溝槽隔離結構,其可定義並電性隔離鰭狀物218A及218B與其他主動裝置區(如鰭狀物)及/或被動裝置區。淺溝槽隔離結構的形成方法,可為蝕刻溝槽於基板202中以定義鰭狀主動區(如上所述,比如採用乾蝕刻製程及/或濕蝕刻製程),並將絕緣材料填入溝槽(比如採用化學氣相沉積製程或旋轉塗佈玻璃製程)。可進行化學機械研磨製程以移除多餘的絕緣材料,及/或平坦化隔離結構230的上表面。接著進行回蝕刻製程以選擇性蝕刻絕緣材料層而形成隔離結構230。在一些實施例中,淺溝槽隔離結構包括多層結構以填入溝槽,比如含氮化矽的層狀物位於含熱氧化物的襯墊層上。在另一例中,淺溝槽隔離結構包括介電層位於摻雜襯墊層(包括硼矽酸鹽玻璃或磷矽酸鹽玻璃)上。在又一例中,淺溝槽隔離結構包括基體介電層位於襯墊介電層上,其中基體介電層與襯墊介電層包括的材料端視設計需求而定。具體而言,隔離結構230包括固體摻雜源材料層,以作為擴散摻質至鰭狀主動區而形成抗擊穿結構於其中的來源。圍繞鰭狀主動區如鰭狀物218A及218B的隔離結構230的組成與結構可不同,因為固體摻雜源材料的需求不同。因此可分別形成圍繞鰭狀主動區如鰭狀物218A及218B的隔離結構,其可分別視作隔離結構230A及230B,如圖4A至4D所示。形成隔離結構230A及230B的方法將詳述於多種實施例中。An isolation structure 230 containing a solid dopant source material layer is formed on and/or in the substrate 202 to isolate various regions of the multi-gate device 200 such as various device regions. For example, isolation structure 230 surrounds the bottoms of fins 218A and 218B, so isolation structure 230 separates and isolates fins 218A and 218B from each other. In the illustrated embodiment, isolation structure 230 surrounds substrate portions of fins 218A and 218B (eg, doped regions of substrate 202 such as p-well 203A and n-type well 203B), and partially surrounds fins 218A and 218B. A portion of the semiconductor layer stack (eg, a portion of the bottommost semiconductor layer 210 ). However, embodiments of the present invention may implement different arrangements of the isolation structures 230 with respect to the fins 218A and 218B. The isolation structure 230 includes silicon oxide, silicon nitride, silicon oxynitride, other suitable isolation materials (such as containing silicon, oxygen, nitrogen, carbon, or other suitable isolation compositions), or a combination thereof. The isolation structure 230 may include different structures, such as a shallow trench isolation structure or a deep trench isolation structure. For example, isolation structure 230 may include a shallow trench isolation structure that may define and electrically isolate fins 218A and 218B from other active device regions (eg, fins) and/or passive device regions. The formation method of the shallow trench isolation structure may be to etch a trench in the substrate 202 to define a fin-like active region (as described above, such as using a dry etching process and/or a wet etching process), and filling the trench with an insulating material (eg chemical vapor deposition process or spin-on-glass process). A chemical mechanical polishing process may be performed to remove excess insulating material and/or planarize the top surface of the isolation structure 230 . Then an etch-back process is performed to selectively etch the insulating material layer to form the isolation structure 230 . In some embodiments, the STI structure includes a multi-layer structure to fill the trench, such as a silicon nitride-containing layer on a thermal oxide-containing liner layer. In another example, the shallow trench isolation structure includes a dielectric layer on a doped liner layer including borosilicate glass or phosphosilicate glass. In yet another example, the shallow trench isolation structure includes a base dielectric layer located on the liner dielectric layer, wherein the materials included in the base dielectric layer and the liner dielectric layer depend on design requirements. Specifically, the isolation structure 230 includes a solid dopant source material layer to serve as a source for diffusing dopants into the fin-shaped active region to form a breakdown-resistant structure therein. The composition and structure of the isolation structure 230 surrounding the fin-shaped active regions such as the fins 218A and 218B can be different because of the different requirements of the solid dopant source material. Therefore, isolation structures surrounding the fin-shaped active regions such as fins 218A and 218B can be formed, respectively, which can be regarded as isolation structures 230A and 230B, respectively, as shown in FIGS. 4A to 4D . The method of forming the isolation structures 230A and 230B will be described in various embodiments.

如圖5A至5D與圖1B所示的一些實施例,步驟110形成隔離結構230,且步驟112驅動摻質至鰭狀主動區中,以形成抗擊穿結構。隔離結構230A形成於具有p型井203A且將形成n型場效電晶體的區域中,如圖5A所示。隔離結構230A包括襯墊232、固體摻雜源材料層234、與填充介電材料層236。在所述實施例中,襯墊232包括氧化物材料如氧化矽,其形成方法可為沉積、熱氧化、或其他合適方法。在一些例子中,襯墊232的厚度可介於2 nm至5 nm之間。固體摻雜源材料層234為介電材料,其包含所需摻質如硼。在所述實施例中,固體摻雜源材料層234包括硼矽酸鹽玻璃,其形成方法可為沉積如化學氣相沉積或其他合適方法。固體摻雜源材料層234的硼濃度大到足以在後續階段中有效地形成抗擊穿結構。在一些實施例中,固體摻雜源材料層234的硼濃度可介於1x1021 原子/cm 3至1x10 22原子/cm 3之間。固體摻雜源材料層234的厚度可介於5 nm至50 nm之間。填充介電材料層236包括介電材料如氧化矽、低介電常數的介電材料、其他合適的介電材料、或上述之組合。填充介電材料層236的形成方法可為沉積如化學氣相沉積、可流動的化學氣相沉積、其他合適方法、或上述之組合。在形成襯墊232、固體摻雜源材料層234、與填充介電材料層236之後,可進行化學機械研磨製程以移除鰭狀物主動區的頂部上的這些材料並平坦化上表面,進而形成隔離結構230A。之後可對這些材料(如襯墊232、固體摻雜源材料層234、與填充介電材料層236)進行選擇性蝕刻製程以使隔離結構230A凹陷,且選擇性蝕刻製程可為任何合適的蝕刻製程如乾蝕刻、濕蝕刻、或上述之組合。 In some embodiments shown in FIGS. 5A to 5D and FIG. 1B , step 110 forms the isolation structure 230 , and step 112 drives dopants into the fin-like active region to form the anti-puncture structure. The isolation structure 230A is formed in the region where the p-type well 203A will be formed and the n-type field effect transistor will be formed, as shown in FIG. 5A . The isolation structure 230A includes a liner 232 , a solid dopant source material layer 234 , and a filling dielectric material layer 236 . In the illustrated embodiment, the liner 232 includes an oxide material such as silicon oxide, which can be formed by deposition, thermal oxidation, or other suitable methods. In some examples, the thickness of liner 232 may be between 2 nm and 5 nm. The solid dopant source material layer 234 is a dielectric material containing desired dopants such as boron. In the illustrated embodiment, the solid dopant source material layer 234 includes borosilicate glass, which can be formed by deposition such as chemical vapor deposition or other suitable methods. The boron concentration of the solid dopant source material layer 234 is large enough to effectively form a breakdown-resistant structure in a subsequent stage. In some embodiments, the boron concentration of the solid dopant source material layer 234 may be between 1×10 21 atoms/cm 3 and 1×10 22 atoms/cm 3 . The thickness of the solid dopant source material layer 234 may be between 5 nm and 50 nm. The filling dielectric material layer 236 includes a dielectric material such as silicon oxide, a low-k dielectric material, other suitable dielectric materials, or a combination thereof. The filling dielectric material layer 236 can be formed by deposition such as chemical vapor deposition, flowable chemical vapor deposition, other suitable methods, or a combination of the above. After the liner 232, the solid dopant source material layer 234, and the fill dielectric material layer 236 are formed, a chemical mechanical polishing process may be performed to remove these materials on top of the active region of the fin and planarize the upper surface, thereby further An isolation structure 230A is formed. These materials (such as liner 232, solid dopant source material layer 234, and filling dielectric material layer 236) can then be subjected to a selective etching process to recess the isolation structure 230A, and the selective etching process can be any suitable etching process. Processes such as dry etching, wet etching, or a combination of the above.

如上所述,隔離結構230A作為固體擴散源以形成所需的抗擊穿結構。n型場效電晶體與p型場效電晶體所用的抗擊穿結構可摻雜相反導電型態的摻質,且其採用的固體摻雜源材料層不同。在所述實施例中,以圖案化遮罩238覆蓋n型井203B與鰭狀主動區如鰭狀物218B相關的溝槽,且其形成方法可為微影製程、蝕刻、或上述兩者。圖案化遮罩238可為微影製程所形成的軟遮罩如光阻材料,或改為微影製程與蝕刻所形成的硬遮罩如氧化矽或氮化矽。As mentioned above, the isolation structure 230A acts as a solid diffusion source to form the desired breakdown resistant structure. The anti-breakdown structures used by the n-type field effect transistor and the p-type field effect transistor can be doped with dopants of opposite conductivity types, and the solid doping source material layers used are different. In the illustrated embodiment, the n-well 203B is covered with the patterned mask 238 and the grooves associated with the active fin region such as the fin 218B, and the formation method can be lithography, etching, or both. The patterned mask 238 can be a soft mask formed by lithography process such as photoresist material, or a hard mask formed by lithography process and etching such as silicon oxide or silicon nitride.

如圖5B所示,實施驅動製程以將摻質(如此例的硼)驅動至鰭狀主動區如鰭狀物218A,以形成抗擊穿結構240。在所述實施例中,驅動製程為熱退火製程,其退火溫度與退火時間可設計以有效驅動摻質至鰭狀主動區如鰭狀物218A中,以達所需的摻質濃度。在一些例子中,熱退火製程的退火溫度大於900℃。在一些實施例中,熱退火製程的退火溫度介於1000℃至1100℃之間,退火時間介於5秒至30秒之間或峰值退火的幾毫秒,且退火環境包括氮、氧、氫、或上述之組合。一些例子可在快速熱退火設備中實施熱退火製程。As shown in FIG. 5B , a drive process is performed to drive dopants, such as boron, into the fin-shaped active region, such as fin 218A, to form the anti-puncture structure 240 . In the above embodiment, the driving process is a thermal annealing process, and the annealing temperature and annealing time can be designed to effectively drive the dopant into the fin-shaped active region, such as the fin 218A, to achieve the required dopant concentration. In some examples, the annealing temperature of the thermal annealing process is greater than 900° C. In some embodiments, the annealing temperature of the thermal annealing process is between 1000° C. and 1100° C., the annealing time is between 5 seconds and 30 seconds or a few milliseconds of peak annealing, and the annealing environment includes nitrogen, oxygen, hydrogen, or a combination of the above. Some examples may implement thermal annealing processes in rapid thermal annealing equipment.

此抗擊穿結構240為p型摻雜結構,其設置於擴散阻擋層204之下,且擴散阻擋層204限制抗擊穿結構240擴散至通道中。抗擊穿結構240的厚度取決於固體摻雜源材料層234的摻質濃度與熱退火製程(包括退火溫度與退火時間)。在一例中,抗擊穿結構240的厚度大於100 nm,比如約200 nm至500 nm。在一例中,抗擊穿結構240所用的p型摻質濃度為約5x10 17/cm 3至5x10 19/cm 3。抗擊穿結構240的摻質濃度可大於後續階段形成的通道層的摻質濃度。之後可移除圖案化遮罩238。 The anti-breakdown structure 240 is a p-type doped structure disposed under the diffusion barrier layer 204, and the diffusion barrier layer 204 restricts the diffusion of the anti-breakdown structure 240 into the channel. The thickness of the anti-puncture structure 240 depends on the dopant concentration of the solid dopant source material layer 234 and the thermal annealing process (including annealing temperature and annealing time). In one example, the thickness of the anti-puncture structure 240 is greater than 100 nm, such as about 200 nm to 500 nm. In one example, the p-type dopant concentration used in the anti-puncture structure 240 is about 5×10 17 /cm 3 to 5×10 19 /cm 3 . The dopant concentration of the anti-puncture structure 240 may be greater than the dopant concentration of the channel layer formed in a subsequent stage. The patterned mask 238 can then be removed.

如圖5C所示,以類似或不同程序形成隔離結構230B於與n型井203B及鰭狀主動區如鰭狀物218B相關的溝槽中。可由圖案化遮罩242覆蓋p型井203A與鰭狀主動區如鰭狀物218A相關的區域,且其形成方法可為微影製程、蝕刻、或上述之兩者。圖案化遮罩242可為微影製程所形成的軟遮罩,或改為微影製程與蝕刻所形成的硬遮罩。As shown in FIG. 5C , isolation structures 230B are formed in trenches associated with n-well 203B and fin-like active regions such as fins 218B by similar or different procedures. Areas of p-well 203A associated with active fins such as fins 218A may be covered by patterned mask 242 and may be formed by lithography, etching, or both. The patterned mask 242 can be a soft mask formed by lithography process, or a hard mask formed by lithography process and etching instead.

在一些實施例中,隔離結構230B包括襯墊232、固體摻雜源材料層244、與填充介電材料層236。在所述實施例中,襯墊232與填充介電材料層236與隔離區230A中的襯墊232與填充介電材料層236的組成類似。固體摻雜源材料層244不銅於固體摻雜源材料層234,且可包含相反導電型態的摻質如磷。固體摻雜源材料層244為含有所需摻質如磷的介電材料。在所述實施例中,固體摻雜源材料層244包括磷矽酸鹽玻璃,其形成方法可為沉積製程如化學氣相沉積或其他合適方法。固體摻雜源材料層244的磷濃度大到足以在後續階段中有效形成對應的抗擊穿結構。在一些實施例中,固體摻雜源材料層244的磷濃度大於15原子%,比如介於15原子%至30原子%之間。固體摻雜源材料層244的厚度可介於5 nm至50 nm之間。In some embodiments, the isolation structure 230B includes a liner 232 , a solid dopant source material layer 244 , and a fill dielectric material layer 236 . In the illustrated embodiment, the liner 232 and fill dielectric material layer 236 are similar in composition to the liner 232 and fill dielectric material layer 236 in the isolation region 230A. The solid dopant source material layer 244 is not copper to the solid dopant source material layer 234, and may contain dopants of opposite conductivity type, such as phosphorous. The solid dopant source material layer 244 is a dielectric material containing desired dopants such as phosphorous. In the illustrated embodiment, the solid dopant source material layer 244 includes phosphosilicate glass, which can be formed by a deposition process such as chemical vapor deposition or other suitable methods. The phosphorus concentration of the solid dopant source material layer 244 is large enough to effectively form a corresponding anti-puncture structure in a subsequent stage. In some embodiments, the phosphorus concentration of the solid dopant source material layer 244 is greater than 15 atomic %, such as between 15 atomic % and 30 atomic %. The thickness of the solid dopant source material layer 244 may be between 5 nm and 50 nm.

在形成襯墊232、固體摻雜源材料層244、與填充介電材料層236之後,可進行化學機械研磨製程以移除鰭狀主動區的頂部上的這些材料並平坦化上表面,進而形成隔離結構230B。之後可對這些材料(如襯墊232、固體摻雜源材料層244、與填充介電材料層236)進行選擇性蝕刻製程以使隔離結構230B凹陷,且選擇性蝕刻製程可為任何合適的蝕刻製程如乾蝕刻、濕蝕刻、或上述之組合。After forming the liner 232, the solid dopant source material layer 244, and the filling dielectric material layer 236, a chemical mechanical polishing process may be performed to remove these materials on the top of the fin-shaped active region and planarize the upper surface, thereby forming Isolation structure 230B. These materials (such as the liner 232, the solid dopant source material layer 244, and the filling dielectric material layer 236) can then be subjected to a selective etching process to recess the isolation structure 230B, and the selective etching process can be any suitable etching process. Processes such as dry etching, wet etching, or a combination of the above.

如圖5D所示,進行驅動製程以將摻質(比如此實施例中的磷)驅動至鰭狀主動區如鰭狀物218B,以形成抗擊穿結構246。抗擊穿結構246為擴散阻擋層204之下的n型摻雜結構。在所述實施例中,驅動製程為熱退火製程,其熱退火溫度與退火時間設計以有效驅動摻質至鰭狀主動區如鰭狀物218B中。之後可移除圖案化遮罩242。As shown in FIG. 5D , a driving process is performed to drive dopants (such as phosphorous in this embodiment) to the fin-shaped active region, such as the fin 218B, to form the anti-puncture structure 246 . The anti-puncture structure 246 is an n-type doped structure under the diffusion barrier layer 204 . In the embodiment, the driving process is a thermal annealing process, and the thermal annealing temperature and annealing time are designed to effectively drive dopants into the fin-shaped active region such as the fin 218B. The patterned mask 242 can then be removed.

在一些實施例中,形成抗擊穿結構246的擴散阻擋層204的設計可不同,以有效阻擋擴散摻質磷。舉例來說,與n型井203B相關的擴散阻擋層204的組成、濃度、厚度、結構、或上述之組合的設計,可不同於與p型井203A相關的擴散阻擋層204。舉例來說,與n型井203B相關的擴散阻擋層204可包括碳化矽、砷化鎵、其他合適組成、或上述之組合。在此例中,分開形成p型井區與n型井區中的擴散阻擋層204。舉例來說,可由圖案化遮罩覆蓋n型井區(可採用形成p型井所用的相同圖案化遮罩),接著磊晶成長矽鍺的擴散阻擋層於p型井區中。之前或之後可由圖案化遮罩覆蓋p型井區(可採用形成n型井所用的相同圖案化遮罩),接著磊晶成長不同組成的擴散阻擋層(如碳化矽或砷化鎵)於n型井區中。In some embodiments, the design of the diffusion barrier layer 204 forming the anti-puncture structure 246 may be different to effectively block the diffusion of the phosphorus dopant. For example, the design of the composition, concentration, thickness, structure, or combination thereof of the diffusion barrier layer 204 associated with the n-type well 203B may be different from that of the diffusion barrier layer 204 associated with the p-type well 203A. For example, the diffusion barrier layer 204 associated with the n-well 203B may comprise silicon carbide, gallium arsenide, other suitable compositions, or combinations thereof. In this example, the diffusion barrier layer 204 is formed separately in the p-well region and the n-type well region. For example, the n-well region can be covered by a patterned mask (the same patterned mask used to form the p-type well can be used), and then a diffusion barrier layer of silicon germanium is epitaxially grown in the p-type well region. The p-well region can be covered by a patterned mask before or after (the same patterned mask used to form the n-well can be used), followed by epitaxial growth of a diffusion barrier layer of different composition (such as silicon carbide or gallium arsenide) on the n In the type well area.

在一些實施例中,可由其他方法形成不含固體擴散源與對應的抗擊穿結構的隔離結構230B,比如經由溝槽側壁的斜向離子佈植或離子佈植。在一些實施例中,可由不同順序實施上述的多種製程。舉例來說,可在驅動製程之前移除圖案化遮罩(如圖案化遮罩238或242),以步驟112形成對應的抗擊穿結構(如抗擊穿結構240或246)。在一些實施例中,可由不同順序實施抗擊穿結構240及246的形成方法,比如在形成抗擊穿結構246之後再形成抗擊穿結構240。In some embodiments, the isolation structure 230B without the solid diffusion source and the corresponding breakdown-resistant structure can be formed by other methods, such as oblique ion implantation or ion implantation via the sidewall of the trench. In some embodiments, the above-mentioned various processes may be performed in different orders. For example, the patterned mask (such as the patterned mask 238 or 242 ) can be removed before the driving process, and the corresponding anti-puncture structure (such as the anti-puncture structure 240 or 246 ) can be formed in step 112 . In some embodiments, the formation methods of the anti-puncture structures 240 and 246 may be implemented in different order, such as forming the anti-puncture structure 240 after forming the anti-puncture structure 246 .

如圖6A至6D與圖1C所示的一些其他實施例,步驟110形成隔離結構230,而步驟112驅動摻質至鰭狀主動區中以形成抗擊穿結構。隔離結構230A包括固體摻雜源材料層234,但位於隔離結構230A的頂部上。隔離結構230A包括固體摻雜源材料層234,但位於隔離結構230A的頂部上。類似地,隔離結構230B包括固體摻雜源材料層244,但位於隔離結構230B的頂部上。In some other embodiments shown in FIGS. 6A to 6D and FIG. 1C , step 110 forms the isolation structure 230 , and step 112 drives dopants into the fin-like active region to form the anti-puncture structure. Isolation structure 230A includes solid dopant source material layer 234 but is located on top of isolation structure 230A. Isolation structure 230A includes solid dopant source material layer 234 but is located on top of isolation structure 230A. Similarly, isolation structure 230B includes solid dopant source material layer 244 , but on top of isolation structure 230B.

隔離結構230A形成於與p型井203A與n型場效電晶體相關的區域中,如圖6A所示。隔離結構230A包括襯墊232、填充介電材料層236、與固體摻雜源材料層234。襯墊232與填充介電材料層236可與圖5A中的對應材料層的組成與形成方法相同或類似。舉例來說,襯墊232包括氧化物材料如氧化矽,其形成方法可為沉積、熱氧化、或其他合適方法。填充介電材料層236直接形成於襯墊232上,且可包括介電材料如氧化矽、低介電常數的介電材料、其他合適的介電材料、或上述之組合,且其形成方法可為沉積如化學氣相沉積、可流動的化學氣相沉積、其他合適方法、或上述之組合。在形成襯墊232與填充介電材料層236之後,可進行化學機械研磨製程以移除鰭狀主動區的頂部上的這些材料並平坦化上表面,進而形成隔離結構230A。之後可對這些材料(如襯墊232與填充介電材料236)進行選擇性蝕刻製程以使隔離結構230A凹陷,且合適的蝕刻製程可為乾蝕刻、濕蝕刻、或上述之組合。The isolation structure 230A is formed in the region associated with the p-type well 203A and the n-type field effect transistor, as shown in FIG. 6A . The isolation structure 230A includes a liner 232 , a filling dielectric material layer 236 , and a solid dopant source material layer 234 . The composition and formation method of the liner 232 and the filling dielectric material layer 236 may be the same as or similar to those of the corresponding material layer in FIG. 5A . For example, the liner 232 includes an oxide material such as silicon oxide, which can be formed by deposition, thermal oxidation, or other suitable methods. The filling dielectric material layer 236 is directly formed on the liner 232, and may include a dielectric material such as silicon oxide, a low dielectric constant dielectric material, other suitable dielectric materials, or a combination thereof, and the formation method may be Deposition such as chemical vapor deposition, flowable chemical vapor deposition, other suitable methods, or combinations thereof. After forming the liner 232 and filling the dielectric material layer 236 , a chemical mechanical polishing process may be performed to remove these materials on top of the fin-like active regions and planarize the upper surface, thereby forming the isolation structure 230A. A selective etching process can then be performed on these materials (such as the liner 232 and the filling dielectric material 236 ) to recess the isolation structure 230A, and a suitable etching process can be dry etching, wet etching, or a combination thereof.

之後可形成固體摻雜源材料層234於凹陷的填充介電材料層236上,其形成方法可為合適方法如化學氣相沉積。可對固體摻雜源材料層234進行後續的蝕刻製程,以移除鰭狀主動區如鰭狀物218A的側壁上的固體摻雜源材料層234,使固體摻雜源材料層234低於擴散阻擋層204。因此擴散阻擋層204可阻擋與限制後續的擴散。固體摻雜源材料層234為介電材料,其含有所需摻質如硼。在所述實施例中,固體摻雜源材料層234的形成方法包括沉積如化學氣相沉積或其他合適方法。固體摻雜源材料層234的硼濃度大到足以在後續階段中有效地形成抗擊穿結構。在一些實施例中,固體摻雜源材料層234的硼濃度大於15原子%,比如介於15原子%至30原子%之間。固體摻雜源材料層234的厚度可介於5 nm至50 nm之間。A solid dopant source material layer 234 can then be formed on the recessed filling dielectric material layer 236 by a suitable method such as chemical vapor deposition. A subsequent etching process may be performed on the solid dopant source material layer 234 to remove the solid dopant source material layer 234 on the sidewall of the fin-shaped active region such as the fin 218A, so that the solid dopant source material layer 234 is lower than the diffusion layer. barrier layer 204 . Therefore, the diffusion barrier layer 204 can block and limit subsequent diffusion. The solid dopant source material layer 234 is a dielectric material containing desired dopants such as boron. In the described embodiment, the formation method of the solid dopant source material layer 234 includes deposition such as chemical vapor deposition or other suitable methods. The boron concentration of the solid dopant source material layer 234 is large enough to effectively form a breakdown-resistant structure in a subsequent stage. In some embodiments, the boron concentration of the solid dopant source material layer 234 is greater than 15 atomic %, such as between 15 atomic % and 30 atomic %. The thickness of the solid dopant source material layer 234 may be between 5 nm and 50 nm.

如上所述,隔離結構230A可作為固體擴散源以形成所需的抗擊穿結構。n型場效電晶體與p型場效電晶體所用的抗擊穿結構可摻雜相反的導電型態,而固體摻雜源材料層不同。在所述實施例中,以圖案化遮罩覆蓋n型井203B及鰭狀主動區如鰭狀物218B相關的溝槽,其形成方法可為微影製程、蝕刻、或上述兩者。圖案化遮罩238可為微影製程所形成的軟遮罩如光阻材料,或改為微影製程與蝕刻所形成的硬遮罩如氧化矽或氮化矽。As mentioned above, the isolation structure 230A can act as a solid diffusion source to form the desired breakdown-resistant structure. The anti-breakdown structures used in n-type field effect transistors and p-type field effect transistors can be doped with opposite conductivity types, but the solid doping source material layers are different. In the illustrated embodiment, the trenches associated with the n-well 203B and the active fin region such as the fin 218B are covered with a patterned mask, which may be formed by lithography, etching, or both. The patterned mask 238 can be a soft mask formed by lithography process such as photoresist material, or a hard mask formed by lithography process and etching such as silicon oxide or silicon nitride.

如圖6B所示,可進行驅動製程以驅動摻質(如此例的硼)至鰭狀主動區如鰭狀物218A,以形成抗擊穿結構240。驅動製程為熱退火製程,其熱退火溫度與退火時間設計以有效驅動摻質至鰭狀主動區如鰭狀物218A中。在所述實施例中,驅動製程與圖5B的對應驅動至成類似。As shown in FIG. 6B , a drive process may be performed to drive dopants, such as boron, to the fin-shaped active region, such as fin 218A, to form the anti-puncture structure 240 . The driving process is a thermal annealing process, and the thermal annealing temperature and annealing time are designed to effectively drive dopants into the fin-shaped active region such as the fin 218A. In the illustrated embodiment, the drive process is similar to the corresponding drive process of FIG. 5B.

此抗擊穿結構240為p型摻雜結構,其設置於擴散阻擋層204之下,且擴散阻擋層204限制抗擊穿結構240擴散至通道中。抗擊穿結構240的厚度取決於固體摻雜源材料層234的摻質濃度與熱退火製程(包括退火溫度與退火時間)。在一些例子中,抗擊穿結構240的厚度大於100 nm,比如約200 nm至500 nm。在一例中,抗擊穿結構240所用的p型摻質濃度為約1x10 17/cm 3至1x10 18/cm 3。抗擊穿結構240的摻質濃度大於後續階段形成的通道層的摻質濃度。之後可移除圖案化遮罩238。 The anti-breakdown structure 240 is a p-type doped structure disposed under the diffusion barrier layer 204, and the diffusion barrier layer 204 restricts the diffusion of the anti-breakdown structure 240 into the channel. The thickness of the anti-puncture structure 240 depends on the dopant concentration of the solid dopant source material layer 234 and the thermal annealing process (including annealing temperature and annealing time). In some examples, the thickness of the anti-puncture structure 240 is greater than 100 nm, such as about 200 nm to 500 nm. In one example, the p-type dopant concentration used in the anti-puncture structure 240 is about 1×10 17 /cm 3 to 1×10 18 /cm 3 . The dopant concentration of the anti-puncture structure 240 is greater than the dopant concentration of the channel layer formed in a subsequent stage. The patterned mask 238 can then be removed.

如圖6C所示,以類似或不同的程序形成隔離結構230B於與n型井203B及鰭狀主動區如鰭狀物218B相關的溝槽中。可由圖案化遮罩242覆蓋與p型井203A及鰭狀主動區如鰭狀物218A相關的區域,而圖案化遮罩242的形成方法為微影製程、蝕刻、或上述兩者。圖案化遮罩242可為微影製程所形成的軟遮罩,或改為微影製程與蝕刻所形成的硬遮罩。As shown in FIG. 6C , isolation structures 230B are formed in trenches associated with n-well 203B and fin-like active regions such as fins 218B by similar or different procedures. Areas associated with p-well 203A and active fins such as fins 218A may be covered by a patterned mask 242 formed by lithography, etching, or both. The patterned mask 242 can be a soft mask formed by lithography process, or a hard mask formed by lithography process and etching instead.

在一些實施例中,隔離結構230B包括襯墊232、填充介電材料層236、與固體摻雜源材料層244。在所述實施例中,襯墊232與填充介電材料層236的組成,與隔離結構230A中的襯墊232與填充介電材料層236的組成類似。固體摻雜源材料層244位於隔離結構230B的頂部。固體摻雜源材料層244不同於固體摻雜源材料層234,且包括相反導電型態的摻質如磷。固體摻雜源材料層244為介電材料,其含有所需摻質如磷。在所述實施例中,固體摻雜源材料層244包括磷矽酸鹽玻璃,其形成方法可為沉積如化學氣相沉積或其他合適製成。固體摻雜源材料層244的磷濃度大到足以在後續階段中,有效地形成對應的抗擊穿結構。在一些實施例中,固體摻雜源材料層244與圖5C中的固體摻雜源材料層244類似。舉例來說,固體摻雜源材料層244的磷濃度大於15原子%,比如介於15原子%至30原子%之間。固體摻雜源材料層244的厚度可介於5 nm至50 nm之間。In some embodiments, the isolation structure 230B includes a liner 232 , a fill dielectric material layer 236 , and a solid dopant source material layer 244 . In the illustrated embodiment, the composition of liner 232 and fill dielectric material layer 236 is similar to the composition of liner 232 and fill dielectric material layer 236 in isolation structure 230A. A solid dopant source material layer 244 is located on top of the isolation structure 230B. The solid dopant source material layer 244 is different from the solid dopant source material layer 234 and includes dopants of opposite conductivity type, such as phosphorus. The solid dopant source material layer 244 is a dielectric material containing desired dopants such as phosphorous. In the illustrated embodiment, the solid dopant source material layer 244 includes phosphosilicate glass, which can be formed by deposition such as chemical vapor deposition or other suitable methods. The phosphorus concentration of the solid dopant source material layer 244 is large enough to effectively form a corresponding anti-puncture structure in a subsequent stage. In some embodiments, solid dopant source material layer 244 is similar to solid dopant source material layer 244 in FIG. 5C . For example, the phosphorus concentration of the solid dopant source material layer 244 is greater than 15 atomic %, such as between 15 atomic % and 30 atomic %. The thickness of the solid dopant source material layer 244 may be between 5 nm and 50 nm.

在形成襯墊232與填充介電材料層236之後,可進行化學機械研磨製程以移除鰭狀物主動區的頂部上的這些材料並平坦化上表面,進而形成隔離結構230B。之後可對這些材料(如襯墊232與填充介電材料層236)進行選擇性蝕刻製程以使隔離結構230B凹陷,且選擇性蝕刻製程可為任何合適的蝕刻製程如乾蝕刻、濕蝕刻、或上述之組合。After forming the liner 232 and filling the dielectric material layer 236 , a chemical mechanical polishing process may be performed to remove these materials on top of the active area of the fin and planarize the upper surface, thereby forming the isolation structure 230B. These materials (such as the liner 232 and the filling dielectric material layer 236) can then be subjected to a selective etching process to recess the isolation structure 230B, and the selective etching process can be any suitable etching process such as dry etching, wet etching, or combination of the above.

之後沉積固體摻雜源材料層244於填充介電材料層236上,且沉積方法可為化學氣相沉積或其他合適的沉積方法。之後可對固體摻雜源材料層244進行蝕刻製程,以移除鰭狀主動區如鰭狀物218A的側壁上的固體摻雜源材料層244,使固體摻雜源材料層234低於擴散阻擋層204。因此擴散阻擋層204可阻擋並限制後續擴散。Afterwards, a solid dopant source material layer 244 is deposited on the filling dielectric material layer 236, and the deposition method can be chemical vapor deposition or other suitable deposition methods. Afterwards, an etching process can be performed on the solid dopant source material layer 244 to remove the solid dopant source material layer 244 on the sidewall of the fin-shaped active region such as the fin 218A, so that the solid dopant source material layer 234 is lower than the diffusion barrier Layer 204. Diffusion barrier layer 204 thus blocks and limits subsequent diffusion.

如圖6D所示,可進行驅動製程以驅動摻質(如此例中的磷)至鰭狀主動區如鰭狀物218B中,以形成抗擊穿結構246。抗擊穿結構246可為位於擴散阻擋層204之下的n型摻雜結構。在所述實施例中,驅動製程可為熱退火製程,其熱退火溫度與退火時間可設計以有效驅動摻質至鰭狀主動區如鰭狀物218B中。之後可移除圖案化遮罩242。As shown in FIG. 6D , a drive process may be performed to drive dopants, such as phosphorous in this example, into the fin-shaped active region, such as fin 218B, to form the anti-puncture structure 246 . The anti-puncture structure 246 can be an n-type doped structure under the diffusion barrier layer 204 . In the embodiment, the driving process can be a thermal annealing process, and the thermal annealing temperature and annealing time can be designed to effectively drive the dopants into the fin-shaped active region such as the fin 218B. The patterned mask 242 can then be removed.

在一些實施例中,形成抗擊穿結構246的擴散阻擋層204可具有不同設計以有效阻擋擴散摻質磷。舉例來說,與n型井203B相關的擴散阻擋層204的組成、濃度、厚度、結構、或上述之組合的設計,可不同於與p型井203A相關的擴散阻擋層204。舉例來說,與n型井203B相關的擴散阻擋層204可包括碳化矽、砷化鎵、其他合適組成、或上述之組合。在此例中,可分開形成p型井區與n型井區中的擴散阻擋層204。舉例來說,可由圖案化遮罩n型井區(可採用形成p型井所用的相同圖案化遮罩),接著磊晶成長矽鍺的擴散阻擋層於p型井區中。之前或之後可採用圖案化遮罩覆蓋p型井區(可採用形成n型井所用的相同圖案化遮罩),接著磊晶成長不同組成的擴散阻擋層(如碳化矽或砷化鎵)於n型井區中。In some embodiments, the diffusion barrier layer 204 forming the anti-puncture structure 246 may have different designs to effectively block the diffusion dopant phosphorus. For example, the design of the composition, concentration, thickness, structure, or combination thereof of the diffusion barrier layer 204 associated with the n-type well 203B may be different from that of the diffusion barrier layer 204 associated with the p-type well 203A. For example, the diffusion barrier layer 204 associated with the n-well 203B may comprise silicon carbide, gallium arsenide, other suitable compositions, or combinations thereof. In this example, the diffusion barrier layer 204 in the p-well region and the n-type well region can be formed separately. For example, the n-well region can be masked by patterning (the same patterned mask used to form the p-type well can be used), followed by epitaxial growth of a diffusion barrier layer of silicon germanium in the p-type well region. A patterned mask can be used to cover the p-well region before or after (the same patterned mask used to form the n-well can be used), followed by epitaxial growth of a diffusion barrier layer of different composition (such as silicon carbide or gallium arsenide) on the In the n-type well area.

如圖7A至7D所示,可形成覆層250於鰭狀主動區(如鰭狀物218A及218B)的側壁上。在圖7A至7D中,隔離結構230A與隔離結構230B以及抗擊穿結構240及246以不同方式呈現,以例顯示其他結構與構件。在一些實施例中,覆層250可包括矽鍺,其形成方法可為化學氣相沉積、其他合適沉積方法、或上述之組合。覆層250可提供後續通道釋放所用的蝕刻路徑。As shown in FIGS. 7A-7D , a capping layer 250 may be formed on the sidewalls of the fin-shaped active regions (eg, fins 218A and 218B). In FIGS. 7A to 7D , the isolation structure 230A and the isolation structure 230B and the anti-puncture structures 240 and 246 are shown in different ways to illustrate other structures and components. In some embodiments, the cladding layer 250 may include silicon germanium, and its formation method may be chemical vapor deposition, other suitable deposition methods, or a combination thereof. Capping layer 250 may provide an etch path for subsequent via release.

如圖8A至8D所示,閘極結構260形成於鰭狀物218A及218B的部分與隔離結構230上。閘極結構260的長度方向不同於(比如垂直於)鰭狀物218A及218B的長度方向。舉例來說,閘極結構260沿著x方向延伸且彼此實質上平行,其具有定義於y方向中的長度、定義於x方向中的寬度、與定義於z方向中的高度。閘極結構260位於鰭狀物218A及218B的部分上,並定義鰭狀物218A及218B的通道區264與源極/汲極區262。在X-Z平面中,閘極結構260包覆鰭狀物218A及218B的上表面與側壁表面。在Y-Z平面中,閘極結構260位於鰭狀物218A及218B的個別通道區264的上表面上,使閘極結構260夾設於個別的源極/汲極區262之間。閘極結構260各自包括閘極區260-1以對應即將設置為用於n型全繞式閘極電晶體的個別閘極結構260的一部分(因此對應跨過n型全繞式閘極電晶體區的一部分),以及閘極區260-2以對應即將設置為用於p型全繞式閘極電晶體的個別閘極結構260的一部分(因此對應跨過p型全繞式閘極電晶體區的一部分)。可設置不同的閘極結構260於閘極區260-1與閘極區260-2中。舉例來說,閘極結構260的金屬閘極堆疊各自越過閘極區260-1與閘極區260-2,且在閘極區260-1與閘極區260-2中可具有不同設置,以最佳化n型全繞式閘極電晶體(具有n型閘極於閘極區260-1中)與p型全繞式閘極電晶體(具有p型閘極於閘極區260-2中)的效能。綜上所述,閘極區260-1可視作n型閘極區260-1,而閘極區260-2可視作p型閘極區260-2。As shown in FIGS. 8A-8D , gate structures 260 are formed on portions of fins 218A and 218B and isolation structures 230 . The length direction of gate structure 260 is different from (eg, perpendicular to) the length direction of fins 218A and 218B. For example, the gate structures 260 extend along the x-direction and are substantially parallel to each other, having a length defined in the y-direction, a width defined in the x-direction, and a height defined in the z-direction. Gate structures 260 are located on portions of fins 218A and 218B and define channel regions 264 and source/drain regions 262 of fins 218A and 218B. In the X-Z plane, gate structure 260 wraps the top and sidewall surfaces of fins 218A and 218B. In the Y-Z plane, gate structures 260 are located on upper surfaces of respective channel regions 264 of fins 218A and 218B such that gate structures 260 are sandwiched between respective source/drain regions 262 . Gate structures 260 each include a gate region 260-1 to correspond to a portion of an individual gate structure 260 to be provided for an n-type fully-wound gate transistor (thus corresponding to a region across the n-type fully-wrapped gate transistor region), and gate region 260-2 to correspond to a portion of an individual gate structure 260 to be provided for a p-type fully-wound gate transistor (thus corresponding to a portion across the p-type fully-wound gate transistor part of the area). Different gate structures 260 may be disposed in the gate region 260-1 and the gate region 260-2. For example, the metal gate stacks of the gate structure 260 respectively cross over the gate region 260-1 and the gate region 260-2, and may have different configurations in the gate region 260-1 and the gate region 260-2, To optimize the n-type all-around gate transistor (with n-type gate in gate region 260-1) and p-type all-around gate transistor (with p-type gate in gate region 260-1) 2) performance. In summary, the gate region 260-1 can be regarded as the n-type gate region 260-1, and the gate region 260-2 can be regarded as the p-type gate region 260-2.

在圖8A至8D中,閘極結構260各自包括虛置閘極堆疊265。在所述實施例中,虛置閘極堆疊265的寬度可定義閘極結構260的閘極長度L g(於y方向中),其中閘極長度L g定義n型全繞式閘極電晶體及/或p型全繞式閘極電晶體開啟時,電流(如載子,比如電子或電洞)流動於源極/汲極區262之間的距離(或長度)。在一些實施例中,閘極長度為約5 nm至約250 nm。可調整閘極長度以達全繞式閘極電晶體所需的操作速度,及/或全繞式閘極電晶體所需的封裝密度。舉例來說,當全繞式閘極電晶體開啟時,電流流動於全繞式閘極電晶體的源極/汲極區之間。增加閘極長度將增加電流流動於源極/汲極區之間所需的距離,並增加完全開啟全繞式閘極電晶體所需的時間。相反地,減少閘極長度可減少電流流動於源極/汲極區之間所需的距離,並減少完全開啟全繞式閘極電晶體所需的時間。較小的閘極長度可更快地開關全繞式閘極電晶體,有利於更快的高速操作。較小的閘極長度亦有利於更緊密的封裝密度(比如製作更多全繞式閘極電晶體於積體電路晶片的給定面積中),其可增加積體電路晶片上的功能與應用。在所述實施例中,可設置一或多個閘極結構260的閘極長度,使全繞式閘極電晶體的通道長度短。舉例來說,長度通道短的全繞式閘極電晶體的閘極長度可為約5 nm至約20 nm。在一些實施例中,多閘極裝置200可包括具有不同閘極長度的全繞式閘極電晶體。舉例來說,可設置一或多個閘極結構260的閘極長度,使全繞式閘極電晶體的通道長度中等或長。在一些實施例中,通道長度中等或長的全繞式閘極電晶體的閘極長度,可為約20 nm至約250 nm。 In FIGS. 8A-8D , gate structures 260 each include a dummy gate stack 265 . In the illustrated embodiment, the width of the dummy gate stack 265 can define the gate length Lg (in the y-direction) of the gate structure 260, where the gate length Lg defines the n-type fully wound gate transistor And/or the distance (or length) between the source/drain regions 262 for current (such as carriers, such as electrons or holes) to flow when the p-type all-wound gate transistor is turned on. In some embodiments, the gate length is from about 5 nm to about 250 nm. The gate length can be adjusted to achieve the desired operating speed of the fully wound gate transistor, and/or the desired packing density of the fully wound gate transistor. For example, when a fully-wound gate transistor is turned on, current flows between the source/drain regions of the fully-wound gate transistor. Increasing the gate length increases the distance required for current to flow between the source/drain regions and increases the time required to fully turn on the fully wound gate transistor. Conversely, reducing the gate length reduces the distance required for current to flow between the source/drain regions and reduces the time required to fully turn on the fully wound gate transistor. The smaller gate length enables faster switching of the fully-wound gate transistor, which facilitates faster high-speed operation. Smaller gate lengths also facilitate tighter packaging densities (such as making more full-wound gate transistors in a given area of an IC chip), which can increase the functionality and applications on an IC chip . In the described embodiment, the gate length of one or more gate structures 260 can be set so that the channel length of the fully wound gate transistor is short. For example, the gate length of a short length channel all-wound gate transistor may be from about 5 nm to about 20 nm. In some embodiments, the multi-gate device 200 may include fully wound gate transistors with different gate lengths. For example, the gate length of one or more gate structures 260 can be set so that the channel length of the fully wound gate transistor is medium or long. In some embodiments, the gate length of the medium or long channel length fully-wound gate transistor may be about 20 nm to about 250 nm.

虛置閘極堆疊265包括虛置閘極。在一些實施例中,虛置閘極堆疊265可包括虛置閘極介電層。虛置閘極包括合適的虛置閘極材料,比如多晶矽。在虛置閘極堆疊265包括虛置閘極介電層位於虛置閘極與鰭狀物218A及218B之間的實施例中,虛置閘極介電層包括介電材料如氧化矽、高介電常數的介電材料、其他合適的介電材料、或上述之組合。高介電常數的介電材料的例子包括氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。在一些實施例中,虛置閘極介電層包括界面層(比如氧化矽)位於鰭狀物218A及218B上,以及高介電常數的介電層位於界面層上。虛置閘極堆疊265可包括多個其他層,比如蓋層、界面層、擴散層、阻障層、硬遮罩層、或上述之組合。舉例來說,虛置閘極堆疊265可進一步包括硬遮罩層位於虛置閘極上。The dummy gate stack 265 includes dummy gates. In some embodiments, dummy gate stack 265 may include a dummy gate dielectric layer. The dummy gate includes a suitable dummy gate material, such as polysilicon. In embodiments where dummy gate stack 265 includes a dummy gate dielectric layer between the dummy gates and fins 218A and 218B, the dummy gate dielectric layer includes a dielectric material such as silicon oxide, high A dielectric material with a dielectric constant, other suitable dielectric materials, or a combination thereof. Examples of high dielectric constant dielectric materials include hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, zirconium oxide, aluminum oxide, hafnium oxide-aluminum oxide, other suitable High dielectric constant dielectric material, or a combination of the above. In some embodiments, the dummy gate dielectric layer includes an interfacial layer (such as silicon oxide) on the fins 218A and 218B, and a high-k dielectric layer on the interfacial layer. The dummy gate stack 265 may include various other layers, such as capping layers, interfacial layers, diffusion layers, barrier layers, hard mask layers, or combinations thereof. For example, the dummy gate stack 265 may further include a hard mask layer on the dummy gate.

虛置閘極堆疊265的形成方法可為沉積製程、微影製程、蝕刻製程、其他合適製程、或上述之組合。舉例來說,可進行沉積製程以形成虛置閘極層於鰭狀物218A及218B與隔離結構230 (如隔離結構230A及230B)之上。在一些實施例中,在形成虛置閘極層之前,進行沉積製程以形成虛置閘極介電層於鰭狀物218A及218B與隔離結構230之上。在這些實施例中,虛置閘極層沉積於虛置閘極介電層上。在一些實施例中,硬遮罩層沉積於虛置閘極層上。沉積製程包括化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。接著進行微影圖案化與蝕刻製程以圖案化虛置閘極層(在一些實施例中亦圖案化虛置閘極介電層與硬遮罩層),以形成虛置閘極堆疊265,使虛置閘極堆疊265 (含虛置閘極層、虛置閘極介電層、硬遮罩層、及/或其他合適層)設置如圖8A至8D所示。微影圖案化製程包括塗佈光阻(如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(比如硬烘烤)、其他合適的微影製程、或上述之組合。蝕刻製程包括乾蝕刻製程、濕蝕刻製程、其他蝕刻方法、或上述之組合。The dummy gate stack 265 can be formed by a deposition process, a lithography process, an etching process, other suitable processes, or a combination thereof. For example, a deposition process may be performed to form dummy gate layers over fins 218A and 218B and isolation structures 230 (eg, isolation structures 230A and 230B). In some embodiments, before forming the dummy gate layer, a deposition process is performed to form a dummy gate dielectric layer on the fins 218A and 218B and the isolation structure 230 . In these embodiments, the dummy gate layer is deposited on the dummy gate dielectric layer. In some embodiments, a hard mask layer is deposited on the dummy gate layer. Deposition processes include chemical vapor deposition, physical vapor deposition, atomic layer deposition, high-density plasma chemical vapor deposition, organometallic chemical vapor deposition, remote plasma chemical vapor deposition, plasma-assisted chemical vapor deposition, Low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, electroplating, other suitable methods, or a combination of the above. A lithographic patterning and etching process is then performed to pattern the dummy gate layer (and in some embodiments also pattern the dummy gate dielectric layer and the hard mask layer) to form the dummy gate stack 265, so that The dummy gate stack 265 (including dummy gate layer, dummy gate dielectric layer, hard mask layer, and/or other suitable layers) is arranged as shown in FIGS. 8A-8D . The lithographic patterning process includes coating photoresist (e.g. spin coating), soft bake, aligning mask, exposing, post-exposure bake, developing photoresist, rinsing, drying (e.g. hard bake), other suitable Lithography, or a combination of the above. The etching process includes a dry etching process, a wet etching process, other etching methods, or a combination thereof.

閘極結構260可各自進一步包括閘極間隔物267以與個別虛置閘極堆疊265相鄰(比如沿著個別虛置閘極堆疊265的側壁)。閘極間隔物267的形成方法可為任何合適製程,且可包括介電材料。介電材料可包括矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽、碳氧化矽、或碳氮氧化矽。舉例來說,可沉積介電層(包括矽與氮如氮化矽層)於虛置閘極堆疊265上,接著蝕刻(如非等向蝕刻)介電層以形成閘極間隔物267。在一些實施例中,閘極間隔物267包括多層結構如含氮化矽的第一介電層與含氧化矽的第二介電層。在一些實施例中,可形成超過一組間隔物如密封間隔物、補償間隔物、犧牲間隔物、虛置間隔物、及/或主要間隔物以與虛置閘極堆疊265相鄰。在這些實施方式中,多組間隔物包括的材料具有不同蝕刻速率。舉例來說,可沉積並蝕刻含矽與氧如氧化矽的第一介電層以形成第一組間隔物而與虛置閘極堆疊265相鄰,且可沉積並蝕刻含矽與氮如氮化矽的第二介電層以形成第二組間隔物而與第一組間隔物相鄰。Gate structures 260 may each further include gate spacers 267 adjacent to (eg, along sidewalls of) individual dummy gate stacks 265 . Gate spacers 267 may be formed by any suitable process and may include dielectric materials. The dielectric material may include silicon, oxygen, carbon, nitrogen, other suitable materials, or combinations thereof, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, silicon oxycarbide, or oxycarbonitride Silicon. For example, a dielectric layer (including silicon and nitrogen such as a silicon nitride layer) may be deposited on the dummy gate stack 265 and then etched (eg, anisotropic) to form the gate spacers 267 . In some embodiments, the gate spacer 267 includes a multilayer structure such as a first dielectric layer containing silicon nitride and a second dielectric layer containing silicon oxide. In some embodiments, more than one set of spacers such as sealing spacers, compensation spacers, sacrificial spacers, dummy spacers, and/or main spacers may be formed adjacent to dummy gate stack 265 . In these embodiments, the sets of spacers include materials having different etch rates. For example, a first dielectric layer containing silicon and oxygen, such as silicon oxide, can be deposited and etched to form a first set of spacers adjacent to the dummy gate stack 265, and can be deposited and etched containing silicon and nitrogen, such as nitrogen A second dielectric layer of silicon carbide is formed adjacent to the first set of spacers to form a second set of spacers.

如圖9A至9D所示,至少部分地移除鰭狀物218A及218B的露出部分(如閘極結構260未覆蓋的鰭狀物218A及218B的源極/汲極區262),以形成源極/汲極溝槽270 (如凹陷)。在所述實施例中,蝕刻製程完全移除鰭狀物218A及218B的源極/汲極區262中的半導體層堆疊205與擴散阻擋層204,以露出源極/汲極區262中的鰭狀物218A及218B的基板部分(如p型井203A與n型井203B)。因此源極/汲極溝槽270的側壁可由半導體層堆疊205的保留部分定義(其位於閘極結構260之下的通道區264中),且底部可由基板202所定義(比如源極/汲極區262中的p型井203A中的抗擊穿結構240與n型井203B中的抗擊穿結構246的上表面)。在一些實施例中,蝕刻製程可移除一些但非全部的半導體層堆疊205,使源極/汲極溝槽270的底部可由源極/汲極區262中的半導體層210或半導體層215定義。在一些實施例中,蝕刻製程可進一步移除一些但非全部的鰭狀物218A及218B的基板部分,使源極/汲極溝槽270可延伸至低於基板202的上表面。蝕刻製程可包括乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程為多步驟的蝕刻製程。舉例來說,蝕刻製程可改變蝕刻劑以分開並交錯地移除半導體層210與半導體層215。在一些實施例中,蝕刻製程的參數設置以選擇性蝕刻半導體層堆疊,且最小或地蝕刻(或不蝕刻)閘極結構260 (如虛置閘極堆疊265與閘極間隔物267)及/或隔離結構230。在一些實施例中,可進行此處所述的微影製程以形成圖案化遮罩層而覆蓋閘極結構260及/或隔離結構230,且蝕刻製程可採用圖案化遮罩層作為蝕刻遮罩。As shown in FIGS. 9A-9D , exposed portions of fins 218A and 218B (eg, source/drain regions 262 of fins 218A and 218B not covered by gate structure 260 ) are at least partially removed to form source pole/drain trenches 270 (eg, recesses). In the depicted embodiment, the etch process completely removes semiconductor layer stack 205 and diffusion barrier layer 204 in source/drain regions 262 of fins 218A and 218B to expose the fins in source/drain regions 262 Substrate portions of objects 218A and 218B (eg, p-well 203A and n-type well 203B). The sidewalls of the source/drain trenches 270 can thus be defined by the remaining portion of the semiconductor layer stack 205 (which lies in the channel region 264 below the gate structure 260), and the bottom can be defined by the substrate 202 (such as the source/drain The upper surface of the anti-puncture structure 240 in the p-type well 203A and the upper surface of the anti-puncture structure 246 in the n-type well 203B in the region 262). In some embodiments, the etching process may remove some, but not all, of the semiconductor layer stack 205 such that the bottom of the source/drain trench 270 may be defined by either the semiconductor layer 210 or the semiconductor layer 215 in the source/drain region 262 . In some embodiments, the etching process may further remove some, but not all, of the substrate portions of fins 218A and 218B such that source/drain trenches 270 may extend below the upper surface of substrate 202 . The etching process may include a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. In some embodiments, the etching process is a multi-step etching process. For example, the etching process can change the etchant to separate and alternately remove the semiconductor layer 210 and the semiconductor layer 215 . In some embodiments, the etch process parameters are set to selectively etch the semiconductor layer stack with minimal or no etching (or no etching) of the gate structure 260 (eg dummy gate stack 265 and gate spacer 267 ) and/or or isolation structure 230 . In some embodiments, the lithography process described herein may be performed to form a patterned mask layer covering the gate structure 260 and/or the isolation structure 230, and the etching process may use the patterned mask layer as an etching mask. .

如圖10A至10D所示,內側間隔物275沿著半導體層210的側壁形成於通道區264中,其形成方法可為任何合適製程。舉例來說,可進行第一蝕刻製程以選擇性蝕刻源極/汲極溝槽270所露出的半導體層215,並最小化地蝕刻(或不蝕刻)半導體層210,使間隙形成於閘極間隔物267之下的基板202與半導體層210之間以及半導體層210之間。因此半導體層210的部分(如邊緣)懸空於閘極間隔物267之下的通道區264中。在一些實施例中,間隙部分地延伸於虛置閘極堆疊265之下。第一蝕刻製程可設置以橫向(如沿著y方向)蝕刻半導體層215,以沿著y方向減少半導體層215的長度。第一蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。接著以沉積製程形成間隔物層於閘極結構260之上與定義源極/汲極溝槽270所用的結構(如半導體層215、半導體層210、擴散阻擋層204、與基板202)之上,且沉積製程可為化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適方法、或上述之組合。間隔物層部分地填入(在一些實施例中可完全填入)源極/汲極溝槽270。沉積製程設置以確保間隔物層填入閘極間隔物267之下的基板202與半導體層210之間以及半導體層210之間的間隙。接著進行第二蝕刻製程,以選擇性蝕刻間隔物層而形成圖10A至10D所示的內側間隔物275,並最小化地蝕刻(或不蝕刻)半導體層210、虛置閘極堆疊265、與閘極間隔物267。在所述實施例中,第二蝕刻製程為非等向蝕刻製程(如電漿蝕刻),以移除源極/汲極溝槽270中的間隔物層的部分。在一些實施例中,自閘極間隔物267的側壁、半導體層210的側壁、虛置閘極堆疊265、與基板202移除間隔物層。間隔物層(與內側間隔物275)包括的材料不同於半導體層215的材料與閘極間隔物267的材料,以在第二蝕刻製程時達到所需的蝕刻選擇性,且可提供金屬閘極與源極/汲極結構之間的隔離與分隔。在此實施例中,間隔物層包括的介電材料含矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、碳化矽、或碳氮氧化矽。在一些實施例中,可將摻質如p型摻質、n型摻質、或上述之組合導入介電材料,使間隔物層包括摻雜的介電材料。As shown in FIGS. 10A to 10D , the inner spacer 275 is formed in the channel region 264 along the sidewall of the semiconductor layer 210 by any suitable process. For example, a first etch process may be performed to selectively etch the semiconductor layer 215 exposed by the source/drain trenches 270, and to minimize (or not etch) the semiconductor layer 210 such that a gap is formed at the gate spacer. Between the substrate 202 and the semiconductor layer 210 and between the semiconductor layers 210 under the object 267. Parts (eg, edges) of the semiconductor layer 210 are thus suspended in the channel region 264 under the gate spacer 267 . In some embodiments, the gap extends partially below the dummy gate stack 265 . The first etching process may be configured to etch the semiconductor layer 215 laterally (eg, along the y direction), so as to reduce the length of the semiconductor layer 215 along the y direction. The first etching process can be a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. Next, a spacer layer is formed by a deposition process on the gate structure 260 and on the structure used to define the source/drain trench 270 (such as the semiconductor layer 215, the semiconductor layer 210, the diffusion barrier layer 204, and the substrate 202), And the deposition process can be chemical vapor deposition, physical vapor deposition, atomic layer deposition, high-density plasma chemical vapor deposition, organometallic chemical vapor deposition, remote plasma chemical vapor deposition, plasma-assisted chemical vapor deposition deposition, low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, other suitable methods, or a combination of the above. The spacer layer partially fills (in some embodiments may completely fill) the source/drain trenches 270 . The deposition process is configured to ensure that the spacer layer fills the gap between the substrate 202 and the semiconductor layer 210 and between the semiconductor layers 210 under the gate spacers 267 . Then a second etching process is performed to selectively etch the spacer layer to form the inner spacer 275 shown in FIGS. Gate spacers 267 . In the illustrated embodiment, the second etching process is an anisotropic etching process (eg, plasma etching) to remove part of the spacer layer in the source/drain trenches 270 . In some embodiments, the spacer layer is removed from the sidewalls of the gate spacers 267 , the sidewalls of the semiconductor layer 210 , the dummy gate stack 265 , and the substrate 202 . The spacer layer (and the inner spacer 275) comprise a material different from that of the semiconductor layer 215 and the gate spacer 267 to achieve the desired etch selectivity during the second etch process and to provide a metal gate isolation and separation from source/drain structures. In this embodiment, the dielectric material of the spacer layer includes silicon, oxygen, carbon, nitrogen, other suitable materials, or combinations thereof, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, or carbon nitride. Silicon oxide. In some embodiments, dopants such as p-type dopants, n-type dopants, or combinations thereof may be introduced into the dielectric material such that the spacer layer includes the doped dielectric material.

如圖11A至11D所示,磊晶源極/汲極結構形成於源極/汲極溝槽270中。在這些圖式中,隔離結構230A及230B可一起標示為隔離結構230以簡化圖式。如上所述,所述實施例的隔離結構可包括不同組成的隔離結構230A及230B。可自源極/汲極溝槽270所露出的基板202的部分與半導體層210磊晶成長半導體材料,以形成磊晶源極/汲極結構280A於對應n型全繞式閘極電晶體區的源極/汲極區262中,並形成磊晶源極/汲極結構280B於對應p型全繞式閘極電晶體區的源極/汲極區262中。磊晶製程可採用化學氣相沉積技術(比如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、其他合適的磊晶成長製程、或上述之組合。磊晶製程可採用氣相及/或液相前驅物,其可與基板202及/或半導體層堆疊205 (具體為半導體層210)的組成作用。磊晶源極/汲極結構280A及280B可摻雜n型摻質及/或p型摻質。在一些實施例中,對n型全繞式閘極電晶體而言,磊晶源極/汲極結構280A包括矽。磊晶源極/汲極結構280A可摻雜碳、磷、砷、其他n型摻質、或上述之組合,比如形成摻雜碳的矽磊晶源極/汲極結構、摻雜磷的矽源極/汲極結構、或摻雜磷與碳的矽磊晶源極/汲極結構。在一些實施例中,對p型全繞式閘極電晶體而言,磊晶源極/汲極結構280B包括矽鍺或鍺。磊晶源極/汲極結構280B可摻雜硼、其他p型摻質、或上述之組合,比如形成摻雜硼的矽鍺磊晶源極/汲極結構。在一些實施例中,磊晶源極/汲極結構280A及/或磊晶源極/汲極結構280B包括多個磊晶半導體層,其中磊晶半導體層可包括相同或不同的材料及/或摻質濃度。在一些實施例中,磊晶源極/汲極結構280A及280B包括的材料及/或摻質可達到個別通道區264中所需的拉伸應力及/或壓縮應力。在一些實施例中,可在沉積時添加雜質至磊晶製程的源材料以摻雜(如原位摻雜)磊晶源極/汲極結構280A及280B。在一些實施例中,可在沉積製程之後以離子佈植製程摻雜磊晶源極/汲極結構280A及280B。在一些實施例中,可進行退火製程(如快速熱退火及/或雷射退火)以活化磊晶源極/汲極結構280A及280B及/或其他源極/汲極區(比如重摻雜源極/汲極區及/或輕摻雜源極/汲極區)中的摻質。在一些實施例中,磊晶源極/汲極結構280A及280B可由分開的製程順序形成,比如在形成磊晶源極/汲極結構280A於n型全繞式閘極電晶體區之中時,遮罩p型全繞式閘極電晶體區;並在形成磊晶源極/汲極結構280B於p型全繞式閘極電晶體區之中時,遮罩n型全繞式閘極電晶體區。As shown in FIGS. 11A to 11D , epitaxial source/drain structures are formed in source/drain trenches 270 . In these figures, isolation structures 230A and 230B may be labeled together as isolation structure 230 to simplify the figures. As mentioned above, the isolation structures of the embodiments may include isolation structures 230A and 230B of different compositions. The semiconductor material can be epitaxially grown from the portion of the substrate 202 exposed by the source/drain trench 270 and the semiconductor layer 210 to form an epitaxial source/drain structure 280A corresponding to the n-type fully-wound gate transistor region In the source/drain region 262 of the p-type fully-wound gate transistor region, an epitaxial source/drain structure 280B is formed in the source/drain region 262 corresponding to the p-type fully-wound gate transistor region. The epitaxy process can adopt chemical vapor deposition technology (such as vapor phase epitaxy and/or ultra-high vacuum chemical vapor deposition), molecular beam epitaxy, other suitable epitaxy growth processes, or a combination of the above. The epitaxy process can use gaseous and/or liquid precursors, which can interact with the composition of the substrate 202 and/or the semiconductor layer stack 205 (specifically, the semiconductor layer 210 ). Epitaxial source/drain structures 280A and 280B may be doped with n-type dopants and/or p-type dopants. In some embodiments, for an n-type all-around gate transistor, the epitaxial source/drain structure 280A includes silicon. The epitaxial source/drain structure 280A can be doped with carbon, phosphorus, arsenic, other n-type dopants, or a combination of the above, such as forming a carbon-doped silicon epitaxial source/drain structure, phosphorus-doped silicon Source/drain structure, or epitaxial silicon source/drain structure doped with phosphorus and carbon. In some embodiments, for a p-type all-wound gate transistor, the epitaxial source/drain structure 280B includes silicon germanium or germanium. The epitaxial source/drain structure 280B can be doped with boron, other p-type dopants, or a combination thereof, such as forming a boron-doped SiGe epitaxial source/drain structure. In some embodiments, epitaxial source/drain structure 280A and/or epitaxial source/drain structure 280B include a plurality of epitaxial semiconductor layers, wherein the epitaxial semiconductor layers may include the same or different materials and/or Dopant concentration. In some embodiments, epitaxial source/drain structures 280A and 280B include materials and/or dopants that can achieve desired tensile and/or compressive stresses in individual channel regions 264 . In some embodiments, doping (eg, in-situ doping) the epitaxial source/drain structures 280A and 280B may be doped (eg, in-situ doped) by adding impurities to the source material of the epitaxial process during deposition. In some embodiments, the epitaxial source/drain structures 280A and 280B may be doped by an ion implantation process after the deposition process. In some embodiments, an anneal process (eg, rapid thermal anneal and/or laser anneal) may be performed to activate epitaxial source/drain structures 280A and 280B and/or other source/drain regions (eg, heavily doped source/drain regions and/or lightly doped source/drain regions). In some embodiments, epitaxial source/drain structures 280A and 280B may be formed by separate process sequences, such as when forming epitaxial source/drain structure 280A in an n-type fully-wound gate transistor region. , covering the p-type fully-wound gate transistor region; and when forming the epitaxial source/drain structure 280B in the p-type fully-wrapped gate transistor region, covering the n-type fully-wound gate transistor Transistor area.

如圖12A至12D所示,形成層間介電層282於隔離結構230 (如隔離結構230A及230B)、磊晶源極/汲極結構280A及280B、與閘極間隔物267上,其形成方法可為沉積製程如化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。層間介電層282位於相鄰的閘極結構260之間。在一些實施例中,層間介電層282的形成方法可為可流動的化學氣相沉積製程,其包括沉積可流動的材料(如液體化合物)於多閘極裝置200上,並由合適技術如熱退火及/或紫外線處理以將可流動的材料轉變成固體材料。舉例來說,層間介電層282包括介電材料如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷的氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、低介電常數的介電材料、其他合適的介電材料、或上述之組合。例示性的低介電常數的介電材料包括氟矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond® (購自加州Santa Clara的Applied Materials)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、苯并環丁烯、SiLK (購自密西根州Midland的Dow Chemical)、聚醯亞胺、其他低介電常數的介電材料、或上述之組合。在所述實施例中,層間介電層282為具有低介電常數的材料的介電層。層間介電層282可包括多層結構,其具有多種介電材料。在一些實施例中,接點蝕刻停止層位於層間介電層282與隔離結構230、磊晶源極/汲極結構280A及280B、以及閘極間隔物267之間。接點蝕刻停止層包括的材料不同於層間介電層282,比如不同於層間介電層282的介電材料。舉例來說,當層間介電層282包括低介電常數的介電材料時,接點蝕刻停止層可包括矽與氮如氮化矽或氮氧化矽。在沉積層間介電層282及/或接點蝕刻停止層之後,可進行化學機械研磨製程及/或其他平坦化製程,直到露出虛置閘極堆疊265的頂部或上表面。在一些實施例中,平坦化製程可移除虛置閘極堆疊265的硬遮罩層,以露出下方的虛置閘極堆疊265的虛置閘極如多晶矽閘極層。As shown in FIGS. 12A to 12D, an interlayer dielectric layer 282 is formed on the isolation structure 230 (such as the isolation structure 230A and 230B), the epitaxial source/drain structures 280A and 280B, and the gate spacer 267. The formation method Can be used for deposition processes such as chemical vapor deposition, physical vapor deposition, atomic layer deposition, high-density plasma chemical vapor deposition, organic metal chemical vapor deposition, remote plasma chemical vapor deposition, plasma-assisted chemical vapor deposition Deposition, low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, electroplating, other suitable methods, or a combination of the above. The interlayer dielectric layer 282 is located between adjacent gate structures 260 . In some embodiments, the formation method of the interlayer dielectric layer 282 can be a flowable chemical vapor deposition process, which includes depositing a flowable material (such as a liquid compound) on the multi-gate device 200, and using a suitable technique such as Thermal annealing and/or UV treatment to convert the flowable material into a solid material. For example, the interlayer dielectric layer 282 includes dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, oxide of tetraethoxysilane, phosphosilicate glass, borophosphosilicate glass, low dielectric constant dielectric material, other suitable dielectric materials, or a combination of the above. Exemplary low-k dielectric materials include fluorosilicate glass, carbon-doped silicon oxide, Black Diamond® (available from Applied Materials, Santa Clara, CA), xerogels, aerogels, amorphous fluorinated Carbon, parylene, benzocyclobutene, SiLK (available from Dow Chemical, Midland, Mich.), polyimide, other low-k dielectric materials, or combinations thereof. In the depicted embodiment, the ILD layer 282 is a dielectric layer of a material having a low dielectric constant. The interlayer dielectric layer 282 may include a multi-layer structure having various dielectric materials. In some embodiments, a contact etch stop layer is located between ILD layer 282 and isolation structure 230 , epitaxial source/drain structures 280A and 280B, and gate spacer 267 . The contact etch stop layer includes a material different from the ILD layer 282 , such as a different dielectric material from the ILD layer 282 . For example, when the ILD layer 282 includes a low-k dielectric material, the contact etch stop layer may include silicon and nitrogen such as silicon nitride or silicon oxynitride. After depositing the ILD layer 282 and/or the contact etch stop layer, a chemical mechanical polishing process and/or other planarization processes may be performed until the top or upper surface of the dummy gate stack 265 is exposed. In some embodiments, the planarization process may remove the hard mask layer of the dummy gate stack 265 to expose the underlying dummy gate, such as a polysilicon gate layer, of the dummy gate stack 265 .

層間介電層282可為基板202上的多層內連線結構的一部分。多層內連線結構電性耦接多種裝置(比如多閘極裝置200的p型全繞式閘極電晶體及/或n型全繞式閘極電晶體、電晶體、電阻、電容器、及/或電感)及/或構件(比如p型全繞式閘極電晶體及/或n型全繞式閘極電晶體的閘極結構及/或磊晶源極/汲極結構),使多種裝置及/或構件可依多閘極裝置200所需的設計規格操作。多層內連線結構包括介電層與導電層(如金屬層)的組合,其設置以形成多種內連線結構。導電層設置以形成垂直內連線結構如裝置層的接點及/或通孔,及/或水平內連線結構如導電線路。垂直內連線結構通常可連接多層內連線結構的不同層或不同平面中的結構。在操作時,內連線結構設置以輸送訊號於多閘極裝置200的裝置及/或構件之間,及/或傳遞訊號(如時序訊號、電壓訊號、及/或地線訊號)至多閘極裝置200的裝置及/或構件。The ILD layer 282 may be part of a multilayer interconnect structure on the substrate 202 . The multilayer interconnection structure is electrically coupled to various devices (such as p-type all-around gate transistors and/or n-type all-around gate transistors of the multi-gate device 200, transistors, resistors, capacitors, and/or or inductors) and/or components (such as p-type fully-wound gate transistors and/or n-type fully-wound gate transistor gate structures and/or epitaxial source/drain structures), enabling a variety of devices And/or components may operate according to the desired design specifications of the multi-gate device 200 . A multilayer interconnection structure includes a combination of dielectric layers and conductive layers, such as metal layers, arranged to form various interconnection structures. The conductive layer is configured to form vertical interconnect structures such as contacts and/or vias in the device layer, and/or horizontal interconnect structures such as conductive lines. Vertical interconnect structures typically connect structures in different layers of a multilayer interconnect structure or in different planes. In operation, the interconnect structure is configured to carry signals between devices and/or components of the multi-gate device 200, and/or to pass signals (such as timing signals, voltage signals, and/or ground signals) to the multi-gates Devices and/or components of device 200 .

如圖13A至13D所示,自閘極結構260移除虛置閘極堆疊265,以露出n型閘極區260-1與p型閘極區260-2中的鰭狀物218A及218B的半導體層堆疊205。在所述實施例中,蝕刻製程可完全移除虛置閘極堆疊265以露出通道區264中的半導體層215與半導體層210。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程可為多步驟的蝕刻製程。舉例來說,蝕刻製程可改變蝕刻劑以分開移除虛置閘極堆疊265的多種層狀物如虛置閘極層、虛置閘極介電層、及/或硬遮罩層。在一些實施例中,蝕刻製程設置以選擇性蝕刻虛置閘極堆疊265,且最小化地蝕刻(或不蝕刻)多閘極裝置200的其他結構如層間介電層282、閘極間隔物267、隔離結構230、半導體層215、與半導體層210。在一些實施例中,進行此處所述的微影製程,以形成圖案化遮罩層而覆蓋層間介電層282及/或閘極間隔物267,且蝕刻製程採用圖案化遮罩層作為蝕刻遮罩。As shown in FIGS. 13A-13D , dummy gate stack 265 is removed from gate structure 260 to expose fins 218A and 218B in n-type gate region 260-1 and p-type gate region 260-2. Semiconductor layer stack 205 . In the embodiment, the etching process can completely remove the dummy gate stack 265 to expose the semiconductor layer 215 and the semiconductor layer 210 in the channel region 264 . The etching process can be a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. In some embodiments, the etching process may be a multi-step etching process. For example, the etching process may vary the etchant to separately remove various layers of the dummy gate stack 265 such as dummy gate layers, dummy gate dielectric layers, and/or hard mask layers. In some embodiments, the etch process is configured to selectively etch dummy gate stack 265 with minimal etching (or no etching) of other structures of multi-gate device 200 such as ILD 282, gate spacers 267. , the isolation structure 230 , the semiconductor layer 215 , and the semiconductor layer 210 . In some embodiments, the lithography process described herein is performed to form a patterned mask layer covering the ILD layer 282 and/or the gate spacers 267, and the etch process uses the patterned mask layer as an etch process. mask.

如圖14A至14D所示,自通道區264選擇性移除閘極溝槽284所露出的半導體層堆疊205的半導體層215,進而形成懸空的半導體層如通道層210’於通道區264中。在所述實施例中,蝕刻製程可選擇性蝕刻半導體層215而最小化地蝕刻(或不蝕刻)半導體層210。在一些實施例中,蝕刻製程可最小化地蝕刻(或不蝕刻)閘極間隔物267及/或內側間隔物275。在所述實施例中,半導體層215與覆層250具有相同組成(如所述實施例的矽鍺),且可選擇性移除覆層250。在此例中,覆層250提供蝕刻路徑,以有效移除半導體層215。在一些實施例中,半導體層215與擴散阻擋層204可具有類似組成(如所述實施例的矽鍺),且可選擇性移除擴散阻擋層204。As shown in FIGS. 14A to 14D , the semiconductor layer 215 of the semiconductor layer stack 205 exposed by the gate trench 284 is selectively removed from the channel region 264 , thereby forming a suspended semiconductor layer such as the channel layer 210 ′ in the channel region 264 . In the illustrated embodiment, the etching process may selectively etch the semiconductor layer 215 while minimally (or not) etching the semiconductor layer 210 . In some embodiments, the etch process may minimally etch (or not etch) gate spacers 267 and/or inner spacers 275 . In the illustrated embodiment, the semiconductor layer 215 has the same composition as the cladding layer 250 (such as SiGe in the illustrated embodiment), and the cladding layer 250 can be selectively removed. In this example, the capping layer 250 provides an etch path to effectively remove the semiconductor layer 215 . In some embodiments, the semiconductor layer 215 and the diffusion barrier layer 204 may have a similar composition (such as silicon germanium in the described embodiment), and the diffusion barrier layer 204 may be selectively removed.

可調整多種蝕刻參數以選擇性蝕刻半導體層215,比如蝕刻劑組成、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、源功率、射頻偏電壓、射頻偏功率、蝕刻劑流速、其他合適的蝕刻參數、或上述之組合。舉例來說,選擇蝕刻製程所用的蝕刻劑,使半導體層215的材料(在所述實施例中為矽鍺)的蝕刻速率高於半導體層210的材料(在所述實施例中為矽)的蝕刻速率,比如蝕刻劑對半導體層215的材料具有高蝕刻選擇性。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,乾蝕刻製程(如反應性離子蝕刻)採用含氟氣體(如六氟化硫)以選擇性蝕刻半導體層215。在一些實施例中,可調整含氟氣體與含氧氣體(如氧氣)的比例、蝕刻溫度、及/或射頻功率,以選擇性蝕刻矽鍺或矽。在一些實施例中,濕蝕刻製程採用含氫氧化銨與水的蝕刻溶液,以選擇性蝕刻半導體層215。在一些實施例中,化學氣相蝕刻製程可採用氯化氫以選擇性蝕刻半導體層215。A variety of etching parameters can be adjusted to selectively etch the semiconductor layer 215, such as etchant composition, etching temperature, etching solution concentration, etching time, etching pressure, source power, RF bias voltage, RF bias power, etchant flow rate, other suitable etching parameter, or a combination of the above. For example, the etchant used in the etching process is selected such that the etching rate of the material of the semiconductor layer 215 (silicon germanium in the described embodiment) is higher than that of the material of the semiconductor layer 210 (silicon in the described embodiment). The etch rate, such as etchant, has a high etch selectivity to the material of the semiconductor layer 215 . The etching process can be a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. In some embodiments, a dry etching process (such as reactive ion etching) uses a fluorine-containing gas (such as sulfur hexafluoride) to selectively etch the semiconductor layer 215 . In some embodiments, the ratio of fluorine-containing gas to oxygen-containing gas (such as oxygen), etching temperature, and/or RF power can be adjusted to selectively etch SiGe or Si. In some embodiments, the wet etching process uses an etching solution containing ammonium hydroxide and water to selectively etch the semiconductor layer 215 . In some embodiments, the chemical vapor etching process may use hydrogen chloride to selectively etch the semiconductor layer 215 .

因此閘極溝槽284露出n型閘極區260-1與p型閘極區260-2中的至少一懸空的半導體層如通道層210’。在所述實施例中,n型閘極區260-1與p型閘極區260-2各自包括垂直堆疊的四個懸空的半導體層如通道層210’,其在操作全繞式閘極電晶體時可提供個別磊晶源極/汲極結構(如磊晶源極/汲極結構280A或磊晶源極/汲極結構280B)之間的電流流動的四個通道。因此懸空的半導體層可視作通道層210’。n型閘極區260-1中的通道層210’隔有間隙286A,而p型閘極區260-2中的通道層210’隔有間隙286B。n型閘極區260-1中的通道層210’與基板202亦可隔有間隙286A,而p型閘極區260-2中的通道層210’與基板202亦可隔有間隙286B。空間s1沿著z方向定義於n型閘極區260-1中的通道層210’之間,而空間s2沿著z方向定義於p型閘極區260-2中的通道層210’之間。空間s1與空間s2分別對應間隙286A與間隙286B的寬度。在所述實施例中,空間s1大致等於空間s2,但本發明實施例的空間s1亦可不同於空間s2。在一些實施例中,空間s1與空間s2均大致等於半導體層215的厚度t1。然而空間s3沿著z方向定義於n型閘極區260-1中的最底部的通道層210’與基板202 (具體為抗擊穿結構240)之間,而空間s4沿著z方向定義於p型閘極區260-2中的最底部的通道層210’與基板202 (具體為抗擊穿結構246)之間。空間s3及s4分別不同於空間s1及s2。在所述實施例中,空間s3及s4分別大於空間s1及s2,因為擴散阻擋層204的厚度大於半導體層215的厚度。Therefore, the gate trench 284 exposes at least one suspended semiconductor layer such as the channel layer 210' in the n-type gate region 260-1 and the p-type gate region 260-2. In the illustrated embodiment, each of the n-type gate region 260-1 and the p-type gate region 260-2 includes vertically stacked four suspended semiconductor layers, such as the channel layer 210', which are used to operate the fully wound gate electrode. The crystal can provide four channels for current flow between individual epitaxial source/drain structures (eg, epitaxial source/drain structure 280A or epitaxial source/drain structure 280B). Therefore, the suspended semiconductor layer can be regarded as the channel layer 210'. The channel layer 210' in the n-type gate region 260-1 is separated by a gap 286A, and the channel layer 210' in the p-type gate region 260-2 is separated by a gap 286B. The channel layer 210' in the n-type gate region 260-1 and the substrate 202 may also be separated by a gap 286A, and the channel layer 210' in the p-type gate region 260-2 may also be separated by a gap 286B from the substrate 202. The space s1 is defined between the channel layers 210' in the n-type gate region 260-1 along the z direction, and the space s2 is defined between the channel layers 210' in the p-type gate region 260-2 along the z direction. . The space s1 and the space s2 respectively correspond to the widths of the gap 286A and the gap 286B. In the above embodiment, the space s1 is approximately equal to the space s2, but the space s1 in the embodiment of the present invention may also be different from the space s2. In some embodiments, both the space s1 and the space s2 are approximately equal to the thickness t1 of the semiconductor layer 215 . However, the space s3 is defined along the z direction between the bottommost channel layer 210' in the n-type gate region 260-1 and the substrate 202 (specifically, the anti-puncture structure 240), and the space s4 is defined along the z direction between p between the bottommost channel layer 210 ′ in the type gate region 260 - 2 and the substrate 202 (specifically, the anti-puncture structure 246 ). Spaces s3 and s4 are different from spaces s1 and s2, respectively. In the illustrated embodiment, the spaces s3 and s4 are larger than the spaces s1 and s2 , respectively, because the thickness of the diffusion barrier layer 204 is greater than the thickness of the semiconductor layer 215 .

此外,n型閘極區260-1中的通道層210’具有沿著x方向的長度L1與沿著y方向的寬度w1,且p型閘極區260-2中的通道層210’具有沿著y方向的長度L2與沿著x方向的寬度w2。在所述實施例中,長度L1大致等於長度L2,而寬度w1大致等於寬度w2,但本發明實施例的長度L1可不同於長度L2及/或寬度w1可不同於寬度w2。在一些實施例中,長度L1及/或長度L2可為約10 nm至約50 nm。在一些實施例中,寬度w1及/或寬度w2可為約4 nm至約10 nm。在一些實施例中,通道層210’各自具有奈米尺寸且可視作奈米線,其通常指的是懸空的通道層,而金屬閘極可物理接觸通道層的至少兩側。在全繞式閘極電晶體中,金屬閘極可物理接觸通道層的至少四側(如圍繞通道層)。在這些實施例中,懸空通道層的垂直堆疊可視作奈米結構,且圖14A至14D所示的製程可視作通道奈米線釋放製程。一些實施例在移除半導體層215之後,可進行蝕刻製程調整通道層210’的輪廓,以達所需尺寸及/或所需形狀(比如圓柱狀(如奈米線)、矩形(如奈米棒)、片狀(如奈米片)、或類似形狀)。本發明實施例的通道層210’ (奈米線)亦可具有次奈米尺寸,端視多閘極裝置200的設計需求而定。In addition, the channel layer 210' in the n-type gate region 260-1 has a length L1 along the x direction and a width w1 along the y direction, and the channel layer 210' in the p-type gate region 260-2 has a length L1 along the y direction. The length L2 along the y direction and the width w2 along the x direction. In the described embodiment, the length L1 is approximately equal to the length L2, and the width w1 is approximately equal to the width w2, but in embodiments of the present invention the length L1 may be different from the length L2 and/or the width w1 may be different from the width w2. In some embodiments, length L1 and/or length L2 may be from about 10 nm to about 50 nm. In some embodiments, width w1 and/or width w2 may be from about 4 nm to about 10 nm. In some embodiments, each of the channel layers 210' has a nanometer size and can be regarded as a nanowire, which generally refers to a suspended channel layer, and metal gates can physically contact at least two sides of the channel layer. In a fully-wound gate transistor, the metal gate can physically contact at least four sides of the channel layer (eg, surround the channel layer). In these embodiments, the vertical stack of suspended channel layers can be considered as a nanostructure, and the process shown in Figures 14A-14D can be considered as a channel nanowire release process. In some embodiments, after removing the semiconductor layer 215, an etching process may be performed to adjust the profile of the channel layer 210' to achieve a desired size and/or a desired shape (such as cylindrical (such as nanowire), rectangular (such as nanowire), etc. rods), sheets (such as nanosheets), or similar shapes). The channel layer 210' (nanowire) of the embodiment of the present invention may also have a sub-nanometer size, depending on the design requirements of the multi-gate device 200.

如圖15A至15D所示,閘極介電層形成於多閘極裝置200上,其中閘極介電層部分地填入閘極溝槽284,並包覆(圍繞)閘極結構260的n型閘極區260-1與p型閘極區260-2中的通道層210’。在所述實施例中,閘極介電層包括界面層288與高介電常數的介電層290,其中界面層288位於高介電常數的介電層290與通道層210’之間。在所述實施例中,界面層288與高介電常數的介電層290部分地填入n型閘極區260-1中的通道層210’之間以及通道層210’與基板202之間的間隙286A,並部分地填入p型閘極區260-2中的通道層210’之間以及通道層210’與基板202之間的間隙286B。在一些實施例中,界面層288及/或高介電常數的介電層290亦可位於基板202、隔離結構230、及/或閘極間隔物267上。界面層288包括介電材料如氧化矽、氧化鉿矽、氮氧化矽、其他含矽的介電材料、其他合適的介電材料、或上述之組合。高介電常數的介電層290包括高介電常數的介電材料,比如氧化鉿、氧化鉿矽、矽酸鉿、氮氧化鉿矽、氧化鉿鑭、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鉿鋁、氧化鋯、二氧化鋯、氧化鋯矽、氧化鋁、氧化鋁矽、三氧化二鋁、氧化鈦、二氧化鈦、氧化鑭、氧化鑭矽、三氧化二鉭、五氧化二鉭、氧化釔、鈦酸鍶、氧化鋇鋯、鈦酸鋇、鈦酸鋇鍶、氮化矽、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。高介電常數的介電材料通常視作具有高介電常數(比如大於氧化矽的介電常數如約3.9)的介電材料。界面層288的形成方法可為此處所述的任何製程,比如熱氧化、化學氧化、原子層沉積、化學氣相沉積、其他合適製程、或上述之組合。在一些實施例中,界面層288的厚度可為約0.5 nm至約1 nm。高介電常數的介電層290的形成方法可為任何此處所述的製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、氧化為主的沉積製程、其他合適製程、或上述之組合。在一些實施例中,高介電常數的介電層290的厚度為約1 nm至約2 nm。As shown in FIGS. 15A to 15D , a gate dielectric layer is formed on the multi-gate device 200 , wherein the gate dielectric layer partially fills the gate trench 284 and wraps (surrounds) the gate structure 260 n The channel layer 210' in the p-type gate region 260-1 and the p-type gate region 260-2. In the illustrated embodiment, the gate dielectric layer includes an interface layer 288 and a high-k dielectric layer 290, wherein the interface layer 288 is located between the high-k dielectric layer 290 and the channel layer 210'. In the illustrated embodiment, the interfacial layer 288 and the high-k dielectric layer 290 are partially filled between the channel layer 210 ′ in the n-type gate region 260 - 1 and between the channel layer 210 ′ and the substrate 202 gap 286A, and partially fill the gap 286B between the channel layer 210 ′ and between the channel layer 210 ′ and the substrate 202 in the p-type gate region 260 - 2 . In some embodiments, the interfacial layer 288 and/or the high-k dielectric layer 290 may also be located on the substrate 202 , the isolation structure 230 , and/or the gate spacer 267 . The interfacial layer 288 includes dielectric materials such as silicon oxide, hafnium silicon oxide, silicon oxynitride, other silicon-containing dielectric materials, other suitable dielectric materials, or combinations thereof. The high-k dielectric layer 290 includes a high-k dielectric material, such as hafnium oxide, hafnium silicon oxide, hafnium silicate, hafnium silicon oxynitride, hafnium lanthanum oxide, hafnium tantalum oxide, hafnium titanium oxide, hafnium oxide Zirconium, hafnium aluminum oxide, zirconia, zirconia, zirconia silicon oxide, aluminum oxide, aluminum oxide silicon, aluminum oxide, titanium oxide, titanium dioxide, lanthanum oxide, lanthanum silicon oxide, tantalum trioxide, tantalum pentoxide , yttrium oxide, strontium titanate, barium zirconium oxide, barium titanate, barium strontium titanate, silicon nitride, hafnium oxide-aluminum oxide, other suitable dielectric materials with high dielectric constant, or combinations thereof. A high-k dielectric material is generally regarded as a dielectric material having a high dielectric constant (eg, greater than that of silicon oxide, eg, about 3.9). The formation method of the interfacial layer 288 can be any process described herein, such as thermal oxidation, chemical oxidation, atomic layer deposition, chemical vapor deposition, other suitable processes, or a combination thereof. In some embodiments, interfacial layer 288 may have a thickness from about 0.5 nm to about 1 nm. The high-k dielectric layer 290 can be formed by any of the processes described herein, such as atomic layer deposition, chemical vapor deposition, physical vapor deposition, oxidation-based deposition processes, other suitable processes, or the above-mentioned combination. In some embodiments, the high-k dielectric layer 290 has a thickness of about 1 nm to about 2 nm.

如圖16A至16D所示,形成閘極材料於閘極溝槽284中,以形成閘極區260-1中的金屬閘極堆疊360A與閘極區260-2中的金屬閘極堆疊360B。閘極區260-2中的閘極堆疊360B的閘極包括p型功函數層320與填充金屬層330。閘極可進一步包括其他導電材料如蓋層、阻擋層、或上述兩者。As shown in FIGS. 16A to 16D , gate material is formed in gate trench 284 to form metal gate stack 360A in gate region 260 - 1 and metal gate stack 360B in gate region 260 - 2 . The gate of the gate stack 360B in the gate region 260 - 2 includes a p-type work function layer 320 and a fill metal layer 330 . The gate may further include other conductive materials such as a cap layer, a barrier layer, or both.

在n型場效電晶體所用的閘極堆疊360A中,n型功函數層310形成於多閘極裝置200上,具體為閘極結構260的n型閘極區260-1中的高介電常數的介電層290上。舉例來說,原子層沉積製程可順應性地沉積n型功函數層310於高介電常數的介電層290上,使n型功函數層310具有實質上一致的厚度並沿著n型閘極區260-1中的閘極長度方向部分地填入閘極溝槽284。在n型閘極區260-1中,n型功函數層310位於高介電常數的介電層290上,並圍繞高介電常數的介電層290、界面層288、與通道層210’。舉例來說,n型功函數層310沿著通道層210’的側壁、頂部、與底部。在所述實施例中,n型功函數層310的厚度可部分填入或完全填入n型閘極區260-1中的通道層210’之間以及通道層210’與基板202之間的間隙286A的其餘部分。在一些實施例中,n型功函數層310的厚度為約1 nm至約5 nm。n型功函數層310包括任何合適的n型功函數材料,比如鈦、鋁、銀、錳、鋯、鈦鋁、碳化鈦鋁、碳化鈦鋁矽、碳化鉭、碳氮化鉭、氮化鉭矽、鉭鋁、碳化鉭鋁、碳化鉭鋁矽、氮化鈦鋁、其他n型功函數材料、或上述之組合。在所述實施例中,n型功函數層310包括鋁。舉例來說,n型功函數層包括鈦與鋁,比如鈦鋁、碳化鈦鋁、鈦鋁矽化物、或碳化鈦鋁矽。n型功函數層310的形成方法可改為採用另一合適的沉積製程,比如化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。In the gate stack 360A for n-type field effect transistors, the n-type work function layer 310 is formed on the multi-gate device 200, specifically the high-k dielectric in the n-type gate region 260-1 of the gate structure 260. constant dielectric layer 290 . For example, the ALD process can conformally deposit the n-type work function layer 310 on the high-k dielectric layer 290 such that the n-type work function layer 310 has a substantially uniform thickness along the n-type gate The gate trench 284 is partially filled in the gate length direction in the pole region 260 - 1 . In the n-type gate region 260-1, the n-type work function layer 310 is located on the high-k dielectric layer 290 and surrounds the high-k dielectric layer 290, the interface layer 288, and the channel layer 210' . For example, the n-type work function layer 310 is along the sidewall, top, and bottom of the channel layer 210'. In this embodiment, the thickness of the n-type work function layer 310 may partially fill or completely fill in the gap between the channel layer 210' in the n-type gate region 260-1 and between the channel layer 210' and the substrate 202. the rest of the gap 286A. In some embodiments, the thickness of the n-type work function layer 310 is about 1 nm to about 5 nm. The n-type work function layer 310 includes any suitable n-type work function material, such as titanium, aluminum, silver, manganese, zirconium, titanium aluminum, titanium aluminum carbide, titanium aluminum silicon carbide, tantalum carbide, tantalum carbonitride, tantalum nitride Silicon, tantalum aluminum, tantalum aluminum carbide, tantalum aluminum silicon carbide, titanium aluminum nitride, other n-type work function materials, or a combination of the above. In the depicted embodiment, n-type work function layer 310 includes aluminum. For example, the n-type work function layer includes titanium and aluminum, such as titanium aluminum, titanium aluminum carbide, titanium aluminum silicide, or titanium aluminum silicon carbide. The formation method of the n-type work function layer 310 can be changed to another suitable deposition process, such as chemical vapor deposition, physical vapor deposition, high-density plasma chemical vapor deposition, metalorganic chemical vapor deposition, remote electrodeposition, etc. Plasma chemical vapor deposition, plasma assisted chemical vapor deposition, low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, spin coating, electroplating, other deposition processes, or a combination of the above.

在p型場效電晶體所用的閘極堆疊360B中,p型功函數層320形成於多閘極裝置200上,具體為閘極結構260的p型閘極區260-2中的高介電常數的介電層290上。舉例來說,原子層沉積製程可順應性地沉積p型功函數層320於高介電常數的介電層290上,使p型功函數層320具有實質上一致的厚度並部分地或完全填入閘極溝槽284。在p型閘極區260-2中,p型功函數層320位於高介電常數的介電層290上,並圍繞高介電常數的介電層290、界面層288、與通道層210’。舉例來說,p型功函數層320沿著通道層210’的側壁、頂部、與底部。p型功函數層320的厚度設置以至少填入通道層210’之間以及通道層210’與基板202之間的間隙286B。在一些實施例中,p型功函數層320的厚度可為約1 nm至約10 nm。p型功函數層320包括任何合適的p型功函數材料,比如氮化鈦、氮化鉭、氮化鉭矽、釕、鉬、鋁、氮化鎢、碳氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、其他p型功函數材料、或上述之組合。在所述實施例中,p型功函數層320可包括鈦與氮,比如氮化鈦。p型功函數層320的形成方法可採用另一合適的沉積製程,比如化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。In the gate stack 360B for p-type field effect transistors, the p-type work function layer 320 is formed on the multi-gate device 200, specifically the high-k dielectric in the p-type gate region 260-2 of the gate structure 260. constant dielectric layer 290 . For example, the atomic layer deposition process can conformally deposit the p-type work function layer 320 on the high-k dielectric layer 290, so that the p-type work function layer 320 has a substantially uniform thickness and partially or completely fills the into the gate trench 284 . In the p-type gate region 260-2, the p-type work function layer 320 is located on the high-k dielectric layer 290 and surrounds the high-k dielectric layer 290, the interface layer 288, and the channel layer 210' . For example, the p-type work function layer 320 is along the sidewall, top, and bottom of the channel layer 210'. The thickness of the p-type work function layer 320 is set to at least fill the gap 286B between the channel layers 210' and between the channel layer 210' and the substrate 202. In some embodiments, the thickness of the p-type work function layer 320 may be about 1 nm to about 10 nm. The p-type work function layer 320 includes any suitable p-type work function material, such as titanium nitride, tantalum nitride, tantalum silicon nitride, ruthenium, molybdenum, aluminum, tungsten nitride, tungsten carbonitride, zirconium silicide, molybdenum Silicide, tantalum silicide, nickel silicide, other p-type work function materials, or combinations thereof. In the illustrated embodiment, the p-type work function layer 320 may include titanium and nitrogen, such as titanium nitride. The formation method of the p-type work function layer 320 can adopt another suitable deposition process, such as chemical vapor deposition, physical vapor deposition, high-density plasma chemical vapor deposition, organic metal chemical vapor deposition, remote plasma chemical vapor deposition, etc. Vapor deposition, low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, spin coating, electroplating, other deposition processes, or a combination of the above.

金屬填充層330 (或金屬基體層)形成於多閘極裝置200上,特別是n型閘極區260-1中的n型功函數層之上,以及p型閘極區260-2中的p型功函數層之上。舉例來說,化學氣相沉積或物理氣相沉積製程可沉積金屬填充層330於n型功函數層310與p型功函數層320中,使金屬填充層330填入閘極溝槽284與間隙286A及286B的任何其餘部分,包括n型閘極區260-1中的間隙286A的任何其餘部分與p型閘極區260-2中的間隙286B的任何其餘部分。金屬填充層330包括合適的導電材料如鋁、鎢、及/或銅。金屬填充層330可額外或共同包含其他金屬、金屬氧化物、金屬氮化物、其他合適材料、或上述之組合。一些實施方式在形成金屬填充層330之前,可視情況形成阻擋層於n型功函數層與p型功函數層上,使金屬填充層330位於阻擋層上。舉例來說,原子層沉積製程可順應性沉積阻擋層於n型功函數層310與p型功函數層320上,使阻擋層具有實質上一致的厚度並部分地填入閘極溝槽284與間隙286A及286B。阻擋層包括的材料可阻擋及/或減少閘極層之間的擴散。金屬填充層330及/或阻擋層的形成方法可改為採用另一合適的沉積製程如原子層沉積、化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。The metal filling layer 330 (or metal matrix layer) is formed on the multi-gate device 200, especially on the n-type work function layer in the n-type gate region 260-1, and on the n-type work function layer in the p-type gate region 260-2. on top of the p-type work function layer. For example, the chemical vapor deposition or physical vapor deposition process can deposit the metal filling layer 330 in the n-type work function layer 310 and the p-type work function layer 320, so that the metal filling layer 330 fills the gate trench 284 and the gap 286A and any remaining portion of 286B, including any remaining portion of gap 286A in n-type gate region 260-1 and any remaining portion of gap 286B in p-type gate region 260-2. Metal fill layer 330 includes a suitable conductive material such as aluminum, tungsten, and/or copper. The metal filling layer 330 may additionally or jointly include other metals, metal oxides, metal nitrides, other suitable materials, or combinations thereof. In some embodiments, before forming the metal filling layer 330 , a barrier layer may be optionally formed on the n-type work function layer and the p-type work function layer, so that the metal filling layer 330 is located on the barrier layer. For example, the atomic layer deposition process can conformally deposit a barrier layer on the n-type work function layer 310 and the p-type work function layer 320, so that the barrier layer has a substantially uniform thickness and partially fills the gate trench 284 and the gate trench 284. Gaps 286A and 286B. The blocking layer includes materials that block and/or reduce diffusion between the gate layers. The formation method of the metal filling layer 330 and/or the barrier layer can be changed to another suitable deposition process such as atomic layer deposition, chemical vapor deposition, physical vapor deposition, high density plasma chemical vapor deposition, metalorganic chemical vapor phase deposition, remote plasma chemical vapor deposition, plasma assisted chemical vapor deposition, low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric chemical vapor deposition, spin coating, electroplating, other deposition processes, or a combination of the above.

由於閘極堆疊360A與閘極堆疊360B的組成不同(具體為功函數材料不同),可由多種合適程序形成閘極堆疊360A與閘極堆疊360B。在一些實施例中,可分開形成閘極堆疊360A與閘極堆疊360B。舉例來說,形成閘極堆疊360A於n型閘極區260-1之中時,可由圖案化遮罩覆蓋p型閘極區260-2。接著形成閘極堆疊360B於p型閘極區260-2之中時,可由另一圖案化遮罩覆蓋n型閘極區260-1。在一些實施例中,上述順序可顛倒,即先形成閘極堆疊360B,之後形成閘極堆疊360A。在一些其他實施例中,可一起形成閘極堆疊360A與閘極堆疊360B。舉例來說,可沉積n型功函數材料於n型閘極區260-1與p型閘極區260-2中,接著以微影與蝕刻製程自p型閘極區260-2移除n型功函數層。接著沉積p型功函數材料。在沉積金屬填充層330於閘極溝槽284與間隙286A及286B中之後,可進行化學機械研磨製程以移除多餘的填充金屬並平坦化上表面。Since the gate stack 360A and the gate stack 360B have different compositions (specifically, different work function materials), the gate stack 360A and the gate stack 360B can be formed by various suitable procedures. In some embodiments, gate stack 360A and gate stack 360B may be formed separately. For example, when the gate stack 360A is formed in the n-type gate region 260-1, the p-type gate region 260-2 may be covered by a patterned mask. Next, when the gate stack 360B is formed in the p-type gate region 260-2, another patterned mask may cover the n-type gate region 260-1. In some embodiments, the above order can be reversed, that is, the gate stack 360B is formed first, and then the gate stack 360A is formed. In some other embodiments, gate stack 360A and gate stack 360B may be formed together. For example, n-type work function material can be deposited in n-type gate region 260-1 and p-type gate region 260-2, and then removed from p-type gate region 260-2 by lithography and etching processes. type work function layer. A p-type work function material is then deposited. After depositing metal fill layer 330 in gate trench 284 and gaps 286A and 286B, a chemical mechanical polishing process may be performed to remove excess fill metal and planarize the top surface.

因此形成圖16A至16D所示的多閘極裝置200。在多閘極裝置200中,抗擊穿結構240形成於鰭狀主動區如鰭狀物218A之中與閘極堆疊360A之下。具體而言,抗擊穿結構240自隔離結構230A的上表面跨到下表面。特別的是,閘極堆疊360A的一部分夾設於抗擊穿結構240與底部通道層210’之間,且閘極堆疊360A的此部分厚度大於夾設於相鄰的通道層210’之間的其他部分厚度。這是因為擴散阻擋層204的厚度不同於半導體層215的厚度,如上所述。此外,隔離結構230A包括三層如襯墊232、固體摻雜源材料層234 (含p型摻質)、與填充介電材料層236。類似地,隔離結構230B包括襯墊232、固體摻雜源材料層244 (含n型摻質)、與填充介電材料層236。隔離結構230A或230B可具有不同於圖17A至17D所示的結構。具體而言,固體摻雜源材料層234或244可為隔離結構230A或230B的最頂部。Thus the multi-gate device 200 shown in FIGS. 16A to 16D is formed. In the multi-gate device 200 , the anti-puncture structure 240 is formed in the fin-shaped active region, such as the fin 218A, and under the gate stack 360A. Specifically, the anti-puncture structure 240 spans from the upper surface to the lower surface of the isolation structure 230A. In particular, a part of the gate stack 360A is sandwiched between the anti-puncture structure 240 and the bottom channel layer 210', and the thickness of this part of the gate stack 360A is greater than that of other gate stacks 360A sandwiched between the adjacent channel layers 210'. part thickness. This is because the thickness of the diffusion barrier layer 204 is different from the thickness of the semiconductor layer 215, as described above. In addition, the isolation structure 230A includes three layers such as a liner 232 , a solid dopant source material layer 234 (containing p-type dopants), and a filling dielectric material layer 236 . Similarly, the isolation structure 230B includes a liner 232 , a solid dopant source material layer 244 (containing n-type dopants), and a filling dielectric material layer 236 . The isolation structure 230A or 230B may have a structure different from that shown in FIGS. 17A to 17D . Specifically, the solid dopant source material layer 234 or 244 may be the topmost of the isolation structure 230A or 230B.

可持續製作多閘極裝置200。舉例來說,可形成多種接點以利操作n型全繞式閘極電晶體與p型全繞式閘極電晶體。舉例來說,可形成一或多個層間介電層(與層間介電層282類似)及/或接點蝕刻停止層於基板202之上,具體為層間介電層282與閘極結構260之上。接著可形成接點於層間介電層282及/或層間介電層282之上的層間介電層之中。舉例來說,接點分別電性及/或物理耦接至閘極結構260,而接點可分別電性及/或物理耦揭至n型全繞式閘極電晶體與p型全繞式閘極電晶體的源極/汲極區(具體為磊晶源極/汲極結構280A及280B)。接點包括導電材料如金屬。金屬包括鋁、鋁合金(如鋁、矽、與銅的合金)、銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物、其他合適金屬、或上述之組合。金屬矽化物可包括鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、或上述之組合。在一些實施例中,位於層間介電層282與接點(比如延伸穿過層間介電層282及/或其他層間介電層的接點)上的層間介電層,為上述多層內連線結構的一部分。The multi-gate device 200 can be fabricated continuously. For example, various contacts can be formed to facilitate operation of n-type all-around gate transistors and p-type all-around gate transistors. For example, one or more ILD layers (similar to ILD layer 282 ) and/or contact etch stop layers may be formed over substrate 202 , specifically between ILD layer 282 and gate structure 260 . superior. Contacts may then be formed in the ILD layer 282 and/or in the ILD layer above the ILD layer 282 . For example, the contacts are respectively electrically and/or physically coupled to the gate structure 260, and the contacts may be respectively electrically and/or physically coupled to the n-type all-wound gate transistor and the p-type all-wound gate transistor. Source/drain regions of gate transistors (specifically, epitaxial source/drain structures 280A and 280B). The contacts include conductive material such as metal. Metals include aluminum, aluminum alloys (such as aluminum, silicon, and copper alloys), copper, copper alloys, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, polysilicon, metal silicide, other suitable metals, or the above combination. The metal silicide may include nickel silicide, cobalt silicide, tungsten silicide, tantalum silicide, titanium silicide, platinum silicide, erbium silicide, palladium silicide, or combinations thereof. In some embodiments, the interlayer dielectric layer on the interlayer dielectric layer 282 and the contact (such as a contact extending through the interlayer dielectric layer 282 and/or other interlayer dielectric layers) is the above-mentioned multilayer interconnection. part of the structure.

本發明提供許多不同實施例。例示性的方法形成的多閘極裝置具有抗擊穿結構,且方法包括形成具有對應摻質的固體摻雜源材料層的隔離結構,形成擴散阻擋層於基板上以避免摻質擴散至通道,以及進行熱製程以驅動摻質至鰭狀主動區中而形成抗擊穿結構。揭露的方法具有優點如製程相容、降低成本、以及其他優點如增進裝置效能。The invention provides many different embodiments. The exemplary method forms a multi-gate device having a breakdown-resistant structure, and the method includes forming an isolation structure having a solid dopant source material layer corresponding to a dopant, forming a diffusion barrier layer on the substrate to prevent the dopant from diffusing into the channel, and A thermal process is performed to drive dopants into the fin-shaped active region to form a breakdown-resistant structure. The disclosed method has advantages such as process compatibility, cost reduction, and other advantages such as enhanced device performance.

本發明一實施例提供半導體結構的形成方法。方法包括形成擴散阻擋層於半導體基板上;形成多個通道材料層於擴散阻擋層上;圖案化半導體基板、通道半導體層、與擴散阻擋層,以形成溝槽於半導體基板中,進而定義主動區而與溝槽相鄰;將介電材料層與含有摻質的固體摻雜源材料層填入溝槽;以及自固體摻雜源材料層驅動摻質至主動區中,進而形成抗擊穿結構於主動區中。An embodiment of the invention provides a method for forming a semiconductor structure. The method includes forming a diffusion barrier layer on a semiconductor substrate; forming a plurality of channel material layers on the diffusion barrier layer; patterning the semiconductor substrate, the channel semiconductor layer, and the diffusion barrier layer to form trenches in the semiconductor substrate, thereby defining an active region and adjacent to the trench; filling the trench with a dielectric material layer and a solid dopant source material layer containing dopant; and driving the dopant from the solid dopant source material layer into the active region, thereby forming an anti-breakdown structure in the trench. in the active zone.

在一些實施例中,形成擴散阻擋層的步驟包括磊晶成長半導體材料層於半導體基板上;以及形成通道材料層的步驟包括磊晶成長交錯設置的多個第一半導體膜與多個第二半導體膜於擴散阻擋層上,其中第一半導體材料膜與第二半導體材料膜的組成不同。In some embodiments, the step of forming the diffusion barrier layer includes epitaxially growing a semiconductor material layer on the semiconductor substrate; and the step of forming the channel material layer includes epitaxially growing a plurality of first semiconductor films and a plurality of second semiconductor films arranged alternately A film is on the diffusion barrier layer, wherein the composition of the first film of semiconducting material is different from that of the second film of semiconducting material.

在一些實施例中,第一半導體材料膜為矽膜;第二半導體材料膜為矽鍺膜;以及半導體材料層為矽鍺層,且與第二半導體材料膜的組成不同。In some embodiments, the first semiconductor material film is a silicon film; the second semiconductor material film is a silicon germanium film; and the semiconductor material layer is a silicon germanium layer and has a composition different from that of the second semiconductor material film.

在一些實施例中,半導體材料層的鍺濃度大於第二半導體材料膜的鍺濃度。In some embodiments, the germanium concentration of the layer of semiconductor material is greater than the germanium concentration of the second film of semiconductor material.

在一些實施例中,半導體材料層的厚度大於第二半導體材料膜各自的厚度。In some embodiments, the thickness of the layer of semiconductor material is greater than the respective thicknesses of the second films of semiconductor material.

在一些實施例中,半導體材料層的鍺濃度介於25原子%至50原子%之間,而厚度介於10 nm至15 nm之間。In some embodiments, the germanium concentration of the semiconductor material layer is between 25 atomic % and 50 atomic %, and the thickness is between 10 nm and 15 nm.

在一些實施例中,方法更包括在圖案化半導體基板之前進行佈植以將p型摻質導入第一區中的半導體基板,進而形成p型摻雜井於第一區中。In some embodiments, the method further includes implanting before patterning the semiconductor substrate to introduce p-type dopants into the semiconductor substrate in the first region, thereby forming p-type doped wells in the first region.

在一些實施例中,在自固體摻雜源材料層驅動摻質至主動區的步驟之後,更包括:形成虛置閘極堆疊於通道材料層上;形成源極/汲極結構於虛置閘極堆疊的側部上;形成層間介電層於通道材料層上;移除虛置閘極堆疊,造成閘極溝槽;選擇性移除第二半導體材料膜以形成懸空的通道於抗擊穿結構上;以及形成金屬閘極堆疊於閘極溝槽中,以延伸包覆懸空的通道。In some embodiments, after the step of driving the dopant from the solid doped source material layer to the active region, further comprising: forming a dummy gate stack on the channel material layer; forming a source/drain structure on the dummy gate on the side of the electrode stack; form an interlayer dielectric layer on the channel material layer; remove the dummy gate stack to form a gate trench; selectively remove the second semiconductor material film to form a suspended channel in the anti-breakdown structure and forming a metal gate stack in the gate trench to extend and cover the suspended channel.

在一些實施例中,含摻質的固體摻雜源材料層為硼矽酸鹽玻璃層;以及自固體摻雜源材料層驅動摻質至主動區的步驟包括對硼矽酸鹽玻璃層進行溫度大於900℃的熱退火製程,以自硼矽酸鹽玻璃層驅動硼至擴散阻擋層之下的主動區的一部分中。In some embodiments, the layer of solid dopant source material containing the dopant is a layer of borosilicate glass; and the step of driving the dopant from the layer of solid dopant source material to the active region includes temperature-controlled A thermal anneal process greater than 900° C. to drive boron from the borosilicate glass layer into a portion of the active region below the diffusion barrier layer.

在一些實施例中,將介電材料層與含摻質的固體摻雜源材料層填入溝槽的步驟包括:形成介電襯墊於溝槽中;沉積硼矽酸鹽玻璃層於溝槽中的介電襯墊上;之後沉積介電材料層於溝槽中的硼矽酸鹽玻璃層上;以及對介電材料層進行化學機械研磨製程。In some embodiments, the step of filling the trench with the dielectric material layer and the dopant-containing solid dopant source material layer includes: forming a dielectric liner in the trench; depositing a borosilicate glass layer in the trench on the dielectric liner; then depositing a dielectric material layer on the borosilicate glass layer in the groove; and performing a chemical mechanical polishing process on the dielectric material layer.

在一些實施例中,將介電材料層與含有摻質的固體摻雜源材料層填入溝槽的步驟包括:形成介電襯墊於溝槽中;沉積介電材料層於溝槽中的介電襯墊上;對介電材料層進行化學機械研磨製程,進而形成淺溝槽隔離結構;使淺溝槽隔離結構凹陷,進而形成鰭狀結構於主動區中;以及之後沉積硼矽酸鹽玻璃層於淺溝槽隔離層上。In some embodiments, the step of filling the trench with the dielectric material layer and the solid dopant source material layer containing the dopant includes: forming a dielectric liner in the trench; depositing the dielectric material layer in the trench On the dielectric liner; performing a chemical mechanical polishing process on the dielectric material layer to form a shallow trench isolation structure; recessing the shallow trench isolation structure to form a fin structure in the active area; and then depositing borosilicate The glass layer is on the shallow trench isolation layer.

本發明另一實施例提供半導體結構的形成方法。方法包括形成擴散阻擋層於半導體基板上;形成通道材料層於擴散阻擋層上;圖案化半導體基板、通道材料層、與擴散阻擋層,以形成溝槽於半導體基板中,進而定義鰭狀主動區以與溝槽相鄰;將介電材料層填入溝槽以形成隔離結構;使隔離結構凹陷,進而形成鰭狀結構於鰭狀主動區中;以及之後沉積硼矽酸鹽玻璃層於隔離結構上。Another embodiment of the invention provides a method for forming a semiconductor structure. The method includes forming a diffusion barrier layer on the semiconductor substrate; forming a channel material layer on the diffusion barrier layer; patterning the semiconductor substrate, the channel material layer, and the diffusion barrier layer to form trenches in the semiconductor substrate, and then defining fin-shaped active regions to be adjacent to the trench; filling the trench with a dielectric material layer to form an isolation structure; recessing the isolation structure to form a fin structure in the fin active region; and then depositing a borosilicate glass layer on the isolation structure superior.

在一些實施例中,方法更包括對硼矽酸鹽玻璃進行蝕刻製程,以移除鰭狀主動區的側壁上的硼矽酸鹽玻璃層的一部分。In some embodiments, the method further includes performing an etching process on the borosilicate glass to remove a portion of the borosilicate glass layer on the sidewall of the fin-shaped active region.

在一些實施例中,方法更包括以熱退火製程自硼矽酸鹽玻璃層驅動硼至鰭狀結構,進而形成抗擊穿結構於鰭狀主動區中,其中抗擊穿結構位於擴散阻擋層下。In some embodiments, the method further includes driving boron from the borosilicate glass layer to the fin structure by a thermal annealing process, thereby forming a breakdown resistant structure in the active region of the fin, wherein the breakdown resistant structure is located under the diffusion barrier layer.

一些實施例在自硼矽酸鹽玻璃層驅動硼至鰭狀結構之後,更包括形成虛置閘極堆疊於通道材料層上;形成源極/汲極結構於虛置閘極堆疊的側部上;形成層間介電層於通道材料層上;移除虛置閘極堆疊以造成閘極溝槽;選擇性移除第二半導體材料膜以形成懸空的通道於抗擊穿結構上;以及形成金屬閘極堆疊於閘極溝槽中,其中金屬閘極延伸包覆懸空的通道。Some embodiments further include forming a dummy gate stack on the channel material layer after driving boron from the borosilicate glass layer to the fin structure; forming source/drain structures on the sides of the dummy gate stack ; forming an interlayer dielectric layer on the channel material layer; removing the dummy gate stack to form a gate trench; selectively removing the second semiconductor material film to form a suspended channel on the breakdown-resistant structure; and forming a metal gate The metal gate is stacked in the gate trench, wherein the metal gate extends to cover the suspended channel.

在一些實施例中,形成擴散阻擋層的步驟包括磊晶成長半導體材料層於半導體基板上;以及形成通道材料層的步驟包括磊晶成長交錯設置的多個第一半導體材料膜與多個第二半導體材料膜於擴散阻擋層上,其中第一半導體材料膜為矽膜,第二半導體材料膜為矽鍺膜,半導體材料層為矽鍺層,以及半導體材料層的鍺濃度大於第二半導體材料膜的鍺濃度,且半導體材料層的厚度大於第二半導體材料膜各自的厚度。In some embodiments, the step of forming the diffusion barrier layer includes epitaxially growing a semiconductor material layer on the semiconductor substrate; and the step of forming the channel material layer includes epitaxially growing a plurality of first semiconductor material films and a plurality of second semiconductor material films alternately arranged The semiconductor material film is on the diffusion barrier layer, wherein the first semiconductor material film is a silicon film, the second semiconductor material film is a silicon germanium film, the semiconductor material layer is a silicon germanium layer, and the concentration of germanium in the semiconductor material layer is greater than that of the second semiconductor material film germanium concentration, and the thickness of the semiconductor material layer is greater than the respective thicknesses of the second semiconductor material films.

本發明另一實施例提供半導體結構。半導體結構包括主動區,位於半導體基板中並自第一淺溝槽隔離結構跨到第二淺溝槽隔離結構;第一導電型態的多個通道層,位於半導體基板上,並跨越於第一側壁與第二側壁之間;閘極堆疊,形成於半導體基板上並延伸包覆每一通道層;以及含有第一摻質的第一導電型態的抗擊穿結構,其中抗擊穿結構位於閘極堆疊下,其中第一淺溝槽隔離結構與第二淺溝槽隔離結構各自包括含有第一摻質的固體摻雜源材料層,且其中第一淺溝槽隔離結構與第二淺溝槽隔離結構的上表面低於抗擊穿結構的上表面。Another embodiment of the present invention provides a semiconductor structure. The semiconductor structure includes an active region located in the semiconductor substrate and spanning from the first shallow trench isolation structure to the second shallow trench isolation structure; a plurality of channel layers of the first conductivity type located on the semiconductor substrate and spanning the first shallow trench isolation structure; between the sidewall and the second sidewall; a gate stack formed on the semiconductor substrate and extending to cover each channel layer; and an anti-breakdown structure of a first conductivity type containing a first dopant, wherein the anti-breakdown structure is located at the gate Under the stack, wherein the first shallow trench isolation structure and the second shallow trench isolation structure each include a solid dopant source material layer containing a first dopant, and wherein the first shallow trench isolation structure and the second shallow trench isolation The upper surface of the structure is lower than the upper surface of the breakdown resistant structure.

在一些實施例中,第一摻質為硼;以及固體摻雜源材料層為硼矽酸鹽玻璃。In some embodiments, the first dopant is boron; and the solid dopant source material layer is borosilicate glass.

在一些實施例中,固體摻雜源材料層存在於第一淺溝槽隔離結構與第二淺溝槽隔離結構的頂部中,而不存在於第一淺溝槽隔離結構與第二淺溝槽隔離結構的底部中。In some embodiments, the solid dopant source material layer exists in the top of the first STI structure and the second STI structure, but does not exist in the first STI structure and the second STI structure. in the bottom of the isolation structure.

在一些實施例中,固體摻雜源材料層橫向延伸於第一淺溝槽隔離結構與第二淺溝槽隔離結構的底部中,更向上延伸於第一淺溝槽隔離結構與第二淺溝槽隔離結構的邊緣部分中。In some embodiments, the solid dopant source material layer extends laterally in the bottom of the first STI structure and the second STI structure, and further extends upwards in the first STI structure and the second STI structure. In the edge part of the groove isolation structure.

上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。The features of the above-mentioned embodiments are helpful for those skilled in the art to understand the present invention. Those skilled in the art should understand that the present invention can be used as a basis to design and change other processes and structures to achieve the same purpose and/or the same advantages of the above-mentioned embodiments. Those skilled in the art should also understand that these equivalent replacements do not depart from the spirit and scope of the present invention, and can be changed, replaced, or modified without departing from the spirit and scope of the present invention.

B-B’,C-C’,D-D’:剖線 L g:閘極長度 L1,L2:長度 s1,s2,s3,s4:空間 t1,t2:厚度 w1,w2:寬度 100:方法 102,104,106,108,110,112,114,116,118.120,122,124,126,132,134,136,138,140,142,144,146,148,150:步驟 200:多閘極裝置 202:基板 203A:p型井 203B:n型井 204:擴散阻擋層 205:半導體層堆疊 210,215:半導體層 210’:通道層 218A,218B:鰭狀物 230,230A,230B:隔離結構 232:襯墊 234,244:固體摻雜源材料層 236:填充介電材料層 238,242:圖案化遮罩 240,246:抗擊穿結構 250:覆層 260:閘極結構 260-1,260-2:閘極區 262:源極/汲極區 264:通道區 265:虛置閘極堆疊 267:閘極間隔物 270:源極/汲極溝槽 275:內側間隔物 280A,280B:磊晶源極/汲極結構 282:層間介電層 284:閘極溝槽 286A,286B:間隙 288:界面層 290:高介電常數的介電層 310:n型功函數層 320:p型功函數層 330:填充金屬層 360A,360B:閘極堆疊 B-B', C-C', D-D': section line L g : gate length L1, L2: length s1, s2, s3, s4: space t1, t2: thickness w1, w2: width 100: method 102, 104, 106, 108, 110, 112, 114, 116, 118.120, 122, 124, 126, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150: step 200: multi-gate device 202: substrate 203A: p-type well 203B: n-type Well 204: diffusion barrier layer 205: semiconductor layer stack 210, 215: semiconductor layer 210': channel layer 218A, 218B: fin 230, 230A, 230B: isolation structure 232: liner 234, 244: solid dopant source material layer 236: filled dielectric material layer 238, 242: patterned mask 240, 246: anti-breakdown structure 250: cladding layer 260: gate structure 260-1,260- 2: Gate region 262: Source/drain region 264: Channel region 265: Dummy gate stack 267: Gate spacer 270: Source/drain trench 275: Inner spacer 280A, 280B: Epitaxy Source/drain structure 282: interlayer dielectric layer 284: gate trenches 286A, 286B: gap 288: interface layer 290: high dielectric constant dielectric layer 310: n-type work function layer 320: p-type work function Layer 330: Fill Metal Layer 360A, 360B: Gate Stack

圖1A、1B、1C、及1D係本發明多種實施例中,製作多閘極裝置的方法的流程圖。 圖2A至4A、圖2B至4B、圖2C至4C、與圖2D至4D係本發明多種實施例中,多閘極裝置的部分或整體於多種製作階段(如圖1A中的方法相關的製作階段)的部分圖式。 圖5A、5B、5C、及5D係本發明多種些實施例中,多閘極裝置的部分或整體於多種製作階段(如圖1B中的方法相關的製作階段)的部分圖式。 圖6A、6B、6C、及6D係本發明多種些實施例中,多閘極裝置的部分或整體於多種製作階段(如圖1C中的方法相關的製作階段)的部分圖式。 圖7A至17A、圖7B至17B、圖7C至17C、與圖7D至17D係本發明多種實施例中,多閘極裝置的部分或整體於多種製作階段(如圖1D中的方法相關的製作階段)的部分圖式。 1A, 1B, 1C, and 1D are flowcharts of methods for fabricating a multi-gate device in various embodiments of the present invention. Figures 2A to 4A, Figures 2B to 4B, Figures 2C to 4C, and Figures 2D to 4D are various embodiments of the present invention, part or whole of a multi-gate device in various manufacturing stages (such as the manufacturing related to the method in Figure 1A stage) part of the schema. 5A, 5B, 5C, and 5D are partial diagrams of parts or the whole of a multi-gate device at various stages of fabrication, such as those associated with the method of FIG. 1B, in various embodiments of the present invention. 6A, 6B, 6C, and 6D are partial diagrams of parts or the whole of a multi-gate device at various stages of fabrication, such as those associated with the method of FIG. 1C, in various embodiments of the present invention. Figures 7A to 17A, Figures 7B to 17B, Figures 7C to 17C, and Figures 7D to 17D are various embodiments of the present invention, part or the whole of the multi-gate device is in various manufacturing stages (such as the manufacturing related to the method in Figure 1D stage) part of the schema.

100:方法 100: method

102,104,106,108,110,112:步驟 102, 104, 106, 108, 110, 112: steps

Claims (1)

一種半導體結構的形成方法,包括: 形成一擴散阻擋層於一半導體基板上; 形成多個通道材料層於該擴散阻擋層上; 圖案化該半導體基板、該些通道半導體層、與該擴散阻擋層,以形成一溝槽於該半導體基板中,進而定義一主動區而與該溝槽相鄰; 將一介電材料層與含有一摻質的一固體摻雜源材料層填入該溝槽;以及 自該固體摻雜源材料層驅動該摻質至該主動區中,進而形成一抗擊穿結構於該主動區中。 A method of forming a semiconductor structure, comprising: forming a diffusion barrier layer on a semiconductor substrate; forming a plurality of channel material layers on the diffusion barrier layer; patterning the semiconductor substrate, the channel semiconductor layers, and the diffusion barrier layer to form a trench in the semiconductor substrate, thereby defining an active region adjacent to the trench; filling the trench with a layer of dielectric material and a layer of solid dopant source material containing a dopant; and The dopant is driven from the solid dopant source material layer into the active region, thereby forming an anti-breakdown structure in the active region.
TW111122820A 2021-08-30 2022-06-20 Method of forming semiconductor structure TW202320174A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/460,514 US20230068668A1 (en) 2021-08-30 2021-08-30 Multi-Channel Devices and Method with Anti-Punch Through Process
US17/460,514 2021-08-30

Publications (1)

Publication Number Publication Date
TW202320174A true TW202320174A (en) 2023-05-16

Family

ID=85286101

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111122820A TW202320174A (en) 2021-08-30 2022-06-20 Method of forming semiconductor structure

Country Status (2)

Country Link
US (1) US20230068668A1 (en)
TW (1) TW202320174A (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8829642B2 (en) * 2012-03-29 2014-09-09 The Institute of Microelectronics, Chinese Academy of Science Semiconductor device and method for manufacturing the same
US9824937B1 (en) * 2016-08-31 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable CVD quality control in STI loop
US11469299B2 (en) * 2018-09-28 2022-10-11 Intel Corporation Gate-all-around integrated circuit structures having underlying dopant-diffusion blocking layers
KR20210000780A (en) * 2019-06-25 2021-01-06 삼성전자주식회사 Semiconductor devices

Also Published As

Publication number Publication date
US20230068668A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US20200411672A1 (en) FinFET Device and Methods of Forming
US10026811B2 (en) Integrated circuit structure and method with solid phase diffusion
US20220173115A1 (en) Ferroelectric Random Access Memory Devices and Methods
TWI777501B (en) Semiconductor device and method for manufacturing the same
US20220216318A1 (en) Finfet having a work function material gradient
TW202002033A (en) Method of manufacturing integrated circuit device
TW202010050A (en) Method for manufacturing integrated circuit device
TW202221925A (en) Semiconductor device
CN111863965A (en) Fin-shaped field effect transistor device
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
TWI765673B (en) Semiconductor device and method for manufacturing the same
US11935924B2 (en) Semiconductor device and method of fabricating the same
US20230035444A1 (en) Improved Via Structures
KR102610581B1 (en) Semiconductor device and method
TW202217979A (en) Semiconductor device
TW202320174A (en) Method of forming semiconductor structure
TW202145317A (en) Semiconductor structure and method for manufacturing the same
TW202209414A (en) Semiconductor device
TW202339111A (en) Method of forming semiconductor structure
TWI831246B (en) Multi-gate device and method of forming the same
US20220246728A1 (en) Semiconductor device
US20220052206A1 (en) Multigate Devices with Varying Channel Layers
TW202303686A (en) Semiconductor device
TW202240678A (en) Method of forming semiconductor structure
US20230016468A1 (en) Semiconductor devices and methods of manufacturing thereof