TW202217979A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
TW202217979A
TW202217979A TW110129004A TW110129004A TW202217979A TW 202217979 A TW202217979 A TW 202217979A TW 110129004 A TW110129004 A TW 110129004A TW 110129004 A TW110129004 A TW 110129004A TW 202217979 A TW202217979 A TW 202217979A
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial
source
drain
semiconductor layer
Prior art date
Application number
TW110129004A
Other languages
Chinese (zh)
Inventor
鍾政庭
黃禹軒
廖翊博
蔡慶威
程冠倫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202217979A publication Critical patent/TW202217979A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

A device includes a semiconductor substrate, a source feature and a drain feature over the semiconductor substrate, a stack of semiconductor layers interposed between the source feature and the drain feature, a gate portion, and an inner spacer of a dielectric material. The gate portion is between two vertically adjacent layers of the stack of semiconductor layers and between the source feature and the drain feature. Moreover, the gate portion has a first sidewall surface and a second sidewall surface opposing the first sidewall surface. The inner spacer is on the first sidewall surface and between the gate portion and the drain feature. The second sidewall surface is in direct contact with the source feature.

Description

半導體裝置semiconductor device

本發明實施例通常關於積體電路與半導體裝置與其形成方法,更特別關於垂直堆疊的水平取向的多通道電晶體。Embodiments of the present invention generally relate to integrated circuits and semiconductor devices and methods of forming the same, and more particularly to vertically stacked horizontally oriented multi-channel transistors.

電子產業對更小且更複雜的電子裝置的需求持續增加,其可同時支援越來越複雜的大量功能。為了符合這些需求,積體電路產業的持續趨勢為製造低成本、高效能、與低能耗的積體電路。達成這些目標的主要方法為減少積體電路尺寸(比如最小的積體電路結構尺寸),進而改善產能與降低相關成本。然而尺寸縮小亦增加積體電路製造製程的複雜度。因此為了實現積體電路裝置與其效能中的持續進展,積體電路製造製程與技術需要類似進展。The electronics industry continues to increase demand for smaller and more complex electronic devices that can simultaneously support a larger and more complex number of functions. In order to meet these demands, a continuing trend in the integrated circuit industry is to manufacture integrated circuits with low cost, high performance, and low power consumption. The primary approach to achieving these goals is to reduce IC size (eg, minimum IC structure size), thereby improving throughput and reducing associated costs. However, the shrinking size also increases the complexity of the integrated circuit manufacturing process. Thus, in order to achieve continued progress in integrated circuit devices and their performance, similar advances in integrated circuit manufacturing processes and technologies are required.

舉例來說,已導入奈米片為主的裝置以增加閘極-通道耦合、降低關閉狀態電流、並減少短通道效應,進而改善閘極控制。奈米片為主的裝置包含多個懸空的通道層堆疊在一起以形成閘極結構。奈米片為主的裝置可與現有的互補式金氧半製程相容,使其在大幅縮小時仍可維持閘極控制並緩解短通道效應。然而習知的奈米片為主的裝置可能面臨電流擁擠的問題,其會劣化裝置效能如操作速度。因此雖然現有的奈米片為主的裝置通常適用於其發展目的,但無法符合每一方面的需求。For example, nanochip-based devices have been introduced to increase gate-channel coupling, reduce off-state current, and reduce short-channel effects, thereby improving gate control. Nanosheet-based devices consist of multiple suspended channel layers stacked together to form a gate structure. Nanochip-based devices are compatible with existing complementary MOS processes, allowing them to maintain gate control and mitigate short-channel effects while being substantially scaled down. However, conventional nanochip-based devices may face the problem of current crowding, which can degrade device performance such as operating speed. Therefore, although existing nanosheet-based devices are generally suitable for their development purposes, they cannot meet the needs of every aspect.

本發明一例示性的實施例關於半導體裝置。半導體裝置包括半導體基板;源極結構與汲極結構,位於半導體基板上;半導體層堆疊,夾設於源極結構與汲極結構之間;閘極部分;以及介電材料的內側間隔物。閘極部分位於半導體層堆疊的兩個垂直相鄰的層狀物之間,並位於源極結構與汲極結構之間。此外,閘極部分具有相對的第一側壁表面與第二側壁表面。內側間隔物位於第一側壁表面上並位於閘極部分與汲極結構之間。第二側壁表面直接接觸源極結構。An exemplary embodiment of the present invention relates to a semiconductor device. The semiconductor device includes a semiconductor substrate; a source structure and a drain structure on the semiconductor substrate; a semiconductor layer stack sandwiched between the source structure and the drain structure; a gate portion; and an inner spacer of a dielectric material. The gate portion is located between two vertically adjacent layers of the semiconductor layer stack, and between the source structure and the drain structure. In addition, the gate portion has opposing first and second sidewall surfaces. An inner spacer is located on the first sidewall surface and between the gate portion and the drain structure. The second sidewall surface directly contacts the source structure.

本發明一例示性的實施例關於半導體裝置的形成方法。方法包括接收結構。結構包括半導體基板;第一半導體層與第二半導體層的堆疊;以及虛置閘極結構,位於堆疊上。第一半導體層與第二半導體層的材料組成不同,且彼此交錯於堆疊中。方法亦包括An exemplary embodiment of the present invention relates to a method of forming a semiconductor device. The method includes receiving a structure. The structure includes a semiconductor substrate; a stack of a first semiconductor layer and a second semiconductor layer; and a dummy gate structure on the stack. The first semiconductor layer and the second semiconductor layer have different material compositions and are staggered with each other in the stack. methods also include

移除虛置閘極結構的源極層上的堆疊的第一部分以形成源極溝槽,進而露出源極溝槽中的堆疊的第一側壁表面。方法更包括自露出的第一側壁表面移除第一半導體層的第一部分以形成第一間隙;以及磊晶成長源極結構於源極溝槽與第一間隙中。此外,方法包括移除虛置閘極結構的汲極側上的堆疊的第二部分以形成汲極溝槽,進而露出汲極溝槽中的堆疊的第二側壁表面。方法更包括自露出的第二側壁表面移除第一半導體層的第二部分以形成第二間隙。接著形成內側間隔物於第二間隙中。方法額外包括磊晶成長汲極結構於汲極溝槽中。方法額外包括移除虛置閘極結構以形成閘極開口於堆疊上;自閘極開口移除第一半導體層的第三部分以形成延伸的閘極開口;形成閘極介電層於延伸的閘極開口中;以及形成閘極於閘極於延伸的閘極開口中的閘極介電層上。A first portion of the stack on the source layer of the dummy gate structure is removed to form a source trench, thereby exposing first sidewall surfaces of the stack in the source trench. The method further includes removing a first portion of the first semiconductor layer from the exposed first sidewall surface to form a first gap; and epitaxially growing a source structure in the source trench and the first gap. Additionally, the method includes removing a second portion of the stack on the drain side of the dummy gate structure to form a drain trench, thereby exposing a second sidewall surface of the stack in the drain trench. The method further includes removing a second portion of the first semiconductor layer from the exposed second sidewall surface to form a second gap. Next, an inner spacer is formed in the second gap. The method additionally includes epitaxially growing a drain structure in the drain trench. The method additionally includes removing the dummy gate structure to form a gate opening on the stack; removing a third portion of the first semiconductor layer from the gate opening to form an extended gate opening; forming a gate dielectric layer on the extended in the gate opening; and forming the gate on the gate dielectric layer in the extended gate opening.

本發明一例示性的實施例關於半導體裝置的形成方法。方法包括接收結構。結構具有半導體基板以及第一半導體層與第二半導體層的堆疊位於半導體基板上。第一半導體層與第二半導體層的材料組成不同且彼此交錯於堆疊中。方法亦包括圖案化堆疊以形成鰭狀結構;形成虛置閘極結構於鰭狀結構上;蝕刻源極溝槽於虛置閘極結構的第一側上的堆疊中;橫向蝕刻第一半導體層以形成第一間隙;形成源極結構於源極溝槽與第一間隙中;蝕刻汲極溝槽於虛置閘極結構的第二側上的堆疊中,且閘極結構的第二側與第一側相對;橫向蝕刻第一半導體層以形成第二間隙;形成內側間隔物於第二間隙中;形成汲極結構於汲極溝槽中;移除虛置閘極結構以形成第一閘極開口;移除第一半導體層的保留部分以形成第二閘極開口;以及形成閘極結構於第一閘極開口與第二閘極開口中。An exemplary embodiment of the present invention relates to a method of forming a semiconductor device. The method includes receiving a structure. The structure has a semiconductor substrate and a stack of first and second semiconductor layers on the semiconductor substrate. The first semiconductor layer and the second semiconductor layer have different material compositions and are staggered with each other in the stack. The method also includes patterning the stack to form the fin structure; forming a dummy gate structure on the fin structure; etching source trenches in the stack on the first side of the dummy gate structure; laterally etching the first semiconductor layer to form a first gap; forming a source structure in the source trench and the first gap; etching the drain trench in the stack on the second side of the dummy gate structure, and the second side of the gate structure is The first side is opposite; the first semiconductor layer is laterally etched to form the second gap; the inner spacer is formed in the second gap; the drain structure is formed in the drain trench; the dummy gate structure is removed to form the first gate a gate opening; removing the remaining part of the first semiconductor layer to form a second gate opening; and forming a gate structure in the first gate opening and the second gate opening.

下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。The following detailed description may be used in conjunction with the accompanying drawings to facilitate an understanding of various aspects of the invention. Notably, the various structures are for illustrative purposes only and are not drawn to scale, as is the norm in the industry. Indeed, the dimensions of the various structures may be arbitrarily increased or decreased for clarity of illustration.

下述內容提供的不同實施例或實例可實施本發明的不同結構。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。The following description provides different embodiments or examples for implementing different structures of the invention. In addition, the same reference numerals may be used repeatedly in various embodiments of the present invention for brevity, but elements with the same reference numerals in the various embodiments and/or arrangements do not necessarily have the same corresponding relationship. The following examples of specific components and arrangements are presented to simplify the present disclosure and not to limit the present disclosure. For example, the description of forming the first member on the second member includes an embodiment in which the two are in direct contact, or an embodiment in which other additional members are spaced between the two without direct contact. Furthermore, the structures of the embodiments of the present invention may be formed on, connected to, and/or coupled to another structure, the structures may directly contact another structure, or additional structures may be formed within the structure and the other structure between structures.

此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90˚或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5 nm」包含的尺寸範圍為4.5 nm至5.5 nm、4 nm至5 nm、或類似範圍。In addition, spatially relative terms such as "below," "below," "under," "above," "upper," or similar terms may be used to simplify the description of an element relative to another element in the figures relation. Spatial relative terms can be extended to elements used in other orientations and are not limited to the orientation shown. Elements can also be rotated 90° or other angles, so the directional term is only used to describe the orientation in the illustration. Further, when a value or a range of values is described with "about," "approximately," or similar terms, it includes +/- 10% of the stated value unless specifically stated otherwise. For example, the term "about 5 nm" includes sizes ranging from 4.5 nm to 5.5 nm, 4 nm to 5 nm, or the like.

本發明實施例通常關於積體電路與半導體裝置與其形成方法,更特別關於垂直堆疊的水平取向的多通道電晶體,比如奈米線電晶體或奈米片電晶體。這些種類的電晶體有時可視作全繞式閘極電晶體、多橋通道電晶體、或一些其他名稱。在本發明實施例中,這些電晶體通常視作奈米片為主的電晶體或裝置。奈米片為主的裝置包括多個懸空的通道層一個接一個堆疊,並接合閘極結構。奈米片為主的裝置的通道層可包含任何合適的形狀及/或設置。舉例來說,通道層可為許多形狀之一,比如線狀(或奈米線)、片狀(或奈米片)、棒狀(或奈米棒)、及/或其他合適形狀。換言之,用語「奈米片為主的裝置」泛指具有奈米線、奈米棒、或任何其他合適形狀的通道層之裝置。此外,奈米片為主的裝置的通道層可接合單一的連續閘極結構或多個閘極結構。通道層可連接一對源極/汲極結構,使電荷載子在操作時(比如開啟電晶體時)可自源極區經由通道層流向汲極區。在一些實施例中,可形成內側間隔物於源極結構與閘極結構之間,以及汲極結構與閘極結構之間。然而此設置必然面臨電流擁擠問題。電流擁擠指的是整個導體或半導體(比如奈米片為主的電晶體的通道層與磊晶結構)的電流密度分布非勻相。此非勻相分布會增加局部電阻,最終劣化裝置效能。綜上所述,本發明實施例提供的方法可形成不對稱設置的源極與汲極側,以緩解此效應。因此可改善效能。此處所述的奈米片為主的裝置可為互補式金氧半裝置、p型金氧半裝置、或n型金氧半裝置。本技術領域中具有通常知識者應理解本發明實施例有利於其他例子的半導體裝置。舉例來說,本發明實施例有利於其他種類的金氧半場效電晶體如平面金氧半場效電晶體、鰭狀場效電晶體、或其他多閘極的場效電晶體。Embodiments of the present invention generally relate to integrated circuits and semiconductor devices and methods of forming the same, and more particularly to vertically stacked horizontally oriented multi-channel transistors, such as nanowire transistors or nanochip transistors. These kinds of transistors are sometimes referred to as fully wound gate transistors, multi-bridge channel transistors, or some other name. In embodiments of the present invention, these transistors are generally regarded as nanosheet-based transistors or devices. Nanochip-based devices include multiple suspended channel layers stacked one on top of the other and bonded to gate structures. The channel layer of a nanosheet-based device may comprise any suitable shape and/or arrangement. For example, the channel layer can be one of many shapes, such as wires (or nanowires), sheets (or nanosheets), rods (or nanorods), and/or other suitable shapes. In other words, the term "nanosheet-based device" generally refers to a device having a channel layer of nanowires, nanorods, or any other suitable shape. In addition, the channel layer of the nanosheet-based device can be bonded to a single continuous gate structure or multiple gate structures. The channel layer can be connected to a pair of source/drain structures so that charge carriers can flow from the source region through the channel layer to the drain region during operation (eg, when a transistor is turned on). In some embodiments, inner spacers may be formed between the source structure and the gate structure, and between the drain structure and the gate structure. However, this setup inevitably faces the problem of current crowding. Current crowding refers to the inhomogeneous distribution of current density throughout a conductor or semiconductor (such as the channel layer and epitaxial structure of nanosheet-based transistors). This non-uniform phase distribution increases local resistance, ultimately degrading device performance. To sum up, the method provided by the embodiments of the present invention can form asymmetrically disposed source and drain sides to alleviate this effect. Therefore, the performance can be improved. The nanosheet-based device described herein may be a complementary MOS device, a p-type MOS device, or an n-type MOS device. Those of ordinary skill in the art will understand that embodiments of the present invention are beneficial to other example semiconductor devices. For example, the embodiments of the present invention are beneficial to other types of MOSFETs, such as planar MOSFETs, fin-shaped field effect transistors, or other multi-gate field effect transistors.

圖1係本發明多種實施例中,製作奈米片為主的裝置200之方法100的流程圖。圖2A係本發明一實施例之製作階段中的奈米片為主的裝置200的上視圖(在X-Y平面中)。圖2B、2C至18C、及2D至18D係本發明一些實施例中,多種製作階段中的奈米片為主的裝置200分別沿著圖2A的剖線B-B'、C-C'、及D-D'之剖視圖(在Y-Z平面或X-Z平面中)。FIG. 1 is a flowchart of a method 100 of fabricating a nanochip-based device 200 in various embodiments of the present invention. 2A is a top view (in the X-Y plane) of a nanosheet-based device 200 in a fabrication stage according to an embodiment of the present invention. FIGS. 2B , 2C to 18C, and 2D to 18D show the nanosheet-based device 200 in various fabrication stages according to some embodiments of the present invention, respectively along the line BB′, CC′, and a cross-sectional view of D-D' (in the Y-Z plane or the X-Z plane).

如圖1的步驟102至104與圖2A至2D所示,接收半導體結構如裝置200。半導體結構如裝置200包括半導體的基板202。在一實施例中,半導體的基板202包含半導體材料如基體矽。基板202可改為或額外包含另一半導體元素材料(如鍺)、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如係鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。基板202可改為絕緣層上半導體基板,比如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。絕緣層上半導體基板的製作方法可為分離佈植氧、晶圓接合、及/或其他合適方法。基板202可包含多種摻雜區,端視裝置200的設計需求而定。摻雜區可為設置為用於n型電晶體的p型摻雜區(之後可視作p型井),或設置為用於p型電晶體的n型摻雜區(之後可視作n型井)。n型摻雜區可摻雜n型摻質如磷、砷、其他n型摻質、或上述之組合。p型摻質區可摻雜p型摻質如硼、銦、其他p型摻質、或上述之組合。在一些實施例中,基板202包括由p型摻質與n型摻質的組合所形成的摻雜區。舉例來說,多種摻雜區可直接形成於基板202之上及/或之中,以提供p型井結構、n型井結構、雙井結構、隆起結構、或上述之組合。可進行離子佈植製程、擴散製程、及/或其他合適摻雜製程,以形成多種摻雜區。As shown in steps 102 to 104 of FIG. 1 and FIGS. 2A to 2D , a semiconductor structure such as device 200 is received. A semiconductor structure such as device 200 includes a substrate 202 of semiconductor. In one embodiment, the semiconductor substrate 202 includes a semiconductor material such as base silicon. Substrate 202 may instead or additionally comprise another semiconductor elemental material (eg, germanium), semiconductor compounds (eg, silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide), semiconductor Alloys (eg, germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, indium gallium arsenide, indium gallium phosphide, and/or indium gallium arsenide phosphide), or combinations thereof. The substrate 202 can be changed to a semiconductor-on-insulator substrate, such as a silicon-on-insulator substrate, a silicon-germanium-on-insulator substrate, or a germanium-on-insulator substrate. The fabrication method of the semiconductor-on-insulator substrate may be separated oxygen implantation, wafer bonding, and/or other suitable methods. The substrate 202 may include various doped regions, depending on the design requirements of the device 200 . The doped regions may be p-type doped regions configured for n-type transistors (later referred to as p-type wells), or n-type doped regions configured for p-type transistors (later referred to as n-type wells) ). The n-type doped region can be doped with n-type dopants such as phosphorus, arsenic, other n-type dopants, or a combination thereof. The p-type dopant region can be doped with p-type dopants such as boron, indium, other p-type dopants, or a combination thereof. In some embodiments, the substrate 202 includes doped regions formed by a combination of p-type dopants and n-type dopants. For example, various doped regions may be formed directly on and/or in substrate 202 to provide p-well structures, n-well structures, dual-well structures, bump structures, or combinations thereof. Ion implantation processes, diffusion processes, and/or other suitable doping processes may be performed to form various doped regions.

半導體層堆疊205形成於基板202上,其包括半導體層210與半導體層215自基板202的表面垂直地(比如沿著Z方向)交錯堆疊。在一實施例中,半導體層210與半導體層215以交錯設置的方式磊晶成長。舉例來說,可磊晶成長半導體層210的第一層於基板202上、磊晶成長半導體層215的第一層於半導體層210的第一層上、磊晶成長半導體層210的第二層於半導體層215的第一層上、並以此類推,直到半導體層堆疊205具有所需數目的半導體層210與半導體層215。在這些實施例中,半導體層210與半導體層215可視作磊晶層。在一實施例中,磊晶成長半導體層210與半導體層215的方法可為分子束磊晶製程、化學氣相沉積製程、有機金屬化學氣相沉積製程、其他合適的磊晶成長製程、或上述之組合。A semiconductor layer stack 205 is formed on the substrate 202 and includes a staggered stack of semiconductor layers 210 and 215 perpendicularly (eg, along the Z-direction) from the surface of the substrate 202 . In one embodiment, the semiconductor layer 210 and the semiconductor layer 215 are epitaxially grown in a staggered manner. For example, the first layer of the epitaxially grown semiconductor layer 210 is on the substrate 202 , the first layer of the epitaxially grown semiconductor layer 215 is on the first layer of the semiconductor layer 210 , and the second layer of the epitaxially grown semiconductor layer 210 on the first layer of the semiconductor layer 215 , and so on until the semiconductor layer stack 205 has the desired number of semiconductor layers 210 and semiconductor layers 215 . In these embodiments, the semiconductor layer 210 and the semiconductor layer 215 can be regarded as epitaxial layers. In one embodiment, the method for epitaxial growth of the semiconductor layer 210 and the semiconductor layer 215 may be a molecular beam epitaxy process, a chemical vapor deposition process, an organic metal chemical vapor deposition process, other suitable epitaxial growth processes, or the above combination.

在一實施例中,半導體層210各自具有實質上一致的厚度,而半導體層215各自具有實質上一致的厚度。舉例來說,半導體層210的厚度可為約4 nm至約15 nm。若上述厚度過小如小於4 nm,則在移除半導體層210之後的空間不足以形成閘極層。若上述厚度過大如大於15 nm,則裝置尺寸會不必要的增加並阻礙縮小尺寸的努力。舉例來說,半導體層215的厚度可為約3 nm至約15 nm。若上述厚度過小如小於3 nm,則裝置的電阻大且效能劣化。若上述厚度過大如大於15 nm,則通道層的中間部分的閘極控制可能太弱而無法確保效能一致。In one embodiment, the semiconductor layers 210 each have a substantially uniform thickness, and the semiconductor layers 215 each have a substantially uniform thickness. For example, the thickness of the semiconductor layer 210 may be about 4 nm to about 15 nm. If the above thickness is too small, eg, less than 4 nm, the space after removing the semiconductor layer 210 is insufficient to form the gate layer. If the above thickness is too large, eg, greater than 15 nm, the size of the device may increase unnecessarily and hinder downsizing efforts. For example, the thickness of the semiconductor layer 215 may be about 3 nm to about 15 nm. If the above-mentioned thickness is too small, eg, less than 3 nm, the resistance of the device is large and the performance is degraded. If the above thickness is too large, eg, greater than 15 nm, the gate control of the middle portion of the channel layer may be too weak to ensure consistent performance.

半導體層210的組成與半導體層215的組成不同,以在後續製程時達到蝕刻選擇性。在一實施例中,在蝕刻劑中的半導體層210具有第一蝕刻速率,而在相同蝕刻劑中的半導體層215具有第二蝕刻速率。第二蝕刻速率通常小於第一蝕刻速率。半導體層210與半導體層215包括不同材料、材料組成、組成原子%、組成重量%、厚度、及/或特性,以在蝕刻製程時(比如形成懸空的通道層於裝置200的通道區中的下述蝕刻製程)達到所需的蝕刻選擇性。舉例來說,一實施例的半導體層210包括矽鍺,而半導體層215包括矽。半導體層215的組成適於提供裝置200的通道區。本發明實施例的半導體層210與半導體層215可包含任何半導體材料的組合,其可提供所需的蝕刻選擇性、蝕刻速率差異、及/或所需的效能特性(比如最大化電流的材料),其可包含任何此處所述的半導體材料。The composition of the semiconductor layer 210 is different from the composition of the semiconductor layer 215 to achieve etching selectivity in the subsequent process. In one embodiment, the semiconductor layer 210 in the etchant has a first etch rate and the semiconductor layer 215 in the same etchant has a second etch rate. The second etch rate is typically less than the first etch rate. The semiconductor layer 210 and the semiconductor layer 215 include different materials, material compositions, composition atom %, composition wt %, thicknesses, and/or characteristics, so as to be used in the etching process (such as forming a suspended channel layer under the channel region of the device 200 ). the etching process) to achieve the desired etching selectivity. For example, the semiconductor layer 210 of one embodiment includes silicon germanium, and the semiconductor layer 215 includes silicon. The composition of semiconductor layer 215 is suitable to provide a channel region for device 200 . Semiconductor layers 210 and 215 of embodiments of the present invention may comprise any combination of semiconductor materials that provide desired etch selectivity, etch rate variance, and/or desired performance characteristics (eg, current-maximizing materials) , which may comprise any of the semiconductor materials described herein.

如下所述,半導體層215或其部分形成裝置200的通道區。在一實施例中,半導體層堆疊205包括四個半導體層210與四個半導體層215,其設置以形成四個半導體層對於基板202上,且每一半導體層對具有個別的半導體層210與個別的半導體層215。在進行後續製程之後,此設置可使裝置200具有四個通道。然而本發明實施例的半導體層堆疊205包括更多或更少的半導體層,端視裝置200 (如奈米片為主的電晶體)所需的通道數目及/或裝置200的設計需求而定。舉例來說,半導體層堆疊205可包含兩至十個半導體層210與二至十個半導體層215。As described below, semiconductor layer 215 or a portion thereof forms a channel region of device 200 . In one embodiment, the semiconductor layer stack 205 includes four semiconductor layers 210 and four semiconductor layers 215 arranged to form four semiconductor layer pairs on the substrate 202, with each semiconductor layer pair having an individual semiconductor layer 210 and an individual semiconductor layer pair. the semiconductor layer 215. After subsequent processing, this setup may allow device 200 to have four channels. However, the semiconductor layer stack 205 in the embodiment of the present invention includes more or less semiconductor layers, depending on the number of channels required by the device 200 (eg, a nanochip-based transistor) and/or the design requirements of the device 200 . . For example, the semiconductor layer stack 205 may include two to ten semiconductor layers 210 and two to ten semiconductor layers 215 .

圖案化半導體層堆疊205以形成鰭狀物218A與鰭狀物218B (亦可視作鰭狀結構、鰭狀單元、或類似物)。鰭狀物218A及218B包括基板部分(如基板202的一部分)與半導體層堆疊部分(如含有半導體層210與半導體層215的半導體層堆疊205的保留部分)。鰭狀物218A及218B的延伸方向實質上沿著Y方向彼此平行,且具有定義於Y方向中的長度、定義於X方向中的寬度、與定義於Z方向中的高度。在一實施例中,鰭狀物218A及218B的寬度為約5 nm至約80 nm。若鰭狀物的寬度過小如小於5 nm,則之後形成裝置結構於鰭狀物上的空間不足。若鰭狀物寬度過大如大於80 nm,則提供的額外優點無法證明晶片腳位增加的合理性。The semiconductor layer stack 205 is patterned to form fins 218A and 218B (also referred to as fin structures, fin cells, or the like). Fins 218A and 218B include a portion of a substrate (eg, a portion of substrate 202 ) and a portion of the semiconductor layer stack (eg, a remaining portion of semiconductor layer stack 205 containing semiconductor layers 210 and 215 ). The extending directions of the fins 218A and 218B are substantially parallel to each other along the Y direction, and have a length defined in the Y direction, a width defined in the X direction, and a height defined in the Z direction. In one embodiment, the widths of fins 218A and 218B are about 5 nm to about 80 nm. If the width of the fins is too small, eg, less than 5 nm, the space on the fins to form the device structure is insufficient. If the fin width is too large, eg, greater than 80 nm, the additional advantage provided does not justify the increase in die footprint.

在一些實施方式中,進行微影及/或蝕刻製程以圖案化半導體層堆疊205,可形成鰭狀物218A及218B。微影製程可包含形成光阻層於半導體層堆疊205上(比如旋轉塗佈),進行曝光前烘烤製程、採用光罩進行曝光製程、進行曝光後烘烤製程、並進行顯影製程。在曝光製程時,光阻層曝光至射線能量如紫外光、深紫外光、或極紫外光,其中光罩可阻擋、穿透、及/或反射射線至光阻層,端視光罩的光照圖案及/或光罩型態(比如二元光罩、相移光罩、或極紫外線光罩)而定,以投射影像(對應光罩圖案)至光阻層上。由於光阻層對射線能量敏感,光阻層的曝光部分可化學改變,且在顯影製程時可溶解光阻層的曝光部分或未曝光部分(端視光阻層特性與顯影製程中採用的顯影溶液特性而定)。在顯影之後,圖案化的光阻層包括光阻圖案以對應光罩。蝕刻製程採用圖案化的光阻層作為蝕刻遮罩,以移除半導體層堆疊205的部分。在一實施例中,圖案化的光阻層形成於半導體層堆疊205上的硬遮罩層之上,第一蝕刻製程移除硬遮罩層的部分以形成圖案化的硬遮罩層,而第二蝕刻製程採用圖案化的硬遮罩層作為蝕刻遮罩以移除半導體層堆疊205的部分。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一實施例中,蝕刻製程為反應性離子蝕刻製程。舉例來說,在蝕刻製程之後可移除圖案化的光阻層(一些實施例亦可移除硬遮罩層),且移除方法可為光阻剝除製程或其他合適製程。鰭狀物218A及218B的形成方法可改為多重圖案化製程,比如雙重圖案化微影製程(比如微影-蝕刻-微影-蝕刻製程、自對準雙重圖案化製程、間隔物為介電層的自對準雙重圖案化製程、其他雙重圖案化製程、或上述之組合)、三重圖案化製程(如微影-蝕刻-微影-蝕刻-微影-蝕刻製程、自對準的三重圖案化製程、其他三重圖案化製程、或上述之組合)、其他多重圖案化製程(比如自對準的四重圖案化製程)、或上述之組合。在一實施例中,圖案化半導體層堆疊205的方法可實施定向自組裝技術。此外,一些實施例的曝光製程可實施無光罩微影、電子束寫入、及/或離子束寫入,以圖案化光阻層。In some embodiments, lithography and/or etching processes are performed to pattern semiconductor layer stack 205, and fins 218A and 218B can be formed. The lithography process may include forming a photoresist layer on the semiconductor layer stack 205 (eg, spin coating), performing a pre-exposure bake process, an exposure process using a mask, a post-exposure bake process, and a development process. During the exposure process, the photoresist layer is exposed to radiation energy such as ultraviolet light, deep ultraviolet light, or extreme ultraviolet light, wherein the photomask can block, penetrate, and/or reflect the radiation to the photoresist layer, depending on the light of the photomask Depending on the pattern and/or mask type (eg, binary mask, phase-shift mask, or EUV mask), an image (corresponding to the mask pattern) is projected onto the photoresist layer. Since the photoresist layer is sensitive to ray energy, the exposed part of the photoresist layer can be chemically changed, and the exposed or unexposed part of the photoresist layer can be dissolved during the development process (depending on the characteristics of the photoresist layer and the development used in the development process). depending on the properties of the solution). After development, the patterned photoresist layer includes a photoresist pattern to correspond to the photomask. The etching process uses the patterned photoresist layer as an etching mask to remove portions of the semiconductor layer stack 205 . In one embodiment, the patterned photoresist layer is formed over the hard mask layer on the semiconductor layer stack 205, the first etching process removes portions of the hard mask layer to form the patterned hard mask layer, and The second etch process uses the patterned hard mask layer as an etch mask to remove portions of the semiconductor layer stack 205 . The etching process may include a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. In one embodiment, the etching process is a reactive ion etching process. For example, the patterned photoresist layer may be removed after the etching process (some embodiments may also remove the hard mask layer), and the removal method may be a photoresist strip process or other suitable process. The formation method of the fins 218A and 218B can be changed to a multi-patterning process, such as a double-patterning lithography process (such as a lithography-etch-lithography-etch process, a self-aligned double-patterning process, the spacer is a dielectric Layer self-aligned double patterning process, other double patterning process, or a combination of the above), triple patterning process (such as lithography-etch-lithography-etch-lithography-etch process, self-aligned triple patterning process chemical process, other triple patterning process, or a combination of the above), other multi-patterning process (such as a self-aligned quadruple patterning process), or a combination of the above. In one embodiment, the method of patterning the semiconductor layer stack 205 may implement directional self-assembly techniques. Additionally, the exposure process of some embodiments may implement maskless lithography, e-beam writing, and/or ion beam writing to pattern the photoresist layer.

隔離結構230形成於基板202之上或之中,以隔離多種區域如裝置200的多種裝置區。舉例來說,隔離結構230圍繞鰭狀物218A及218B的底部,因此隔離結構230使鰭狀物218A及218B彼此隔離並分開。在一實施例中,隔離結構230圍繞鰭狀物218A及218B的基板部分,並部分地圍繞鰭狀物218A及218B的半導體層堆疊部分(比如最底部的半導體層210的一部分)。然而本發明實施例可實施隔離結構230相對於鰭狀物218A及218B的不同設置。隔離結構230包括氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(比如含矽、氧、氮、碳、或其他合適的隔離組成)、或上述之組合。隔離結構230可包含不同結構,比如淺溝槽隔離結構、深溝槽隔離結構、及/或局部氧化矽結構。舉例來說,隔離結構230可包含淺溝槽隔離結構,其可定義並電性隔離鰭狀物218A及218B與其他主動裝置區(如鰭狀物)及/或被動裝置區。淺溝槽隔離結構的形成方法可為蝕刻溝槽於基板202及/或半導體層堆疊205中(比如採用乾蝕刻製程及/或濕蝕刻製程),如圖案化鰭狀物218A及218B的上述步驟,並將絕緣材料填入溝槽(比如採用化學氣相沉積或旋轉塗佈玻璃製程)。可進行化學機械研磨製程及/或蝕刻製程,以移除多餘的絕緣材料及/或平坦化隔離結構230的上表面。在一實施例中,淺溝槽隔離結構的形成方法可為在形成鰭狀物218A及218B之後,沉積絕緣材料於基板202上(一些實施方式使絕緣材料層填入鰭狀物218A及218B之間的間隙或溝槽),並回蝕刻絕緣材料層以形成隔離結構230。在一實施例中,淺溝槽隔離結構包括多層結構並填入溝槽,比如含氮化矽的層狀物位於含熱氧化物的襯墊層上。在另一例中,淺溝槽隔離結構包括介電層位於摻雜襯墊層(比如硼矽酸鹽玻璃或磷矽酸鹽玻璃)上。在其他例子中,淺溝槽隔離結構包括基體介電層位於襯墊介電層上,而基體介電層與趁墊介電層的材料依設計需求而定。Isolation structures 230 are formed on or in substrate 202 to isolate various regions, such as various device regions of device 200 . For example, isolation structure 230 surrounds the bottoms of fins 218A and 218B, so isolation structure 230 isolates and separates fins 218A and 218B from each other. In one embodiment, the isolation structure 230 surrounds the substrate portion of the fins 218A and 218B and partially surrounds the semiconductor layer stack portion of the fins 218A and 218B (eg, a portion of the bottommost semiconductor layer 210 ). However, embodiments of the present invention may implement different arrangements of the isolation structure 230 relative to the fins 218A and 218B. The isolation structure 230 includes silicon oxide, silicon nitride, silicon oxynitride, other suitable isolation materials (eg, containing silicon, oxygen, nitrogen, carbon, or other suitable isolation compositions), or a combination thereof. The isolation structures 230 may include different structures, such as shallow trench isolation structures, deep trench isolation structures, and/or localized silicon oxide structures. For example, isolation structures 230 may include shallow trench isolation structures that may define and electrically isolate fins 218A and 218B from other active device regions (eg, fins) and/or passive device regions. The shallow trench isolation structure can be formed by etching trenches in the substrate 202 and/or the semiconductor layer stack 205 (eg, using a dry etching process and/or a wet etching process), such as the above steps of patterning the fins 218A and 218B , and fill the trenches with insulating material (eg, using chemical vapor deposition or spin-on glass processes). A chemical mechanical polishing process and/or an etching process may be performed to remove excess insulating material and/or to planarize the upper surface of the isolation structure 230 . In one embodiment, the STI structure may be formed by depositing an insulating material on the substrate 202 after forming the fins 218A and 218B (in some embodiments, an insulating material layer is filled between the fins 218A and 218B). gaps or trenches), and the insulating material layer is etched back to form the isolation structures 230 . In one embodiment, the shallow trench isolation structure includes a multi-layer structure and fills the trenches, such as a silicon nitride-containing layer on a thermal oxide-containing liner layer. In another example, the shallow trench isolation structure includes a dielectric layer on a doped liner layer (eg, borosilicate glass or phosphosilicate glass). In other examples, the shallow trench isolation structure includes a base dielectric layer on the pad dielectric layer, and the materials of the base dielectric layer and the pad dielectric layer are determined according to design requirements.

如圖1的步驟106、圖3C及3D、與圖4C及4D所示,形成閘極堆疊240於鰭狀物218A及218B的部分與隔離結構230上。如之後詳細說明的圖1的步驟122,閘極堆疊240之後將移除,因此可視作虛置的閘極堆疊240。在圖3C及3D所示的實施例中,可形成合適的介電材料層238於鰭狀物218A及218B與隔離結構230上。介電材料層238包括介電材料如氧化矽、高介電常數的介電材料、其他合適的介電材料、或上述之組合。高介電常數的介電材料包含氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。在一實施例中,介電材料層238包含界面層(如氧化矽)夾設於鰭狀物218A及218B之間,以及高介電常數的介電層位於界面層上。As shown in step 106 of FIG. 1 , FIGS. 3C and 3D , and FIGS. 4C and 4D , a gate stack 240 is formed on portions of the fins 218A and 218B and the isolation structure 230 . As in step 122 of FIG. 1 described in detail later, the gate stack 240 will be removed later, and thus can be regarded as a dummy gate stack 240 . In the embodiment shown in FIGS. 3C and 3D , a layer 238 of a suitable dielectric material may be formed over the fins 218A and 218B and the isolation structure 230 . The dielectric material layer 238 includes a dielectric material such as silicon oxide, a high-k dielectric material, other suitable dielectric materials, or a combination thereof. High dielectric constant dielectric materials include hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium zirconium oxide, zirconium oxide, aluminum oxide, hafnium oxide-aluminum oxide, and other suitable high dielectric constant materials. Dielectric material, or a combination of the above. In one embodiment, the dielectric material layer 238 includes an interface layer (eg, silicon oxide) sandwiched between the fins 218A and 218B, and a high-k dielectric layer is located on the interface layer.

接著形成合適的虛置閘極材料層235於介電材料層238上。舉例來說,虛置閘極材料層235可包含多晶矽。在一實施例中,形成硬遮罩材料層232於虛置閘極材料層235上。舉例來說,硬遮罩材料層232可包含氮化矽、氮氧化矽、碳氮化矽、碳化矽、碳氧化矽、旋轉塗佈玻璃、低介電常數的膜狀物、四乙氧基矽烷的氧化物、電漿輔助化學氣相沉積的氧化物、高深寬比製程所形成的氧化物、其他合適材料、及/或上述之組合。此外,可形成多種其他層如蓋層、界面層、擴散層、阻障層、硬遮罩層、或上述之組合於此處所述的多種層狀物之下、之間、或之上。Next, a suitable dummy gate material layer 235 is formed on the dielectric material layer 238 . For example, the dummy gate material layer 235 may include polysilicon. In one embodiment, the hard mask material layer 232 is formed on the dummy gate material layer 235 . For example, the hard mask material layer 232 may include silicon nitride, silicon oxynitride, silicon carbonitride, silicon carbide, silicon oxycarbide, spin-on glass, low-k films, tetraethoxy Silane oxides, plasma assisted chemical vapor deposition oxides, oxides formed by high aspect ratio processes, other suitable materials, and/or combinations thereof. In addition, various other layers such as capping layers, interface layers, diffusion layers, barrier layers, hardmask layers, or combinations thereof may be formed under, between, or over the various layers described herein.

介電材料層238、虛置閘極材料層235、與硬遮罩材料層232的形成方法可為沉積製程、微影製程、蝕刻製程、其他合適製程、或上述之組合。沉積製程可包含化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。The formation methods of the dielectric material layer 238, the dummy gate material layer 235, and the hard mask material layer 232 can be deposition process, lithography process, etching process, other suitable processes, or a combination thereof. Deposition process can include chemical vapor deposition, physical vapor deposition, atomic layer deposition, high-density plasma chemical vapor deposition, organometallic chemical vapor deposition, remote plasma chemical vapor deposition, plasma-assisted chemical vapor deposition , low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, electroplating, other suitable methods, or a combination of the above.

如圖4C及4D所示,接著進行微影圖案化與蝕刻製程以圖案化多種層狀物,可形成虛置的閘極堆疊240。舉例來說,可各自圖案化介電材料層238、虛置閘極材料層235、與硬遮罩材料層232,以形成虛置閘極堆疊240。微影圖案化製程可包含塗佈光阻(如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(如硬烘烤)、其他合適的微影製程、或上述之組合。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他蝕刻方法、或上述之組合。虛置的閘極堆疊240的長度延伸方向不同於(如垂直於)鰭狀物218A及218B的長度方向。舉例來說,虛置閘極堆疊240的延伸方向實質上沿著X方向彼此平行,其長度定義於X方向中、其寬度定義於Y方向中、且其高度定義於Z方向中。虛置閘極堆疊240位於鰭狀物218A及218B的部分上(比如包覆鰭狀物218A及218B的上表面與側壁表面),並定義源極區202A、汲極區202B、以及源極區與汲極區之間的通道區202C。虛置閘極堆疊240位於鰭狀物218A及218B的個別通道區202C的上表面上(在Y-Z平面中),使虛置閘極堆疊240夾設於個別的源極區202A與汲極區202B之間。在一實施例中,虛置閘極堆疊240沿著Y方向的寬度,可定義後續形成的金屬植夾結構的閘極長度。As shown in FIGS. 4C and 4D , lithography patterning and etching processes are then performed to pattern various layers, and a dummy gate stack 240 can be formed. For example, dielectric material layer 238 , dummy gate material layer 235 , and hard mask material layer 232 may each be patterned to form dummy gate stack 240 . The lithography patterning process may include coating photoresist (eg spin coating), soft bake, aligning mask, exposure, post exposure bake, developing photoresist, rinsing, drying (eg hard bake), other suitable lithography process, or a combination of the above. The etching process may include a dry etching process, a wet etching process, other etching methods, or a combination thereof. The lengthwise extension of the dummy gate stack 240 is different from (eg, perpendicular to) the lengthwise direction of the fins 218A and 218B. For example, the extension directions of the dummy gate stacks 240 are substantially parallel to each other along the X direction, the length is defined in the X direction, the width is defined in the Y direction, and the height is defined in the Z direction. Dummy gate stack 240 is located on portions of fins 218A and 218B (eg, covering the top and sidewall surfaces of fins 218A and 218B), and defines source regions 202A, drain regions 202B, and source regions and the channel region 202C between the drain region. The dummy gate stacks 240 are located on the upper surfaces (in the Y-Z plane) of the respective channel regions 202C of the fins 218A and 218B such that the dummy gate stacks 240 are sandwiched between the respective source regions 202A and drain regions 202B between. In one embodiment, the width of the dummy gate stack 240 along the Y direction can define the gate length of the subsequently formed metal clipping structure.

如圖5C及5D所示,以任何合適製程形成閘極間隔物層254,以與個別的虛置閘極堆疊240相鄰(比如沿著個別的虛置閘極堆疊240的側壁及/或上表面)。之後處理閘極間隔物層254成閘極間隔物,如下所述。閘極間隔物層254包括介電材料。介電材料可包含矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽、碳氧化矽、或碳氮氧化矽。舉例來說,可沉積含矽與氮(如氮化矽)的介電層於虛置閘極堆疊240上,以形成閘極間隔物層254。在一實施例中,閘極間隔物層254可包含多層結構,比如含氮化矽的第一介電層與含氧化矽的第二介電層。在一實施例中,可形成多組間隔物層如密封間隔物層、偏離間隔物層、犧牲間隔物層、虛置間隔物層、及/或主要間隔物層,以與虛置閘極堆疊240相鄰。在這些實施方式中,多組的間隔物層可包含不同蝕刻速率的材料。舉例來說,可沉積並蝕刻含矽與氧(如氧化矽)的第一介電層以形成第一組間隔物而與虛置閘極堆疊240相鄰,並可沉積含矽與氮(如氮化矽)的第二介電層以形成第二組間隔物而與第一組間隔物相鄰。此外,可形成遮罩層252於閘極間隔物層254上。在一些實施例中,可順應性地形成遮罩層252。遮罩層252可具有任何合適的蝕刻遮罩層材料。在一實施例中,可省略遮罩層252。As shown in FIGS. 5C and 5D , gate spacer layers 254 are formed in any suitable process to be adjacent to respective dummy gate stacks 240 (eg, along the sidewalls and/or on top of respective dummy gate stacks 240 ) surface). The gate spacer layer 254 is then processed into gate spacers, as described below. The gate spacer layer 254 includes a dielectric material. The dielectric material may comprise silicon, oxygen, carbon, nitrogen, other suitable materials, or combinations thereof, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, silicon oxycarbide, or oxycarbonitride silicon. For example, a dielectric layer containing silicon and nitrogen (eg, silicon nitride) may be deposited over dummy gate stack 240 to form gate spacer layer 254 . In one embodiment, the gate spacer layer 254 may include a multi-layer structure, such as a first dielectric layer containing silicon nitride and a second dielectric layer containing silicon oxide. In one embodiment, sets of spacer layers such as seal spacer layers, offset spacer layers, sacrificial spacer layers, dummy spacer layers, and/or main spacer layers may be formed to stack with dummy gates 240 adjacent. In these embodiments, sets of spacer layers may include materials of different etch rates. For example, a first dielectric layer containing silicon and oxygen (eg, silicon oxide) can be deposited and etched to form a first set of spacers adjacent to dummy gate stack 240, and silicon and nitrogen containing (eg, silicon oxide) can be deposited. silicon nitride) to form a second set of spacers adjacent to the first set of spacers. Additionally, a mask layer 252 may be formed on the gate spacer layer 254 . In some embodiments, the mask layer 252 may be conformally formed. Mask layer 252 may be of any suitable etch mask layer material. In one embodiment, the mask layer 252 may be omitted.

如圖1的步驟108與圖6C及6D所示,形成遮罩層262於遮罩層252上,以至少覆蓋鰭狀物218A及218B的汲極區202B。與此同時,遮罩262可露出源極區202A。遮罩層262可包含任何合適材料,且可由任何合適方法所形成。舉例來說,遮罩層262可為任何合適的光阻材料的光阻層。在一實施例中,可經由遮罩層262的開口圖案化遮罩層252。舉例來說,在圖案化製程時可蝕刻移除源極區202A中的遮罩層252。圖案化的遮罩層252之後可作為蝕刻源極溝槽所用的蝕刻遮罩。As shown in step 108 of FIG. 1 and FIGS. 6C and 6D , a mask layer 262 is formed on the mask layer 252 to cover at least the drain regions 202B of the fins 218A and 218B. At the same time, the mask 262 may expose the source region 202A. Mask layer 262 may comprise any suitable material and may be formed by any suitable method. For example, mask layer 262 may be a photoresist layer of any suitable photoresist material. In one embodiment, the mask layer 252 may be patterned through the openings of the mask layer 262 . For example, the mask layer 252 in the source region 202A may be removed by etching during the patterning process. The patterned mask layer 252 can then serve as an etch mask for etching the source trenches.

至少部分地移除鰭狀物218A及218B其露出的源極區202A (比如蝕刻的遮罩層252未覆蓋的源極區202A),以形成於源極溝槽258A (如凹陷)。如上所述,鰭狀物218A及218B包含半導體層堆疊部分與基板部分。源極溝槽258A可視作半導體層堆疊205中的開口,且可延伸至基板202中。舉例來說,蝕刻製程可完全移除鰭狀物218A的源極區202A中的半導體層堆疊205,並進一步蝕刻至基板202中,使源極溝槽258A的下表面延伸至低於基板202的上表面。對另一例而言,源極溝槽258A的形成步驟移除源極區202A中的半導體層堆疊205,但不蝕刻基板202。綜上所述,源極溝槽258A的下表面由基板202所定義。對另一例而言,蝕刻製程可移除一些而非全部的半導體層堆疊205,使源極區202A中的源極溝槽258A具有半導體層210、半導體層215、或其部分所定義的底部。The exposed source regions 202A of fins 218A and 218B (eg, source regions 202A uncovered by etched mask layer 252 ) are at least partially removed to form source trenches 258A (eg, recesses). As described above, the fins 218A and 218B include the semiconductor layer stack portion and the substrate portion. Source trench 258A can be viewed as an opening in semiconductor layer stack 205 and can extend into substrate 202 . For example, the etching process can completely remove the semiconductor layer stack 205 in the source region 202A of the fin 218A and further etch into the substrate 202 such that the lower surface of the source trench 258A extends below the substrate 202 upper surface. For another example, the step of forming source trench 258A removes semiconductor layer stack 205 in source region 202A, but does not etch substrate 202 . In summary, the lower surface of the source trench 258A is defined by the substrate 202 . For another example, the etching process may remove some, but not all, of the semiconductor layer stack 205 such that the source trench 258A in the source region 202A has a bottom defined by the semiconductor layer 210, the semiconductor layer 215, or portions thereof.

形成源極溝槽的製程會使半導體層210各自具有側壁表面294A,並使半導體層215各自具有側壁表面295A。在一實施例中,側壁表面294A對準側壁表面295A。形成源極溝槽258A的蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一實施例中,蝕刻製程為多步驟蝕刻製程。舉例來說,蝕刻製程可交錯使用蝕刻劑以分開並交錯地移除半導體層210與半導體層215。在一實施例中,設置蝕刻製程的參數以選擇性蝕刻半導體層堆疊205,並最小化地蝕刻虛置閘極堆疊240、閘極間隔物254、與隔離結構230。此外,源極溝槽的形成製程亦會移除閘極間隔物層254的部分。閘極間隔物層254的保留部分轉變為虛置的閘極堆疊240所用的閘極間隔物254。The process of forming the source trench causes each of the semiconductor layers 210 to have sidewall surfaces 294A and each of the semiconductor layers 215 to have sidewall surfaces 295A. In one embodiment, sidewall surface 294A is aligned with sidewall surface 295A. The etching process for forming the source trench 258A may include a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. In one embodiment, the etching process is a multi-step etching process. For example, the etch process may be interleaved with an etchant to separate and alternately remove semiconductor layer 210 and semiconductor layer 215 . In one embodiment, the parameters of the etching process are set to selectively etch the semiconductor layer stack 205 and minimize the etching of the dummy gate stack 240 , the gate spacer 254 , and the isolation structure 230 . In addition, the source trench formation process also removes portions of the gate spacer layer 254 . The remaining portion of the gate spacer layer 254 is converted into the gate spacer 254 for the dummy gate stack 240 .

如圖1的步驟110與圖7C及7D所示,採用合適的移除製程移除半導體層215的末端部分之間的半導體210的末端部分,以形成間隙253A於垂直相鄰的半導體層215的末端部分之間。舉例來說,可進行橫向蝕刻製程,以沿著Y方向選擇性蝕刻源極溝槽258A中露出的半導體層210,而最小化地或不蝕刻半導體層215。綜上所述,間隙253A形成於半導體層215之間,以及半導體層215與基板202之間。在一實施例中,蝕刻製程為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。間隙253A與源極溝槽258A可一起形成延伸的源極溝槽264A。蝕刻的半導體層210各自具有側壁表面296A暴露於間隙253A中。如上所述,由於半導體層215對蝕刻製程的抗蝕刻性較佳,因此最小化地蝕刻或不蝕刻半導體層215。因此蝕刻的半導體層215各自具有相同的側壁表面295A,如橫向蝕刻製程之前。側壁表面296A與側壁表面295A彼此偏離且不對準。此外,半導體層215各自的上表面297A與下表面298A暴露於延伸的源極溝槽264A中。表面的這些部分至少部分地取決於後續形成的磊晶層輪廓。As shown in step 110 of FIG. 1 and FIGS. 7C and 7D , the end portions of the semiconductor layers 210 between the end portions of the semiconductor layers 215 are removed using a suitable removal process to form gaps 253A between the vertically adjacent semiconductor layers 215 . between the end parts. For example, a lateral etch process may be performed to selectively etch the semiconductor layer 210 exposed in the source trench 258A along the Y direction with minimal or no etching of the semiconductor layer 215 . In summary, the gap 253A is formed between the semiconductor layers 215 and between the semiconductor layer 215 and the substrate 202 . In one embodiment, the etching process is a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. Gaps 253A and source trenches 258A may together form extended source trenches 264A. The etched semiconductor layers 210 each have sidewall surfaces 296A exposed in the gaps 253A. As described above, since the semiconductor layer 215 has better etch resistance to the etching process, the semiconductor layer 215 is minimally etched or not etched. The etched semiconductor layers 215 thus each have the same sidewall surfaces 295A, as before the lateral etching process. Sidewall surface 296A and sidewall surface 295A are offset and misaligned from each other. In addition, the respective upper surface 297A and lower surface 298A of the semiconductor layer 215 are exposed in the extended source trench 264A. These portions of the surface depend, at least in part, on the subsequently formed epitaxial layer profile.

如圖1的步驟112與圖8C及8D所示,形成磊晶源極結構260A於延伸的源極溝槽264A中。舉例來說,可自基板202的露出部分與半導體層215的露出部分磊晶成長半導體材料,以形成磊晶源極結構260A於源極區202A中。在一實施例中,磊晶源極結構260A包括多個層狀物。舉例來說,磊晶源極結構260A可包含磊晶源極層261A位於露出的基板202之上,並位於半導體層215的露出部分的上表面、下表面、與側壁表面之上。磊晶源極層261A至少部分地填入延伸的源極溝槽264A。磊晶源極層261A直接接觸半導體層215的側壁表面295A,並直接接觸半導體層210的側壁表面296A。如上所述,側壁表面295A及296A彼此偏離且不對準。此外,磊晶源極層261A更直接接觸每一半導體層215的上表面與下表面(如上表面297A與下表面298A)。這些界面(如上表面297A與下表面298A)可作為操作中電荷移動的額外路徑,並緩解電流擁擠問題,如下詳述。As shown in step 112 of FIG. 1 and FIGS. 8C and 8D, epitaxial source structures 260A are formed in the extended source trenches 264A. For example, semiconductor material may be epitaxially grown from the exposed portion of the substrate 202 and the exposed portion of the semiconductor layer 215 to form the epitaxial source structure 260A in the source region 202A. In one embodiment, the epitaxial source structure 260A includes a plurality of layers. For example, the epitaxial source structure 260A may include an epitaxial source layer 261A overlying the exposed substrate 202 and over the top, bottom, and sidewall surfaces of the exposed portions of the semiconductor layer 215 . The epitaxial source layer 261A at least partially fills the extended source trench 264A. The epitaxial source layer 261A directly contacts the sidewall surface 295A of the semiconductor layer 215 and directly contacts the sidewall surface 296A of the semiconductor layer 210 . As described above, sidewall surfaces 295A and 296A are offset and misaligned from each other. In addition, the epitaxial source layer 261A more directly contacts the upper surface and the lower surface of each semiconductor layer 215 (eg, the upper surface 297A and the lower surface 298A). These interfaces, such as upper surface 297A and lower surface 298A, can serve as additional paths for charge movement in operation and alleviate current crowding problems, as detailed below.

綜上所述,磊晶源極層261A與半導體層堆疊205之間的界面包含多個垂直(或近似垂直)部件(如側壁表面295A及296A),其彼此偏離且不對準。上述界面亦包括多個水平(或近似水平)部件(如上表面297A與下表面298A),其延伸方向大致垂直於側壁表面295A及296A。換言之,磊晶源極層261A與半導體層堆疊205之間的界面具有一或多個部分,其輪廓與字母S的形狀類似。雖然圖式中的S部件在垂直或水平方向,但其可改為任何方向。In summary, the interface between the epitaxial source layer 261A and the semiconductor layer stack 205 includes a plurality of vertical (or near-vertical) features (eg, sidewall surfaces 295A and 296A) that are offset and misaligned from each other. The above-mentioned interface also includes a plurality of horizontal (or approximately horizontal) components (eg, the upper surface 297A and the lower surface 298A), the extending direction of which is substantially perpendicular to the sidewall surfaces 295A and 296A. In other words, the interface between the epitaxial source layer 261A and the semiconductor layer stack 205 has one or more portions whose outline is similar to the shape of the letter S. Although the S component in the drawings is in a vertical or horizontal orientation, it can be changed to any orientation.

在一實施例中,磊晶源極結構260A可進一步包含自磊晶源極層261A成長的磊晶源極層262A。舉例來說,磊晶源極層262A連接磊晶源極層261A的兩側表面,使其填入延伸的源極溝槽264A的其餘空間。在一實施例中,磊晶源極層262A的上表面沿著磊晶源極層261A的上表面延伸。由於磊晶源極層262A與磊晶源極層261A共用界面,磊晶源極層262A亦可具有兩個相對表面,其各自具有符合字母「S」的形狀的部分。在一實施例中,磊晶源極層261A與磊晶源極層262A的上表面延伸高於半導體層堆疊205的上表面。然而磊晶源極層261A與磊晶源極層262A的上表面可延伸高於或低於半導體層堆疊205的上表面,端視設計需求而定。In one embodiment, the epitaxial source structure 260A may further include an epitaxial source layer 262A grown from the epitaxial source layer 261A. For example, the epitaxial source layer 262A is connected to the two side surfaces of the epitaxial source layer 261A so as to fill the remaining space of the extended source trench 264A. In one embodiment, the upper surface of the epitaxial source layer 262A extends along the upper surface of the epitaxial source layer 261A. Since the epitaxial source layer 262A and the epitaxial source layer 261A share a common interface, the epitaxial source layer 262A may also have two opposite surfaces, each of which has a portion conforming to the shape of the letter "S". In one embodiment, the upper surfaces of the epitaxial source layer 261A and the epitaxial source layer 262A extend higher than the upper surface of the semiconductor layer stack 205 . However, the upper surfaces of the epitaxial source layer 261A and the epitaxial source layer 262A may extend higher or lower than the upper surface of the semiconductor layer stack 205, depending on design requirements.

在一實施例中,磊晶源極層261A的整個輪廓可具有一致或實質上一致的厚度。綜上所述,層狀物的形狀與表面一致。以圖8C為例,磊晶源極層261A包括兩個相對的部分263a及263b,其各自由基板202向上延伸,且層狀物輪廓各自與字母「S」的形狀一致。在一些實施例中,磊晶源極層261A的厚度可為約2 nm至約6 nm。若厚度過小如小於2 nm,則磊晶源極層261A對內側層(如下述的磊晶源極層262A)的保護效果可能不足。此深寬比將詳述於下。另一方面,磊晶源極層261A所用的大厚度,必然會減少磊晶源極層262A形成於相同的延伸的源極溝槽264A中的厚度或體積。若厚度過大如大於6 nm,則磊晶源極層262A的體積可能過小。在一些實施例中,磊晶源極層262A可作為主要的電荷載子供應者,且其電荷載子比磊晶源極層261A多,或其載子遷移率大於源極層261A中的載子遷移率。綜上所述,磊晶源極層261A其不必要的大厚度最後造成電荷載子的數目或遷移率,進而降低裝置效能(如操作速度)。In one embodiment, the entire profile of the epitaxial source layer 261A may have a uniform or substantially uniform thickness. In summary, the shape of the layer conforms to the surface. Taking FIG. 8C as an example, the epitaxial source layer 261A includes two opposite portions 263a and 263b, each of which extends upward from the substrate 202, and the outlines of the layers each conform to the shape of the letter "S". In some embodiments, the thickness of the epitaxial source layer 261A may be about 2 nm to about 6 nm. If the thickness is too small, eg, less than 2 nm, the protective effect of the epitaxial source layer 261A on the inner layer (eg, the epitaxial source layer 262A described below) may be insufficient. This aspect ratio will be detailed below. On the other hand, the large thickness used for epitaxial source layer 261A necessarily reduces the thickness or volume of epitaxial source layer 262A formed in the same extended source trench 264A. If the thickness is too large, eg, greater than 6 nm, the volume of the epitaxial source layer 262A may be too small. In some embodiments, the epitaxial source layer 262A can serve as a major charge carrier supplier, and has more charge carriers than the epitaxial source layer 261A, or has a carrier mobility greater than that in the source layer 261A child mobility. To sum up, the unnecessarily large thickness of the epitaxial source layer 261A ultimately results in the number or mobility of charge carriers, thereby reducing device performance (eg, operating speed).

在一些實施例中,磊晶源極層261A與半導體層210設置以在後續蝕刻製程時達到蝕刻選擇性。綜上所述,其可包含不同材料或不同的材料組成。舉例來說,所述實施例的半導體層210可包含矽鍺,而磊晶源極層261A可包含矽。在一些實施例中,磊晶源極層261A及262A形成p型電晶體的一部分。矽為主的磊晶源極層261A的存在,可確保後續製程中不會損傷磊晶源極結構260A的完整性。具體而言,磊晶源極層261A直接形成於半導體層210上或與其緊鄰(比如只隔有阻障層),如下詳述。換言之,不形成內側間隔物於半導體層210與磊晶源極層261A之間。綜上所述,在無所述的蝕刻選擇性時,移除目標為半導體層210的蝕刻製程(比如下述的線狀物釋放製程,如圖1的步驟124)會非刻意地損傷磊晶源極層261A的完整性。當磊晶源極層262A包含類似材料時,蝕刻製程可能進一步損傷磊晶源極層262A。In some embodiments, the epitaxial source layer 261A and the semiconductor layer 210 are disposed to achieve etching selectivity during subsequent etching processes. In summary, it may comprise different materials or different material compositions. For example, the semiconductor layer 210 of the embodiment may include silicon germanium, and the epitaxial source layer 261A may include silicon. In some embodiments, epitaxial source layers 261A and 262A form part of a p-type transistor. The presence of the epitaxial source layer 261A dominated by silicon can ensure that the integrity of the epitaxial source structure 260A will not be damaged in the subsequent process. Specifically, the epitaxial source layer 261A is directly formed on or adjacent to the semiconductor layer 210 (eg, only separated by a barrier layer), as described in detail below. In other words, no inner spacer is formed between the semiconductor layer 210 and the epitaxial source layer 261A. To sum up, in the absence of the etching selectivity, the etching process for removing the semiconductor layer 210 (such as the following wire release process, such as step 124 in FIG. 1 ) will unintentionally damage the epitaxy Integrity of the source layer 261A. When the epitaxial source layer 262A includes similar materials, the etching process may further damage the epitaxial source layer 262A.

相反地,半導體層210與磊晶源極層261A之間的蝕刻選擇性,可確保這些後續製程不蝕刻或最小化地蝕刻磊晶源極層261A。此外,這些蝕刻選擇性可使磊晶源極層262A (或其他內側磊晶層)具有更多樣化的材料選擇。磊晶源極層262A的厚度(或體積)大於磊晶源極層261A的厚度(或體積)。磊晶源極層262A的摻質量或摻質的遷移率亦可高於磊晶源極層261A的摻質量或摻質遷移率。換言之,磊晶源極結構260A的功能主要由磊晶源極層262A所提供。綜上所述,磊晶源極層262A所用的廣泛材料選擇性,有助於裝置設計並改善裝置效能(如操作速度)。舉例來說,矽鍺不只是半導體層210的常見材料,亦可為p型電晶體的常見磊晶源極材料,因其具有高電洞遷移率。此處所述的設置可使磊晶源極層262A採用矽鍺,且在後續蝕刻矽鍺以形成懸空通道層的製程時免於損傷的風險。若無上述蝕刻選擇性,則磊晶源極層262A不選擇與半導體層210類似的矽鍺組成。由於磊晶源極層261A使這兩個矽鍺層彼此分開,因此消除此限制。因此矽鍺與矽之間的蝕刻選擇性可確保蝕刻製程止於半導體層210與磊晶源極層261A之間的界面,而不會達到磊晶源極層262A。On the contrary, the etch selectivity between the semiconductor layer 210 and the epitaxial source layer 261A can ensure that these subsequent processes do not etch or minimize the etching of the epitaxial source layer 261A. Furthermore, these etch selectivities allow for more diverse material choices for epitaxial source layer 262A (or other inner epitaxial layers). The thickness (or volume) of the epitaxial source layer 262A is greater than the thickness (or volume) of the epitaxial source layer 261A. The doping amount or dopant mobility of the epitaxial source layer 262A may also be higher than the doping amount or dopant mobility of the epitaxial source layer 261A. In other words, the function of the epitaxial source structure 260A is mainly provided by the epitaxial source layer 262A. In conclusion, the broad material selectivity used for epitaxial source layer 262A facilitates device design and improves device performance (eg, operating speed). For example, silicon germanium is not only a common material for the semiconductor layer 210, but also a common epitaxial source material for p-type transistors because of its high hole mobility. The arrangement described here enables the epitaxial source layer 262A to use SiGe without the risk of damage during the subsequent process of etching SiGe to form the suspended channel layer. Without the above-mentioned etching selectivity, the epitaxial source layer 262A is not selected to have a silicon germanium composition similar to that of the semiconductor layer 210 . This limitation is eliminated because the epitaxial source layer 261A separates the two SiGe layers from each other. Therefore, the etching selectivity between SiGe and Si can ensure that the etching process stops at the interface between the semiconductor layer 210 and the epitaxial source layer 261A, and does not reach the epitaxial source layer 262A.

在此實施例中,磊晶源極層261A包括矽,且磊晶源極層262A包括矽鍺。換言之,磊晶源極層261A與磊晶源極層262A之間具有蝕刻選擇性。然而在其他實施例中,磊晶源極層261A與磊晶源極層262A可包含相同或類似的材料,且兩者之間可不具有蝕刻選擇性。舉例來說,一些實施例的半導體層210可包含矽,而磊晶源極層261A與磊晶源極層262A可包含矽鍺。In this embodiment, epitaxial source layer 261A includes silicon, and epitaxial source layer 262A includes silicon germanium. In other words, there is etching selectivity between the epitaxial source layer 261A and the epitaxial source layer 262A. However, in other embodiments, the epitaxial source layer 261A and the epitaxial source layer 262A may include the same or similar materials, and may not have etch selectivity therebetween. For example, the semiconductor layer 210 of some embodiments may include silicon, while the epitaxial source layer 261A and the epitaxial source layer 262A may include silicon germanium.

在一些實施例中,磊晶源極層261A及262A可形成n型電晶體的一部分。與p型電晶體類似,磊晶源極層261A與半導體層210之間的蝕刻選擇性可確保磊晶源極層的完整性。在一些實施例中,半導體層210包括矽鍺。在這些實施例中,磊晶源極層261A與磊晶源極層262A均可包含矽以作為磊晶材料。在一些其他實施例中,半導體層210可包含矽。由於矽為n型裝置所用的一般磊晶材料,其有時有利於設置含矽的磊晶源極層262A (比如主要的電荷載子提供者)。在這些實施例中,磊晶源極層261A可包含不同材料(如矽鍺)以提供蝕刻停止的機制,進而在蝕刻半導體層210時避免損傷磊晶源極層261A及262A。換言之,在磊晶源極層261A與半導體層210之間存在蝕刻選擇性,且在磊晶源極層261A與磊晶源極層262A之間存在蝕刻選擇性。In some embodiments, epitaxial source layers 261A and 262A may form part of an n-type transistor. Similar to the p-type transistor, the etch selectivity between the epitaxial source layer 261A and the semiconductor layer 210 can ensure the integrity of the epitaxial source layer. In some embodiments, the semiconductor layer 210 includes silicon germanium. In these embodiments, both the epitaxial source layer 261A and the epitaxial source layer 262A can include silicon as the epitaxial material. In some other embodiments, the semiconductor layer 210 may comprise silicon. Since silicon is a common epitaxial material for n-type devices, it is sometimes advantageous to provide a silicon-containing epitaxial source layer 262A (eg, the primary charge carrier provider). In these embodiments, the epitaxial source layer 261A may include different materials (eg, silicon germanium) to provide an etch stop mechanism, thereby avoiding damage to the epitaxial source layers 261A and 262A when the semiconductor layer 210 is etched. In other words, there is etching selectivity between the epitaxial source layer 261A and the semiconductor layer 210 , and etching selectivity between the epitaxial source layer 261A and the epitaxial source layer 262A.

磊晶源極結構260A (包括磊晶源極層261A與磊晶源極層262A)的沉積方法可採用任何合適的磊晶製程,比如化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、其他合適的磊晶成長製程、或上述之組合。磊晶製程可採用氣體及/或液體的前驅物,其可與基板202及/或半導體層堆疊205 (特別是半導體層215)的組成作用。此外,磊晶源極結構260A可摻雜p型摻質或n型摻質,端視電晶體的型態與上述選擇標準而定。舉例來說,在半導體層210包含矽鍺的所述實施例中,p型電晶體所用的磊晶源極層261A可摻雜硼、鎵、其他p型摻質、或上述之組合(比如硼化矽磊晶源極層或矽鎵磊晶源極層)。與此同時,磊晶源極層262A可包含矽鍺或鍺,其可摻雜硼、鎵、其他p型摻質、或上述之組合(比如硼化矽鍺磊晶源極層、矽鍺鎵磊晶源極層、硼化鍺磊晶源極層、或鍺鎵磊晶源極層)。對半導體層210含矽鍺的另一例而言,n型電晶體所用的磊晶源極層261A與磊晶源極層262A均可包含矽,且可摻雜碳、磷、砷、其他n型摻質、或上述之組合,以形成碳化矽的磊晶源極層、磷化矽的磊晶源極層、砷化矽的磊晶源極層、或碳磷化矽的磊晶源極層。The epitaxial source structure 260A (including the epitaxial source layer 261A and the epitaxial source layer 262A) can be deposited using any suitable epitaxial process, such as chemical vapor deposition techniques (eg, vapor epitaxy and/or high vacuum chemical vapor deposition), molecular beam epitaxy, other suitable epitaxial growth processes, or a combination of the above. The epitaxy process may employ gaseous and/or liquid precursors, which may interact with the composition of the substrate 202 and/or the semiconductor layer stack 205 (especially the semiconductor layer 215). In addition, the epitaxial source structure 260A can be doped with p-type dopants or n-type dopants, depending on the type of the transistor and the above selection criteria. For example, in the embodiment described in which the semiconductor layer 210 comprises silicon germanium, the epitaxial source layer 261A for the p-type transistor may be doped with boron, gallium, other p-type dopants, or a combination of the foregoing (such as boron Silicone epitaxial source layer or silicon gallium epitaxial source layer). Meanwhile, the epitaxial source layer 262A may include silicon germanium or germanium, which may be doped with boron, gallium, other p-type dopants, or a combination of the above (eg, silicon germanium boride epitaxial source layer, silicon germanium gallium epitaxial source layer, germanium boride epitaxial source layer, or germanium gallium epitaxial source layer). For another example in which the semiconductor layer 210 contains silicon germanium, the epitaxial source layer 261A and the epitaxial source layer 262A used in the n-type transistor can both contain silicon, and can be doped with carbon, phosphorus, arsenic, and other n-type transistors. Dopants, or a combination of the above, to form an epitaxial source layer of silicon carbide, an epitaxial source layer of silicon phosphide, an epitaxial source layer of silicon arsenide, or an epitaxial source layer of silicon carbon phosphide .

磊晶源極層261A及262A可包含相同或不同的摻質濃度。在一實施例中,磊晶源極結構260A (包含磊晶源極層261A、磊晶源極層262A、或上述兩者)包含的材料或摻質可達裝置的個別通道區中所需的拉砷應力或壓縮應力。在一實施例中,在沉積時添加雜質至磊晶製程的源材料,以摻雜磊晶源極結構260A (如原位摻雜)。在一實施例中,沉積製程之後的離子佈植製程可摻雜磊晶源極結構260A。在一實施例中,可進行退火製程(如快速熱退火及/或雷射退火)以活化磊晶源極結構260A中的摻質。The epitaxial source layers 261A and 262A may contain the same or different dopant concentrations. In one embodiment, the epitaxial source structure 260A (including the epitaxial source layer 261A, the epitaxial source layer 262A, or both) contains materials or dopants that can reach desired levels in the individual channel regions of the device. Tensile stress or compressive stress. In one embodiment, impurities are added to the source material of the epitaxial process during deposition to dope the epitaxial source structure 260A (eg, in-situ doping). In one embodiment, the ion implantation process after the deposition process can dope the epitaxial source structure 260A. In one embodiment, an annealing process (eg, rapid thermal annealing and/or laser annealing) may be performed to activate the dopants in the epitaxial source structure 260A.

如圖1的步驟114與圖9C及9D所示,形成遮罩層252'於磊晶源極結構260A上,使遮罩層252'覆蓋磊晶源極層261A及262A的上表面、閘極間隔物254的上表面與側壁表面、以及硬遮罩材料層232的上表面。遮罩層252'在後續蝕刻製程中,可保護磊晶源極結構260A。遮罩252'的形成方法可為任何合適方法。在一實施例中,先採用合適方法移除遮罩層252的保留部分(見圖8C),並採用再沉積製程形成遮罩層252'以覆蓋露出的上表面。As shown in step 114 of FIG. 1 and FIGS. 9C and 9D , a mask layer 252 ′ is formed on the epitaxial source structure 260A, so that the mask layer 252 ′ covers the upper surfaces and gate electrodes of the epitaxial source layers 261A and 262A The upper surface and sidewall surface of the spacer 254 and the upper surface of the hard mask material layer 232 . The mask layer 252' can protect the epitaxial source structure 260A in the subsequent etching process. The method of forming the mask 252' may be any suitable method. In one embodiment, a suitable method is used to remove the remaining portion of the mask layer 252 (see FIG. 8C ), and a redeposition process is used to form the mask layer 252 ′ to cover the exposed upper surface.

遮罩層266 (如光阻層)至少形成於裝置的源極區202A上,比如遮罩層252'上。圖案化遮罩層266以提供開口而露出汲極區202B。接著採用蝕刻製程以形成圖案化的遮罩層252,接著形成汲極溝槽258B於汲極區202B中。此蝕刻製程與圖1的步驟108與圖6C及6D相關的上述蝕刻製程類似。綜上所述,一實施例的汲極溝槽258B露出半導體層210及215的側壁表面,並延伸至汲極區202B中的基板202中。A mask layer 266 (eg, a photoresist layer) is formed at least on the source region 202A of the device, eg, on the mask layer 252'. Mask layer 266 is patterned to provide openings to expose drain region 202B. An etching process is then used to form the patterned mask layer 252, and then drain trenches 258B are formed in the drain region 202B. This etching process is similar to the etching process described above in relation to step 108 of FIG. 1 and FIGS. 6C and 6D. To sum up, the drain trench 258B in one embodiment exposes the sidewall surfaces of the semiconductor layers 210 and 215 and extends into the substrate 202 in the drain region 202B.

如圖1的步驟116與圖10C及10D所示,橫向蝕刻製程移除半導體層210的部分,以形成間隙253B於垂直相鄰的半導體層215的末端部分之間。此製程與圖1的步驟110與圖7C及7D相關的上述橫向蝕刻製程類似。此外,間隙253B與上述的間隙253A類似。As shown in step 116 of FIG. 1 and FIGS. 10C and 10D , a lateral etching process removes portions of semiconductor layer 210 to form gaps 253B between end portions of vertically adjacent semiconductor layers 215 . This process is similar to the lateral etching process described above in relation to step 110 of FIG. 1 and FIGS. 7C and 7D. Furthermore, gap 253B is similar to gap 253A described above.

如圖1的步驟118與圖11C及11D所示,接著以沉積製程形成間隔物材料層268於露出的表面上。舉例來說,間隔物材料層268形成於源極區202A中的遮罩層252'上,形成於遮罩層252、硬遮罩材料層232、與露出的基板202上,並形成於汲極區202B中的半導體層210及215上。具體而言,間隔物材料層268填入垂直相鄰的半導體層215的末端部分之間,與半導體層215與基板202之間的間隙253B (見圖10C),使間隔物材料層268直接接觸半導體層210的側壁表面以及半導體層215的末端部分的上表面與下表面。在一實施例中,沉積製程採用化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。間隔物材料層268可部分地(一些實施例可完全地)填入汲極溝槽258B。雖然圖11C所示的間隔物材料層268具有U形輪廓,其可改為具有任何合適輪廓。As shown in step 118 of FIG. 1 and FIGS. 11C and 11D, a deposition process is then used to form a layer of spacer material 268 on the exposed surface. For example, spacer material layer 268 is formed on mask layer 252' in source region 202A, on mask layer 252, hard mask material layer 232, and exposed substrate 202, and on the drain on semiconductor layers 210 and 215 in region 202B. Specifically, the spacer material layer 268 is filled between the end portions of the vertically adjacent semiconductor layers 215, and the gap 253B (see FIG. 10C ) between the semiconductor layer 215 and the substrate 202, so that the spacer material layer 268 is in direct contact Sidewall surfaces of the semiconductor layer 210 and upper and lower surfaces of end portions of the semiconductor layer 215 . In one embodiment, the deposition process adopts chemical vapor deposition, physical vapor deposition, atomic layer deposition, high density plasma chemical vapor deposition, organometallic chemical vapor deposition, remote plasma chemical vapor deposition, plasma Assisted chemical vapor deposition, low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, electroplating, other suitable methods, or a combination thereof. Spacer material layer 268 may partially (some embodiments may fully) fill drain trench 258B. Although the spacer material layer 268 shown in FIG. 11C has a U-shaped profile, it may instead have any suitable profile.

舉例來說,間隔物材料層268包含的介電材料可包含矽、氧、碳、氮、其他合適材料、或上述之組合(比如氧化矽、氮化矽、氮氧化矽、碳化矽、或碳氮氧化矽)。在一實施例中,介電材料包括此處所述的低介電常數的介電材料。在一實施例中,將摻質如p型摻質、n型摻質、或上述之組合導入介電材料,使間隔物材料層268包含摻雜的介電材料。For example, the dielectric material included in the spacer material layer 268 may include silicon, oxygen, carbon, nitrogen, other suitable materials, or combinations thereof (such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, or carbon) silicon oxynitride). In one embodiment, the dielectric material includes the low-k dielectric materials described herein. In one embodiment, dopants such as p-type dopants, n-type dopants, or a combination thereof are introduced into the dielectric material such that the spacer material layer 268 comprises the doped dielectric material.

如圖1的步驟118與圖12C及12D所示,可採用回蝕刻製程以移除間隔物材料層268的部分,以形成內側間隔物255。在一實施例中,回蝕刻間隔物材料層268時可最小化地或不蝕刻半導體層215、虛置閘極堆疊240、與閘極間隔物254。在一實施例中,完全移除間隙253B之外的間隔物材料層268,以露出硬遮罩材料層232與閘極間隔物層254的上表面、薄化的遮罩層252的上表面與側壁表面、以及半導體層215的側壁表面。此外,內側間隔物255其露出的側壁表面對準半導體層215的側壁表面,以形成連續且實質上平直的側壁表面。在一實施例中,間隔物材料層(以及內側間隔物255)包括的材料不同於半導體層215與閘極間隔物254的材料,以達回蝕刻製程時所需的蝕刻選擇性。在一實施例中,內側基隔物255在後續通道釋放製程時可保護磊晶汲極結構260B (圖13C),亦可用於減少寄生電容。內側間隔物255沿著Z方向的厚度大幅取決於半導體層210的厚度。綜上所述,內側間隔物255的厚度可為約3 nm至約15 nm。此外,內側間隔物255沿著Y方向的寬度可為約2 nm至約10 nm。若寬度過小如小於2 nm,內側間隔物255不足以在後續蝕刻製程中保護磊晶汲極結構260B,且內側間隔物255降低寄生電容的效果可能減少。若寬度過大如大於10 nm,其額外好處不值得其所需的額外晶片腳位。As shown in step 118 of FIG. 1 and FIGS. 12C and 12D , an etch-back process may be employed to remove portions of spacer material layer 268 to form inner spacers 255 . In one embodiment, the semiconductor layer 215 , the dummy gate stack 240 , and the gate spacer 254 may be etched back with minimal or no etching of the spacer material layer 268 . In one embodiment, the spacer material layer 268 is completely removed outside the gap 253B to expose the upper surfaces of the hard mask material layer 232 and the gate spacer layer 254 , the upper surface of the thinned mask layer 252 and the sidewall surfaces, and sidewall surfaces of the semiconductor layer 215 . In addition, the exposed sidewall surfaces of the inner spacers 255 are aligned with the sidewall surfaces of the semiconductor layer 215 to form continuous and substantially flat sidewall surfaces. In one embodiment, the material of the spacer material layer (and the inner spacer 255 ) is different from the material of the semiconductor layer 215 and the gate spacer 254 , so as to achieve the required etch selectivity during the etch-back process. In one embodiment, the inner base spacer 255 can protect the epitaxial drain structure 260B ( FIG. 13C ) during the subsequent channel release process, and can also be used to reduce parasitic capacitance. The thickness of the inner spacer 255 along the Z direction greatly depends on the thickness of the semiconductor layer 210 . In summary, the thickness of the inner spacer 255 may be about 3 nm to about 15 nm. Also, the width of the inner spacer 255 along the Y direction may be about 2 nm to about 10 nm. If the width is too small, eg, less than 2 nm, the inner spacer 255 is insufficient to protect the epitaxial drain structure 260B in the subsequent etching process, and the effect of reducing the parasitic capacitance of the inner spacer 255 may be reduced. If the width is too large, eg, greater than 10 nm, the additional benefit is not worth the extra die pins it requires.

如圖1的步驟120與圖13C及13D所示,磊晶汲極結構260B形成於汲極溝槽258B中。磊晶汲極結構260B的形成方法通常類似於前述形成磊晶源極結構260A的方法,如圖1的步驟112與圖8C及8D所示。磊晶汲極結構260B不同於磊晶源極結構260A,且磊晶汲極結構260B的部分不與半導體層210交界。相反地,內側間隔物255夾設於半導體層210與磊晶汲極結構260B的側壁表面之間。在一實施例中,磊晶汲極結構260B各自包含多層。以圖13C為例,磊晶汲極結構260B包括磊晶汲極層261B與磊晶汲極層262B。磊晶汲極層261B與內側間隔物255與半導體層215直接交界。磊晶汲極層262B位於磊晶汲極層261B的兩側部件之間與之上。如上所述,半導體層215與內側間隔物255的側壁表面彼此對準,以形成連續且實質上平直的側壁表面。綜上所述,磊晶汲極層261B具有連續且實質上平直的側壁表面,比如連續且平質地與半導體層215與內側間隔物255交界。在一實施例中,磊晶汲極層261B為順應性的層狀物。換言之,磊晶汲極層261B的輪廓具有一致厚度。綜上所述,磊晶汲極層262B亦可具有實質上平直的側壁表面。此外,磊晶汲極層262B在X-Z平面中具有六角形輪廓,如圖13D所示。As shown in step 120 of FIG. 1 and FIGS. 13C and 13D, epitaxial drain structures 260B are formed in drain trenches 258B. The method for forming the epitaxial drain structure 260B is generally similar to the method for forming the epitaxial source structure 260A described above, as shown in step 112 of FIG. 1 and FIGS. 8C and 8D . The epitaxial drain structure 260B is different from the epitaxial source structure 260A, and a portion of the epitaxial drain structure 260B does not interface with the semiconductor layer 210 . On the contrary, the inner spacer 255 is sandwiched between the semiconductor layer 210 and the sidewall surface of the epitaxial drain structure 260B. In one embodiment, the epitaxial drain structures 260B each comprise multiple layers. Taking FIG. 13C as an example, the epitaxial drain structure 260B includes an epitaxial drain layer 261B and an epitaxial drain layer 262B. The epitaxial drain layer 261B directly interfaces with the inner spacer 255 and the semiconductor layer 215 . The epitaxial drain layer 262B is located between and above the two side components of the epitaxial drain layer 261B. As described above, the sidewall surfaces of the semiconductor layer 215 and the inner spacer 255 are aligned with each other to form continuous and substantially flat sidewall surfaces. To sum up, the epitaxial drain layer 261B has a continuous and substantially flat sidewall surface, such as a continuous and flat interface with the semiconductor layer 215 and the inner spacer 255 . In one embodiment, the epitaxial drain layer 261B is a compliant layer. In other words, the outline of the epitaxial drain layer 261B has a uniform thickness. To sum up, the epitaxial drain layer 262B can also have substantially flat sidewall surfaces. In addition, the epitaxial drain layer 262B has a hexagonal profile in the X-Z plane, as shown in FIG. 13D.

在一實施例中,磊晶汲極層261B與磊晶汲極層262B的上表面沿著半導體層堆疊205的上表面延伸。然而磊晶汲極層261B與磊晶汲極層262B的上表面可高於或低於半導體層堆疊205的上表面,端視設計需求而定。磊晶汲極層261B的材料可與磊晶源極層261A的材料或磊晶源極層262A類似。磊晶汲極層包含的材料亦可與磊晶源極層261A或磊晶源極層262A類似。換言之,與磊晶源極層261A及262A類似,磊晶汲極層261B或262B所用的材料沒有限制。這是因為採用內側間隔物255即可滿足保護磊晶源極結構的保護計畫。綜上所述,磊晶汲極層的材料選擇廣泛。在一些實施例中,磊晶汲極層261B及262B可包含相同或類似的材料。沉積磊晶汲極層261B及262B的方法,可與上述沉積磊晶源極結構260A的方法類似。In one embodiment, the upper surfaces of the epitaxial drain layer 261B and the epitaxial drain layer 262B extend along the upper surface of the semiconductor layer stack 205 . However, the upper surfaces of the epitaxial drain layer 261B and the epitaxial drain layer 262B may be higher or lower than the upper surface of the semiconductor layer stack 205, depending on design requirements. The material of the epitaxial drain layer 261B may be similar to the material of the epitaxial source layer 261A or the epitaxial source layer 262A. The material contained in the epitaxial drain layer may also be similar to the epitaxial source layer 261A or the epitaxial source layer 262A. In other words, similar to the epitaxial source layers 261A and 262A, the material used for the epitaxial drain layer 261B or 262B is not limited. This is because the protection scheme of protecting the epitaxial source structure can be satisfied by using the inner spacer 255 . To sum up, the choice of materials for the epitaxial drain layer is wide. In some embodiments, epitaxial drain layers 261B and 262B may comprise the same or similar materials. The method for depositing the epitaxial drain layers 261B and 262B may be similar to the method for depositing the epitaxial source structure 260A described above.

此外,磊晶汲極結構260B (包括磊晶汲極層261B與磊晶汲極層262B)可摻雜n型或p型摻質。舉例來說,n型電晶體所用的磊晶汲極層261B及262B可包含矽,且可摻雜碳、磷、砷、其他n型摻質、或上述之組合(比如碳化矽磊晶汲極層、磷化矽磊晶汲極層、砷化矽磊晶汲極層、或碳磷化矽磊晶汲極層)。在另一例中,p型電晶體所用的磊晶汲極層261B及262B可包含矽鍺,且可摻雜硼、鎵、其他p型摻質、或上述之組合(比如硼化矽鍺磊晶汲極層或矽鍺鎵磊晶汲極層)。磊晶汲極層261B及262B可包含相同或不同的摻質濃度。在一些實施例中,磊晶汲極結構260B酯包括一個磊晶材料層。在一實施例中,磊晶源極結構260A包括的材料或摻質可達裝置的個別通道區中所需的拉伸應力或壓縮應力。在形成磊晶汲極結構260B之後,可由任何合適方法移除遮罩層252及252',以露出磊晶源極結構260A與磊晶汲極結構260B的上表面以及閘極間隔物254的側壁。In addition, the epitaxial drain structure 260B (including the epitaxial drain layer 261B and the epitaxial drain layer 262B) can be doped with n-type or p-type dopants. For example, the epitaxial drain layers 261B and 262B for n-type transistors may comprise silicon, and may be doped with carbon, phosphorus, arsenic, other n-type dopants, or a combination of the above (such as silicon carbide epitaxial drains) layer, a silicon phosphide epitaxial drain layer, a silicon arsenide epitaxial drain layer, or a silicon carbon phosphide epitaxial drain layer). In another example, the epitaxial drain layers 261B and 262B for p-type transistors may include silicon germanium, and may be doped with boron, gallium, other p-type dopants, or a combination of the above (eg, silicon germanium boride epitaxy) drain layer or silicon germanium gallium epitaxial drain layer). The epitaxial drain layers 261B and 262B may contain the same or different dopant concentrations. In some embodiments, the epitaxial drain structure 260B ester includes a layer of epitaxial material. In one embodiment, the epitaxial source structure 260A includes materials or dopants that can reach the desired tensile or compressive stress in the individual channel regions of the device. After the epitaxial drain structure 260B is formed, the mask layers 252 and 252' may be removed by any suitable method to expose the upper surfaces of the epitaxial source structure 260A and the epitaxial drain structure 260B and the sidewalls of the gate spacer 254 .

如圖1的步驟122與圖14C及14D所示,形成接點蝕刻停止層270於閘極間254的側壁表面與隔離結構230上,並包覆磊晶源極結構260A與磊晶汲極結構260B的表面。在一實施例中,接點蝕刻停止層270直接接觸磊晶源極層262A與磊晶汲極層262B的上表面與側表面。接點蝕刻停止層270可包含任何合適材料,比如氧化矽、氮化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、或上述之組合。在一實施例中,接點蝕刻停止層的厚度為約1 nm至約10 nm。若厚度過小如小於1 nm,則可能劣化接點蝕刻停止層在蝕刻製程時的保護效果的可信度。若厚度過大如大於10 nm,則可能占用形成接點結構所用的空間,造成接點電阻增加。As shown in step 122 of FIG. 1 and FIGS. 14C and 14D, a contact etch stop layer 270 is formed on the sidewall surface of the gate gap 254 and the isolation structure 230, and covers the epitaxial source structure 260A and the epitaxial drain structure 260B surface. In one embodiment, the contact etch stop layer 270 directly contacts the top and side surfaces of the epitaxial source layer 262A and the epitaxial drain layer 262B. Contact etch stop layer 270 may comprise any suitable material, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, silicon oxycarbide, silicon oxycarbonitride, or combinations thereof. In one embodiment, the thickness of the contact etch stop layer is about 1 nm to about 10 nm. If the thickness is too small, eg, less than 1 nm, the reliability of the protective effect of the contact etching stop layer during the etching process may be deteriorated. If the thickness is too large, eg, greater than 10 nm, it may occupy the space used to form the contact structure, resulting in increased contact resistance.

接著形成層間介電層272於接點蝕刻停止層上,且沉積製程可為化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。層間介電層272沉積於相鄰的閘極結構250之間。在一實施例中,層間介電層272的形成方法為可流動的化學氣相沉積製程,其可包含沉積可流動的材料(如液體化合物)於裝置200上,並以合適技術如熱退火及/或紫外線處理使可流動的材料轉變為固體材料。舉例來說,層間介電層272包括介電材料如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷的氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽的玻璃、低介電常數的介電材料、其他合適的介電材料、或上述之組合。例示性的低介電常數的介電材料包括氟矽酸鹽玻璃、碳氧化矽、Black Diamond® (購自美國加州的Santa Clara之Applied Materials)、乾凝膠、氣膠、非晶氟化碳、具對二甲苯、苯并環丁烯、SiLK (購自美國密西根州的)Midland之Dow Chemical)、聚醯亞胺、其他低介電常數的介電材料、或上述之組合。在一實施例中,層間介電層272為含有低介電常數的介電材料之介電層(通常視作低介電常數的介電層)。層間介電層272包括多種介電材料的多層結構。層間介電層272可為位於基板202上的多層內連線結構的一部分。在一實施例中,接點蝕刻停止層270與層間介電層272包括彼此不同的材料。舉例來說,當層間介電層272包括低介電常數的介電材料時,接點蝕刻停止層包括矽與氮如氮化矽或氮氧化矽。在沉積層間介電層272與接點蝕刻停止層之後,可進行化學機械研磨製程或其他平坦化製程,使虛置的閘極堆疊240的上表面露出。在一實施例中,平坦化製程移除虛置的閘極堆疊240的硬遮罩層,以露出下方的虛置閘極材料層235。Next, an interlayer dielectric layer 272 is formed on the contact etch stop layer, and the deposition process can be chemical vapor deposition, physical vapor deposition, atomic layer deposition, high density plasma chemical vapor deposition, metal organic chemical vapor deposition, Remote plasma chemical vapor deposition, plasma assisted chemical vapor deposition, low pressure chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, electroplating, other suitable methods, or a combination thereof. An interlayer dielectric layer 272 is deposited between adjacent gate structures 250 . In one embodiment, the formation method of the interlayer dielectric layer 272 is a flowable chemical vapor deposition process, which may include depositing a flowable material (eg, a liquid compound) on the device 200 with suitable techniques such as thermal annealing and /or UV treatment to convert flowable materials into solid materials. For example, the interlayer dielectric layer 272 includes dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, oxides of tetraethoxysilane, phosphosilicate glass, borophosphosilicate glass, low dielectric A dielectric material with an electrical constant, other suitable dielectric materials, or a combination of the above. Exemplary low-k dielectric materials include fluorosilicate glass, silicon oxycarbide, Black Diamond® (available from Applied Materials, Santa Clara, CA, USA), xerogels, aerosols, amorphous carbon fluoride , with p-xylene, benzocyclobutene, SiLK (available from Dow Chemical in Midland, Michigan, USA), polyimide, other low dielectric constant dielectric materials, or a combination of the above. In one embodiment, the interlayer dielectric layer 272 is a dielectric layer containing a low-k dielectric material (generally referred to as a low-k dielectric layer). The interlayer dielectric layer 272 includes a multilayer structure of various dielectric materials. The interlayer dielectric layer 272 may be part of a multilayer interconnect structure on the substrate 202 . In one embodiment, the contact etch stop layer 270 and the interlayer dielectric layer 272 include different materials from each other. For example, when the interlayer dielectric layer 272 includes a low-k dielectric material, the contact etch stop layer includes silicon and nitrogen such as silicon nitride or silicon oxynitride. After depositing the interlayer dielectric layer 272 and the contact etch stop layer, a chemical mechanical polishing process or other planarization process may be performed to expose the upper surface of the dummy gate stack 240 . In one embodiment, the planarization process removes the hard mask layer of the dummy gate stack 240 to expose the underlying dummy gate material layer 235 .

如圖1的步驟122與圖15C及15D所示,自閘極結構250移除虛置的閘極堆疊240,以形成閘極開口275於鰭狀物218A及218B的半導體層堆疊205上並露出半導體層堆疊205。在一實施例中,蝕刻製程可完全移除虛置閘極堆疊240以露出閘極開口275中的半導體層215與半導體層210。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一實施例中,蝕刻製程維多步驟的蝕刻製程。舉例來說,蝕刻製程可交錯使用蝕刻劑以分開移除虛置閘極堆疊240的多種層狀物,比如虛置閘極層、虛置閘極介電層、與硬遮罩層。在一實施例中,設置蝕刻製程以選擇性蝕刻虛置閘極堆疊240,而最小化地或不蝕刻裝置200的其他結構如層間介電層272、閘極間隔物254、隔離結構230、半導體層215、與半導體層210。在一實施例中,可進行此處所述的微影製程以形成圖案化的遮罩層,其可覆蓋層間介電層272與閘極間隔物254。接著採用圖案化的遮罩層作為蝕刻遮罩,並進行蝕刻製程。As shown in step 122 of FIG. 1 and FIGS. 15C and 15D , the dummy gate stack 240 is removed from the gate structure 250 to form gate openings 275 on the semiconductor layer stack 205 of the fins 218A and 218B and exposed Semiconductor layer stack 205 . In one embodiment, the etching process can completely remove the dummy gate stack 240 to expose the semiconductor layer 215 and the semiconductor layer 210 in the gate opening 275 . The etching process can be a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. In one embodiment, the etching process is a multi-step etching process. For example, the etch process may be interleaved with an etchant to separately remove various layers of the dummy gate stack 240, such as the dummy gate layer, the dummy gate dielectric layer, and the hard mask layer. In one embodiment, an etch process is provided to selectively etch the dummy gate stack 240 while minimizing or not etching other structures of the device 200 such as the interlayer dielectric layer 272, gate spacers 254, isolation structures 230, semiconductors layer 215 , and semiconductor layer 210 . In one embodiment, the lithography process described herein may be performed to form a patterned mask layer that may cover the interlayer dielectric layer 272 and the gate spacer 254 . Then, the patterned mask layer is used as an etching mask, and an etching process is performed.

如圖1的步驟124與圖16C及16D所示,選擇性移除閘極開口275所露出的半導體層堆疊205的半導體層210,以形成懸空的半導體層215於通道區202C中。舉例來說,完全移除半導體層210的保留部分。在一實施例中,蝕刻製程可選擇性蝕刻半導體層210,並最小化地蝕刻半導體層215,且在一些實施例中最小化地或不蝕刻閘極間隔物254與內側間隔物255。如上所述,內側間隔物255可遮罩汲極側上的磊晶汲極層261B及262B,使其免於接觸蝕刻製程而不會被蝕刻化學劑劣化。然而如上所述,不形成類似的內側間隔物於源極側上以保護磊晶結構。綜上所述,源極側上的磊晶源極層261A暴露至蝕刻化學劑。為了避免損傷源極結構,在磊晶源極層261A與半導體層210之間維持良好的蝕刻選擇性是有利的。在一些實施例中,磊晶源極層261A的材料組成與半導體層215的組成類似,但與半導體層210的組成不類似。綜上所述,蝕刻製程可最小化地或不蝕刻磊晶源極層261A。即使源極側上不具有內側間隔物的保護,此設置可讓磊晶源極結構260A的內側層如磊晶源極層262A具有更多材料選擇。舉例來說,一些實施例的磊晶源極層262A的材料組成可與半導體層210的材料組成相同或類似。舉例來說,磊晶源極層262A與半導體層210均可包含矽鍺。綜上所述,在線狀物釋放製程中的這兩層之間的蝕刻選擇性最小化或者不具有蝕刻選擇性。在無磊晶源極層261A的情況之下,線路釋放製程時的蝕刻化學劑的目標為磊晶源極層262A (其材料組成與半導體層210相同)。此處的磊晶源極層261A圍繞磊晶源極層262A且具有不類似的材料(如矽),以在這些蝕刻製程中保護磊晶源極層262A免於損傷。As shown in step 124 of FIG. 1 and FIGS. 16C and 16D , the semiconductor layer 210 of the semiconductor layer stack 205 exposed by the gate opening 275 is selectively removed to form a suspended semiconductor layer 215 in the channel region 202C. For example, the remaining portion of the semiconductor layer 210 is completely removed. In one embodiment, the etch process may selectively etch the semiconductor layer 210 and minimally etch the semiconductor layer 215 , and in some embodiments minimally or not etch the gate spacer 254 and the inner spacer 255 . As described above, the inner spacer 255 can mask the epitaxial drain layers 261B and 262B on the drain side from the contact etch process and from being degraded by the etch chemicals. However, as described above, similar inner spacers are not formed on the source side to protect the epitaxial structure. In summary, the epitaxial source layer 261A on the source side is exposed to the etch chemistry. To avoid damaging the source structure, it is advantageous to maintain good etch selectivity between the epitaxial source layer 261A and the semiconductor layer 210 . In some embodiments, the material composition of the epitaxial source layer 261A is similar to the composition of the semiconductor layer 215 , but not similar to the composition of the semiconductor layer 210 . In conclusion, the etching process can minimize or not etch the epitaxial source layer 261A. Even without the protection of the inner spacer on the source side, this arrangement allows more material choices for the inner layers of the epitaxial source structure 260A, such as the epitaxial source layer 262A. For example, the material composition of the epitaxial source layer 262A of some embodiments may be the same as or similar to the material composition of the semiconductor layer 210 . For example, both the epitaxial source layer 262A and the semiconductor layer 210 may include silicon germanium. In summary, the etch selectivity between these two layers in the wire release process is minimized or has no etch selectivity. In the absence of the epitaxial source layer 261A, the target of the etching chemical in the line release process is the epitaxial source layer 262A (whose material composition is the same as that of the semiconductor layer 210). The epitaxial source layer 261A here surrounds the epitaxial source layer 262A and has a dissimilar material (eg, silicon) to protect the epitaxial source layer 262A from damage during these etching processes.

蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。可調整多種蝕刻參數以選擇性蝕刻半導體層210,比如蝕刻劑組成、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、源功率、射頻偏電壓、射頻偏功率、蝕刻劑流速、其他合適的蝕刻參數、或上述之組合。舉例來說,可選擇蝕刻製程所用的蝕刻劑,以較高速率蝕刻半導體層210的材料(如所述實施例的矽鍺),並以較低速率蝕刻半導體層215的材料與磊晶源極層261A的材料(如所述實施例的矽),即蝕刻劑對半導體層210的材料具有高蝕刻選擇性。在一實施例中,乾蝕刻製程(如反應性離子蝕刻制程)採用含氟氣體如六氟化硫,以選擇性蝕刻半導體層210。在一實施例中,可調整含氟氣體與含氧氣體(如氧氣)的比例、蝕刻溫度、及/或射頻功率,以選擇性蝕刻矽鍺。在一實施例中,濕蝕刻製程採用含氫氧化銨雨水的蝕刻溶液,以選擇性蝕刻半導體層210。在一實施例中,可由採用氯化氫的化學氣相蝕刻製程,以選擇性蝕刻半導體層210。在一實施例中,亦最小化地或不蝕刻半導體層215的部分。The etching process can be a dry etching process, a wet etching process, other suitable etching processes, or a combination thereof. Various etch parameters can be adjusted to selectively etch the semiconductor layer 210, such as etchant composition, etch temperature, etch solution concentration, etch time, etch pressure, source power, RF bias voltage, RF bias power, etchant flow rate, and other suitable etchants parameter, or a combination of the above. For example, the etchant used in the etching process can be selected to etch the material of the semiconductor layer 210 (eg, silicon germanium in the described embodiment) at a higher rate, and etch the material of the semiconductor layer 215 and the epitaxial source at a lower rate The material of layer 261A (eg, silicon in the described embodiment), ie, the etchant, has high etch selectivity to the material of semiconductor layer 210 . In one embodiment, a dry etching process (eg, a reactive ion etching process) uses a fluorine-containing gas such as sulfur hexafluoride to selectively etch the semiconductor layer 210 . In one embodiment, the ratio of fluorine-containing gas to oxygen-containing gas (eg, oxygen), etching temperature, and/or RF power can be adjusted to selectively etch SiGe. In one embodiment, the wet etching process uses an etching solution containing ammonium hydroxide rainwater to selectively etch the semiconductor layer 210 . In one embodiment, the semiconductor layer 210 can be selectively etched by a chemical vapor etching process using hydrogen chloride. In one embodiment, portions of the semiconductor layer 215 are also minimally or not etched.

因此露出閘極開口275中懸空的半導體層215。在一實施例中,四個懸空的半導體層215垂直堆疊並暴露於通道區202C中,其可在操作電晶體時提供四個通道於個別的磊晶源極/汲極結構之間以使電流通過。懸空的半導體層215因此可視作通道層。通道層如半導體層215可垂直地彼此隔有間隙277,並與基板202隔有間隙277。間隙277可各自具有沿著Z方向的垂直空間。垂直空間大幅取決於半導體層210的厚度,且實質上等於內側間隔物255沿著Z方向的厚度(或高度)。在一實施例中,垂直空間可為約3 nm至約15 nm。圖16C所示的製程可視作通道(或線狀物)釋放製程。在一實施例中,移除半導體層210之後可進行蝕刻製程以調整通道層如半導體層215的輪廓,進而達到所需的尺寸及/或所需的形狀。如此一來,可減少通道層如半導體層215的厚度。Thus, the semiconductor layer 215 suspended in the gate opening 275 is exposed. In one embodiment, four suspended semiconductor layers 215 are vertically stacked and exposed in the channel region 202C, which can provide four channels between individual epitaxial source/drain structures for current flow when operating the transistor. pass. The suspended semiconductor layer 215 can thus be regarded as a channel layer. Channel layers such as semiconductor layer 215 may be vertically spaced apart from each other by gaps 277 and from substrate 202 by gaps 277 . The gaps 277 may each have a vertical space along the Z direction. The vertical space largely depends on the thickness of the semiconductor layer 210 and is substantially equal to the thickness (or height) of the inner spacer 255 along the Z direction. In one embodiment, the vertical space may be about 3 nm to about 15 nm. The process shown in Figure 16C can be viewed as a channel (or wire) release process. In one embodiment, after removing the semiconductor layer 210, an etching process may be performed to adjust the profile of the channel layer, such as the semiconductor layer 215, to achieve a desired size and/or a desired shape. In this way, the thickness of the channel layer such as the semiconductor layer 215 can be reduced.

如圖1的步驟126與圖17C及17D所示,形成閘極介電層282於裝置上,其中閘極介電層282部分地填入閘極開口275並包覆(圍繞)通道層如半導體層215。閘極介電層282部分地填入垂直相鄰的通道層如半導體層215之間與通道層如半導體層215與基板202之間的間隙277 (見圖16C)。在一實施例中,閘極介電層282亦可位於閘極間隔物254上。舉例來說,閘極介電層282可包含高介電常數的介電材料,比如氧化鉿、氧化鉿矽、矽酸鉿、氮氧化鉿矽、氧化鉿鑭、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鉿鋁、氧化鋯、二氧化鋯、氧化鋯矽、氧化鋁、氧化鋁矽、氧化鋁、氧化鈦、二氧化鈦、氧化鑭、氧化鑭矽、三氧化二鉭、五氧化二鉭、氧化釔、鈦酸鍶、氧化鋇鋯、鈦酸鋇、鈦酸鋇鍶、氮化矽、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。高介電常數的介電材料通常視作介電常數大於氧化矽的介電常數(約3.9)的介電材料。在一實施例中,高介電常數的閘極介電層282的厚度可為約1 nm至約5 nm。高介電常數的閘極介電層282的形成方法可為此處所述的任何製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、氧化物為主的沉積製程、其他合適製程、或上述之組合。在一實施例中,界面層280位於閘極介電層282與通道層如半導體層215之間。界面層280可包含任何合適材料,比如氧化矽、氮氧化矽、氧化鉿矽、或上述之組合。在一實施例中,界面層280的厚度為約0.5 nm至約3 nm。若閘極介電層282 (與界面層280,若存在)過薄,則無法可信地進行預期的功能。若閘極介電層282 (與界面層280,若存在)過厚,則會占據不必要的空間而增加電阻(此空間原本可用於形成閘極)。As shown in step 126 of FIG. 1 and FIGS. 17C and 17D, a gate dielectric layer 282 is formed on the device, wherein the gate dielectric layer 282 partially fills the gate opening 275 and wraps (surrounds) a channel layer such as a semiconductor Layer 215. Gate dielectric layer 282 partially fills gaps 277 between vertically adjacent channel layers such as semiconductor layer 215 and between channel layers such as semiconductor layer 215 and substrate 202 (see FIG. 16C ). In one embodiment, the gate dielectric layer 282 may also be on the gate spacer 254 . For example, the gate dielectric layer 282 may include a high dielectric constant dielectric material, such as hafnium oxide, hafnium silicon oxide, hafnium silicate, hafnium silicon oxynitride, hafnium lanthanum oxide, hafnium tantalum oxide, hafnium titanium oxide, Hafnium zirconium oxide, hafnium aluminum oxide, zirconium oxide, zirconium dioxide, zirconium oxide silicon, aluminum oxide, aluminum oxide silicon, aluminum oxide, titanium oxide, titanium dioxide, lanthanum oxide, lanthanum oxide silicon, tantalum trioxide, tantalum pentoxide , yttrium oxide, strontium titanate, barium zirconium oxide, barium titanate, barium strontium titanate, silicon nitride, hafnium oxide-aluminum oxide, other suitable high dielectric constant dielectric materials, or a combination of the above. A high dielectric constant dielectric material is generally regarded as a dielectric material with a dielectric constant greater than that of silicon oxide (about 3.9). In one embodiment, the thickness of the high-k gate dielectric layer 282 may be about 1 nm to about 5 nm. The high-k gate dielectric layer 282 can be formed by any of the processes described herein, such as atomic layer deposition, chemical vapor deposition, physical vapor deposition, oxide-based deposition processes, and other suitable processes , or a combination of the above. In one embodiment, the interface layer 280 is located between the gate dielectric layer 282 and a channel layer such as the semiconductor layer 215 . The interface layer 280 may comprise any suitable material, such as silicon oxide, silicon oxynitride, hafnium silicon oxide, or a combination thereof. In one embodiment, the thickness of the interface layer 280 is about 0.5 nm to about 3 nm. If gate dielectric layer 282 (and interface layer 280, if present) is too thin, it may not function reliably as intended. If the gate dielectric layer 282 (and the interface layer 280, if present) is too thick, it will take up unnecessary space and increase the resistance (which could otherwise be used to form the gate).

在一些實施例中,閘極介電層282形成於源極區202A中的磊晶源極層261A的側壁之上(比如直接接觸側壁)。與此同時,閘極介電層282形成於汲極區202B中的內側間隔物255的側壁之上。In some embodiments, gate dielectric layer 282 is formed over the sidewalls of epitaxial source layer 261A in source region 202A (eg, in direct contact with the sidewalls). At the same time, a gate dielectric layer 282 is formed over the sidewalls of the inner spacer 255 in the drain region 202B.

閘極層284形成於閘極介電層282上。舉例來說,原子層沉積製程可順應性地沉積閘極層284於閘極介電層282上,使閘極層284完全填入閘極開口275 (包括間隙277的其餘部分)。舉例來說,閘極層284沿著通道層如半導體層215的側壁、頂部、與底部。設置閘極層284的厚度,以填入垂直相鄰的通道層如半導體層215之間以及通道層如半導體層215與基板202之間的間隙277的其餘部分。在一實施例中,閘極層284可包含功函數層。舉例來說,p型功函數層可形成於n型摻雜區中以用於p型裝置,而n型功函數層可形成於p型摻雜區中以用於n型裝置。功函數層可順應性地形成於高介電常數的閘極介電層282之上與之間,且厚度可為約1 nm制約10 nm。p型功函數層包括任何合適的p型功函數材料,比如氮化鈦、氮化鉭、氮化鉭矽、釕、鉬、鋁、氮化鎢、碳氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、其他p型功函數材料、或上述之組合。n型功函數層包括任何合適的n型功函數材料,比如鈦、鋁、銀、錳、鋯、鈦鋁、碳化鈦鋁、碳化鈦鋁矽、碳化鉭、碳氮化鉭、氮化鉭矽、鉭鋁、碳化鉭鋁、碳化鉭鋁矽、氮化鈦鋁、其他n型功函數材料、或上述之組合。在一實施例中,閘極層284可包含金屬填充層(或基體層)形成於裝置200上,特別是形成於p型功函數層與n型功函數層上。舉例來說,化學氣相沉積製程或物理氣相沉積製程沉積金屬填充層於功函數層上,使金屬填充層填充閘極開口275的任何其餘部分(包括間隙277的任何其餘部分)。金屬填充層包括合適的導電材料如鋁、鎢、及/或銅。金屬填充層可額外或共同地包含其他金屬、金屬氧化物、金屬氮化物、其他合適材料、或上述之組合。金屬填充層及/或功函數層的形成方法可改用任何合適的沉積製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。可進行平坦化製程以移除多餘的閘極材料。舉例來說,進行化學機械研磨製程,直到露出層間介電層272的上表面,使化學機械研磨製程之後的虛置閘極堆疊240的上表面與層間介電層272的上表面實質上共平面。The gate layer 284 is formed on the gate dielectric layer 282 . For example, an atomic layer deposition process can conformally deposit gate layer 284 on gate dielectric layer 282 such that gate layer 284 completely fills gate opening 275 (including the remainder of gap 277). For example, gate layer 284 is along the sidewalls, top, and bottom of channel layers such as semiconductor layer 215 . The thickness of gate layer 284 is set to fill the remainder of gap 277 between vertically adjacent channel layers such as semiconductor layer 215 and between channel layers such as semiconductor layer 215 and substrate 202 . In one embodiment, the gate layer 284 may include a work function layer. For example, p-type work function layers can be formed in n-type doped regions for p-type devices, and n-type work function layers can be formed in p-type doped regions for n-type devices. The work function layer may be conformably formed on and between the high-k gate dielectric layer 282, and may have a thickness of about 1 nm to 10 nm. The p-type work function layer includes any suitable p-type work function material, such as titanium nitride, tantalum nitride, tantalum silicon nitride, ruthenium, molybdenum, aluminum, tungsten nitride, tungsten carbonitride, zirconium silicide, molybdenum silicide silicide, tantalum silicide, nickel silicide, other p-type work function materials, or a combination of the above. The n-type work function layer includes any suitable n-type work function material, such as titanium, aluminum, silver, manganese, zirconium, titanium aluminum, titanium aluminum carbide, titanium aluminum silicon carbide, tantalum carbide, tantalum carbonitride, tantalum silicon nitride , tantalum aluminum, tantalum aluminum carbide, tantalum aluminum silicon carbide, titanium aluminum nitride, other n-type work function materials, or a combination of the above. In one embodiment, the gate layer 284 may include a metal filling layer (or a base layer) formed on the device 200, especially formed on the p-type work function layer and the n-type work function layer. For example, a chemical vapor deposition process or a physical vapor deposition process deposits a metal fill layer on the work function layer such that the metal fill layer fills any remaining portion of gate opening 275 (including any remaining portion of gap 277). The metal fill layer includes suitable conductive materials such as aluminum, tungsten, and/or copper. The metal fill layer may additionally or collectively comprise other metals, metal oxides, metal nitrides, other suitable materials, or combinations thereof. The metal filling layer and/or the work function layer can be formed by any suitable deposition process, such as atomic layer deposition, chemical vapor deposition, physical vapor deposition, high density plasma chemical vapor deposition, organometallic chemical vapor deposition Deposition, Remote Plasma Chemical Vapor Deposition, Plasma Assisted Chemical Vapor Deposition, Low Pressure Chemical Vapor Deposition, Atomic Layer Chemical Vapor Deposition, Atmospheric Pressure Chemical Vapor Deposition, Spin Coating, Electroplating, Other Deposition Processes, or combination of the above. A planarization process can be performed to remove excess gate material. For example, the chemical mechanical polishing process is performed until the upper surface of the interlayer dielectric layer 272 is exposed, so that the upper surface of the dummy gate stack 240 after the chemical mechanical polishing process and the upper surface of the interlayer dielectric layer 272 are substantially coplanar .

如圖1的步驟128與圖18C及18D所示,可繼續製作以完成裝置。舉例來說,可形成開口於層間介電層272中,以露出磊晶源極結構260A與磊晶汲極結構260B的上表面。接著可形成接點結構於開口中,以與磊晶源極結構260A與磊晶汲極結構260B的露出的上表面交界。接點結構292可包含導電材料如金屬。金屬包含鋁、鋁合金(如鋁、矽、與銅的合金)、銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、其他合適金屬、或上述之組合。金屬矽化物可包含鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、或上述之組合。在一實施例中,處理(如退火)裝置以形成矽化物結構290於接點結構292與磊晶源極結構260A之間以及接點結構292與磊晶汲極結構260B之間。此外,可形成一或多個層間介電層(與層間介電層272及/或接點蝕刻停止層類似)於基板202上(特別是層間介電層272與閘極結構250上)。As shown in step 128 of FIG. 1 and FIGS. 18C and 18D, fabrication may continue to complete the device. For example, openings may be formed in the interlayer dielectric layer 272 to expose the upper surfaces of the epitaxial source structures 260A and the epitaxial drain structures 260B. Contact structures can then be formed in the openings to interface with the exposed upper surfaces of the epitaxial source structures 260A and the epitaxial drain structures 260B. The contact structure 292 may comprise a conductive material such as metal. Metals include aluminum, aluminum alloys (eg, aluminum, silicon, alloys with copper), copper, copper alloys, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, polysilicon, other suitable metals, or combinations thereof. The metal silicide may include nickel silicide, cobalt silicide, tungsten silicide, tantalum silicide, titanium silicide, platinum silicide, erbium silicide, palladium silicide, or a combination thereof. In one embodiment, the device is processed (eg, annealed) to form silicide structures 290 between contact structures 292 and epitaxial source structures 260A and between contact structures 292 and epitaxial drain structures 260B. Additionally, one or more interlayer dielectric layers (similar to the interlayer dielectric layer 272 and/or the contact etch stop layer) may be formed on the substrate 202 (especially on the interlayer dielectric layer 272 and the gate structure 250).

在圖1的任何製程步驟之前或之後可包含額外製程步驟。此外,在不偏離本發明實施例的精神的狀況下,可改變圖1的步驟順序。舉例來說,上述說明的實施例在形成磊晶汲極結構之前可形成磊晶源極結構,但可改為在形成磊晶源極結構之前形成磊晶汲極結構。這些調整亦屬於本發明實施例。Additional process steps may be included before or after any of the process steps of FIG. 1 . Furthermore, the sequence of steps in FIG. 1 may be changed without departing from the spirit of the embodiments of the present invention. For example, the embodiments described above may form the epitaxial source structure before forming the epitaxial drain structure, but instead the epitaxial drain structure may be formed before forming the epitaxial source structure. These adjustments also belong to the embodiments of the present invention.

由上述說明可知,本發明實施例提供的裝置具有多個獨特特性。舉例來說,裝置可包含內側間隔物層於汲極區中,但不包含內側間隔物層於源極區中。舉例來說,裝置的閘極部分可與源極區中的磊晶材料與汲極區中的介電材料交界。舉例來說,裝置可包含兩個磊晶源極層於源極區中,其各自具有彼此不同的磊晶材料;並包含兩個磊晶汲極層於汲極區中,其各自具有相同的磊晶材料。舉例來說,裝置可包含兩個磊晶源極層於源極區中,但只包含一個磊晶汲極層於汲極區中。舉例來說,裝置可包含p型電晶體與n型電晶體。p型電晶體可包含第一磊晶源極層,以及第二磊晶源極層形成於第一磊晶源極層之上與之間。第一磊晶源極層與第二磊晶源極層可包含不同材料。p型電晶體可進一步包含第一磊晶汲極層與第二磊晶汲極層。第一磊晶汲極層與第二磊晶汲極層可具有相同材料。n型電晶體可包含第三磊晶源極層與第四磊晶源極層。第三磊晶源極層與第四磊晶源極層可包含相同材料。n型電晶體可進一步包含第三磊晶汲極層與第四磊晶汲極層。第三磊晶汲極層與第四磊晶汲極層可具有相同材料。It can be seen from the above description that the device provided by the embodiment of the present invention has multiple unique characteristics. For example, a device may include an inner spacer layer in the drain region, but not include an inner spacer layer in the source region. For example, the gate portion of the device may interface with epitaxial material in the source region and dielectric material in the drain region. For example, a device may include two epitaxial source layers in the source region, each having different epitaxial materials from each other; and two epitaxial drain layers in the drain region, each having the same epitaxial material Epitaxial material. For example, a device may include two epitaxial source layers in the source region, but only one epitaxial drain layer in the drain region. For example, a device may include p-type transistors and n-type transistors. The p-type transistor may include a first epitaxial source layer, and a second epitaxial source layer formed on and between the first epitaxial source layer. The first epitaxial source layer and the second epitaxial source layer may contain different materials. The p-type transistor may further include a first epitaxial drain layer and a second epitaxial drain layer. The first epitaxial drain layer and the second epitaxial drain layer may have the same material. The n-type transistor may include a third epitaxial source layer and a fourth epitaxial source layer. The third epitaxial source layer and the fourth epitaxial source layer may include the same material. The n-type transistor may further include a third epitaxial drain layer and a fourth epitaxial drain layer. The third epitaxial drain layer and the fourth epitaxial drain layer may have the same material.

本發明實施例提供優點至半導體製程與半導體裝置,但不限於此。以上述圖18C及18D為例,源極區202A中的通道層如半導體層215除了直接接觸磊晶源極結構260A之垂直界面如側壁表面295A,亦接觸水平平面如上表面297A與下表面298A。綜上所述,當電流如電荷載子朝接點結構292移動穿過狹窄的通道層如半導體層215時,一旦電荷載子穿過想像的表面,電流即可擴散至磊晶源極層261A (比如穿過上表面297A與下表面298A)。與內側間隔物形成於上表面297A與下表面298A之上與之下的實施例相較,載子電荷只需移動較短的距離即可分散電荷。換言之,可緩解電流擁擠的程度。綜上所述,可增加裝置的操作速度。此外,此處提供的方法可保留內側間隔物於汲極區202B中,以降低寄生電容。不同實施例可具有不同優點。任何實施例不必具有所有優點。Embodiments of the present invention provide advantages to semiconductor processes and semiconductor devices, but are not limited thereto. 18C and 18D as examples, the channel layer such as the semiconductor layer 215 in the source region 202A not only directly contacts the vertical interface such as the sidewall surface 295A of the epitaxial source structure 260A, but also contacts the horizontal plane such as the upper surface 297A and the lower surface 298A. In summary, as current such as charge carriers move toward the contact structure 292 through a narrow channel layer such as the semiconductor layer 215, the current can diffuse to the epitaxial source layer 261A once the charge carriers cross the imaginary surface (eg through upper surface 297A and lower surface 298A). Compared to embodiments in which inner spacers are formed above and below the upper and lower surfaces 297A and 298A, the carrier charges need only travel a shorter distance to disperse the charges. In other words, the degree of current crowding can be alleviated. In summary, the operating speed of the device can be increased. In addition, the methods provided herein can preserve inner spacers in the drain region 202B to reduce parasitic capacitance. Different embodiments may have different advantages. It is not necessary for any embodiment to have all advantages.

本發明一例示性的實施例關於半導體裝置。半導體裝置包括半導體基板;源極結構與汲極結構,位於半導體基板上;半導體層堆疊,夾設於源極結構與汲極結構之間;閘極部分;以及介電材料的內側間隔物。閘極部分位於半導體層堆疊的兩個垂直相鄰的層狀物之間,並位於源極結構與汲極結構之間。此外,閘極部分具有相對的第一側壁表面與第二側壁表面。內側間隔物位於第一側壁表面上並位於閘極部分與汲極結構之間。第二側壁表面直接接觸源極結構。An exemplary embodiment of the present invention relates to a semiconductor device. The semiconductor device includes a semiconductor substrate; a source structure and a drain structure on the semiconductor substrate; a semiconductor layer stack sandwiched between the source structure and the drain structure; a gate portion; and an inner spacer of a dielectric material. The gate portion is located between two vertically adjacent layers of the semiconductor layer stack, and between the source structure and the drain structure. In addition, the gate portion has opposing first and second sidewall surfaces. An inner spacer is located on the first sidewall surface and between the gate portion and the drain structure. The second sidewall surface directly contacts the source structure.

在一些實施例中,源極結構包括第一源極層與第二源極層位於第一源極層上。第一源極層具有第一源極材料,第二源極層具有第二源極材料,且第二源極材料與第一源極材料不同。在一些實施例中,汲極結構包括第一汲極層與第二汲極層位於第一汲極層上。第一汲極層具有第一汲極材料,第二汲極層具有第二汲極材料,且第二汲極材料與第一汲極材料實質上相同。在一些實施例中,第一汲極層直接接觸內側間隔物。在一些實施例中,半導體層堆疊的一層包括上表面與側表面,第一源極層的第一部分沿著半導體層堆疊的一層的上表面延伸並形成於上表面上,且第一源極層的第二部分沿著半導體層堆疊的一層的側表面延伸並形成於側表面上。在一些實施例中,閘極部分包括閘極介電層,且第一源極層與閘極介電層物理交界。在一些實施例中,汲極結構直接接觸內側間隔物。在一些實施例中,介電材料為第一介電材料。閘極部分包括第二介電材料於第一側壁表面與第二側壁表面上。此外,源極結構與第二側壁表面上的第二介電材料交界,且汲極結構與第一側壁表面上的內側間隔物交界。在一些實施例中,半導體層堆疊的一層包括第一部分以與源極結構交界,第二部分以與汲極結構交界,以及第三部分位於第一部分與第二部分之間以及閘極部分之上。第一部分的下表面與磊晶材料交界,且第二部分的下表面與間隔物材料交界。在一些實施例中,半導體堆疊為第一半導體層堆疊,且半導體裝置更包括第二半導體層堆疊與第三半導體層堆疊。源極結構位於第一半導體層堆疊與第二半導體層堆疊之間,且汲極結構位於第一半導體層堆疊與第三半導體層堆疊之間。第二半導體層堆疊的一層包括的末端部分的至少三側與源極結構直接交界。第三半導體層堆疊的一層包括的末端部分的一側與汲極結構直接交界,而第三半導體層堆疊的一層包括的末端部分的另一側與內側間隔物直接交界。In some embodiments, the source structure includes a first source layer and a second source layer on the first source layer. The first source layer has a first source material, the second source layer has a second source material, and the second source material is different from the first source material. In some embodiments, the drain structure includes a first drain layer and a second drain layer on the first drain layer. The first drain layer has a first drain material, the second drain layer has a second drain material, and the second drain material is substantially the same as the first drain material. In some embodiments, the first drain layer directly contacts the inner spacer. In some embodiments, one layer of the semiconductor layer stack includes an upper surface and a side surface, the first portion of the first source layer extends along and is formed on the upper surface of the one layer of the semiconductor layer stack, and the first source layer The second portion of the semiconductor layer extends along and is formed on a side surface of one layer of the semiconductor layer stack. In some embodiments, the gate portion includes a gate dielectric layer, and the first source layer physically interfaces with the gate dielectric layer. In some embodiments, the drain structure directly contacts the inner spacer. In some embodiments, the dielectric material is the first dielectric material. The gate portion includes a second dielectric material on the first sidewall surface and the second sidewall surface. Additionally, the source structure interfaces with the second dielectric material on the second sidewall surface, and the drain structure interfaces with the inner spacer on the first sidewall surface. In some embodiments, one layer of the semiconductor layer stack includes a first portion to interface with the source structure, a second portion to interface with the drain structure, and a third portion between the first and second portions and over the gate portion . The lower surface of the first portion interfaces with the epitaxial material, and the lower surface of the second portion interfaces with the spacer material. In some embodiments, the semiconductor stack is a first semiconductor layer stack, and the semiconductor device further includes a second semiconductor layer stack and a third semiconductor layer stack. The source structure is located between the first semiconductor layer stack and the second semiconductor layer stack, and the drain structure is located between the first semiconductor layer stack and the third semiconductor layer stack. One layer of the second semiconductor layer stack includes at least three sides of the end portion directly interfacing with the source structure. One side of the end portion included in one layer of the third semiconductor layer stack directly interfaces with the drain structure, and the other side of the end portion included in one layer of the third semiconductor layer stack directly interfaces with the inner spacer.

本發明一例示性的實施例關於半導體裝置的形成方法。方法包括接收結構。結構包括半導體基板;第一半導體層與第二半導體層的堆疊;以及虛置閘極結構,位於堆疊上。第一半導體層與第二半導體層的材料組成不同,且彼此交錯於堆疊中。方法亦包括移除虛置閘極結構的源極層上的堆疊的第一部分以形成源極溝槽,進而露出源極溝槽中的堆疊的第一側壁表面。方法更包括自露出的第一側壁表面移除第一半導體層的第一部分以形成第一間隙;以及磊晶成長源極結構於源極溝槽與第一間隙中。此外,方法包括移除虛置閘極結構的汲極側上的堆疊的第二部分以形成汲極溝槽,進而露出汲極溝槽中的堆疊的第二側壁表面。方法更包括自露出的第二側壁表面移除第一半導體層的第二部分以形成第二間隙。接著形成內側間隔物於第二間隙中。方法額外包括磊晶成長汲極結構於汲極溝槽中。方法額外包括移除虛置閘極結構以形成閘極開口於堆疊上;自閘極開口移除第一半導體層的第三部分以形成延伸的閘極開口;形成閘極介電層於延伸的閘極開口中;以及形成閘極於閘極於延伸的閘極開口中的閘極介電層上。An exemplary embodiment of the present invention relates to a method of forming a semiconductor device. The method includes receiving a structure. The structure includes a semiconductor substrate; a stack of a first semiconductor layer and a second semiconductor layer; and a dummy gate structure on the stack. The first semiconductor layer and the second semiconductor layer have different material compositions and are staggered with each other in the stack. The method also includes removing a first portion of the stack on the source layer of the dummy gate structure to form a source trench, thereby exposing first sidewall surfaces of the stack in the source trench. The method further includes removing a first portion of the first semiconductor layer from the exposed first sidewall surface to form a first gap; and epitaxially growing a source structure in the source trench and the first gap. Additionally, the method includes removing a second portion of the stack on the drain side of the dummy gate structure to form a drain trench, thereby exposing a second sidewall surface of the stack in the drain trench. The method further includes removing a second portion of the first semiconductor layer from the exposed second sidewall surface to form a second gap. Next, an inner spacer is formed in the second gap. The method additionally includes epitaxially growing a drain structure in the drain trench. The method additionally includes removing the dummy gate structure to form a gate opening on the stack; removing a third portion of the first semiconductor layer from the gate opening to form an extended gate opening; forming a gate dielectric layer on the extended in the gate opening; and forming the gate on the gate dielectric layer in the extended gate opening.

在一些實施例中,移除第一半導體層的第一部分的步驟包括形成第一半導體層的第一側表面,而磊晶成長源極結構的步驟包括成長於第一側表面上。在一些實施例中,磊晶成長汲極結構的步驟包括成長汲極結構以覆蓋內側間隔物的側壁表面。在一些實施例中,磊晶成長源極結構的步驟包括:成長第一源極材料的第一源極層於源極溝槽與第一間隙中;以及成長第二源極材料的第二源極層於第一源極層上。第二源極材料與第一源極材料不同。在一些實施例中,磊晶成長汲極結構的步驟包括:成長第一汲極材料的第一汲極層於汲極溝槽中;以及成長第二汲極材料的第二汲極層於第一汲極層上。第二汲極材料與第一汲極材料實質上相同。在一些實施例中,形成閘極介電層的步驟包括形成閘極介電層於源極結構的側壁表面上與內側間隔物的側壁表面上。在一些實施例中,磊晶成長源極結構的步驟包括磊晶成長於垂直相鄰的第二半導體層之間。In some embodiments, the step of removing the first portion of the first semiconductor layer includes forming a first side surface of the first semiconductor layer, and the step of epitaxially growing the source structure includes growing on the first side surface. In some embodiments, the step of epitaxially growing the drain structure includes growing the drain structure to cover sidewall surfaces of the inner spacer. In some embodiments, the step of epitaxially growing the source structure includes: growing a first source layer of a first source material in the source trench and the first gap; and growing a second source of a second source material The electrode layer is on the first source electrode layer. The second source material is different from the first source material. In some embodiments, the step of epitaxially growing the drain structure includes: growing a first drain layer of a first drain material in the drain trench; and growing a second drain layer of a second drain material on the on a drain layer. The second drain material is substantially the same as the first drain material. In some embodiments, the step of forming the gate dielectric layer includes forming the gate dielectric layer on the sidewall surfaces of the source structure and the sidewall surfaces of the inner spacers. In some embodiments, the step of epitaxially growing the source structure includes epitaxially growing between vertically adjacent second semiconductor layers.

本發明一例示性的實施例關於半導體裝置的形成方法。方法包括接收結構。結構具有半導體基板以及第一半導體層與第二半導體層的堆疊位於半導體基板上。第一半導體層與第二半導體層的材料組成不同且彼此交錯於堆疊中。方法亦包括圖案化堆疊以形成鰭狀結構;形成虛置閘極結構於鰭狀結構上;蝕刻源極溝槽於虛置閘極結構的第一側上的堆疊中;橫向蝕刻第一半導體層以形成第一間隙;形成源極結構於源極溝槽與第一間隙中;蝕刻汲極溝槽於虛置閘極結構的第二側上的堆疊中,且閘極結構的第二側與第一側相對;橫向蝕刻第一半導體層以形成第二間隙;形成內側間隔物於第二間隙中;形成汲極結構於汲極溝槽中;移除虛置閘極結構以形成第一閘極開口;移除第一半導體層的保留部分以形成第二閘極開口;以及形成閘極結構於第一閘極開口與第二閘極開口中。An exemplary embodiment of the present invention relates to a method of forming a semiconductor device. The method includes receiving a structure. The structure has a semiconductor substrate and a stack of first and second semiconductor layers on the semiconductor substrate. The first semiconductor layer and the second semiconductor layer have different material compositions and are staggered with each other in the stack. The method also includes patterning the stack to form the fin structure; forming a dummy gate structure on the fin structure; etching source trenches in the stack on the first side of the dummy gate structure; laterally etching the first semiconductor layer to form a first gap; forming a source structure in the source trench and the first gap; etching the drain trench in the stack on the second side of the dummy gate structure, and the second side of the gate structure is The first side is opposite; the first semiconductor layer is laterally etched to form the second gap; the inner spacer is formed in the second gap; the drain structure is formed in the drain trench; the dummy gate structure is removed to form the first gate a gate opening; removing the remaining part of the first semiconductor layer to form a second gate opening; and forming a gate structure in the first gate opening and the second gate opening.

在一些實施例中,形成源極結構的步驟包括磊晶成長源極結構於蝕刻的第一半導體層的側壁表面上。此外,形成汲極結構的步驟包括磊晶成長汲極結構以覆蓋內側間隔物的側壁表面。在一些實施例中,形成源極結構的步驟包括形成第一源極層並形成第二源極層於第一源極層上。此外,形成汲極結構的步驟包括形成第一汲極層並形成第二汲極層於第一汲極層上。第一源極層與第一汲極層的表面輪廓不同。In some embodiments, the step of forming the source structure includes epitaxially growing the source structure on the sidewall surface of the etched first semiconductor layer. In addition, the step of forming the drain structure includes epitaxially growing the drain structure to cover the sidewall surface of the inner spacer. In some embodiments, the step of forming the source structure includes forming a first source layer and forming a second source layer on the first source layer. In addition, the step of forming the drain structure includes forming a first drain layer and forming a second drain layer on the first drain layer. Surface profiles of the first source layer and the first drain layer are different.

上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。The features of the above-described embodiments are helpful for those skilled in the art to understand the present invention. Those skilled in the art should understand that the present invention can be used as a basis to design and change other processes and structures to achieve the same purpose and/or the same advantages of the above embodiments. Those skilled in the art should also understand that these equivalent replacements do not depart from the spirit and scope of the present invention, and can be changed, replaced, or altered without departing from the spirit and scope of the present invention.

B-B',C-C',D-D':剖線 100:方法 102,104,106,108,110,112,114,116,118,120,122,124,126,128:步驟 200:裝置 202:基板 202A:源極區 202B:汲極區 202C:通道區 205:半導體層堆疊 210,215:半導體層 218A,218B:鰭狀物 230:隔離結構 232:硬遮罩材料層 235:虛置閘極材料層 238:介電材料層 240:閘極堆疊 250:閘極結構 252,252',262,266:遮罩層 253A,253B,277:間隙 254:閘極間隔物,閘極間隔物層 255:內側間隔物 258A:源極溝槽 258B:汲極溝槽 260A:磊晶源極結構 260B:磊晶汲極結構 261A,262A:磊晶源極層 261B,262B:磊晶汲極層 263a,263b:部分 264A:延伸的源極溝槽 268:間隔物材料層 270:接點蝕刻停止層 272:層間介電層 275:閘極開口 280:界面層 282:閘極介電層 284:閘極層 290:矽化物結構 292:接點結構 294A,295A,296A:側壁表面 297A:上表面 298A:下表面 B-B', C-C', D-D': section line 100: Method 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128: Steps 200: Device 202: Substrate 202A: Source region 202B: Drain region 202C: Passage Area 205: Semiconductor layer stacking 210, 215: Semiconductor layers 218A, 218B: Fins 230: Isolation Structure 232: Hard mask material layer 235: Dummy gate material layer 238: Dielectric Material Layer 240: Gate stack 250: Gate structure 252, 252', 262, 266: mask layer 253A, 253B, 277: Clearance 254: gate spacer, gate spacer layer 255: Medial Spacer 258A: Source trench 258B: drain trench 260A: Epitaxial source structure 260B: Epitaxial drain structure 261A, 262A: Epitaxial source layer 261B, 262B: Epitaxial drain layer 263a, 263b: Parts 264A: Extended source trench 268: Spacer Material Layer 270: Contact etch stop layer 272: Interlayer dielectric layer 275: gate opening 280: Interface Layer 282: gate dielectric layer 284: gate layer 290: Silicide Structure 292: Contact Structure 294A, 295A, 296A: Sidewall Surfaces 297A: Upper surface 298A: Lower surface

圖1係本發明多種實施例中,製作奈米片為主的裝置之方法的流程圖。 圖2A、2B、2C、2D、3C、3D、4C、4D、5C、5D、6C、6D、7C、7D、8C、8D、9C、9D、10C、10D、11C、11D、12C、12D、13C、13D、14C、14D、15C、15D、16C、16D、17C、17D、18C、及18D係本發明多種實施例中,部分或全部的奈米片為主的裝置於多種製作階段(比如與圖1的方法相關)之部分圖式。 FIG. 1 is a flowchart of a method for fabricating a nanosheet-based device in various embodiments of the present invention. Figures 2A, 2B, 2C, 2D, 3C, 3D, 4C, 4D, 5C, 5D, 6C, 6D, 7C, 7D, 8C, 8D, 9C, 9D, 10C, 10D, 11C, 11D, 12C, 12D, 13C , 13D, 14C, 14D, 15C, 15D, 16C, 16D, 17C, 17D, 18C, and 18D are various embodiments of the present invention, and some or all of the nanosheet-based devices are used in various fabrication stages (eg, as shown in Fig. 1 method related) part of the schema.

202:基板 202: Substrate

202A:源極區 202A: Source region

202B:汲極區 202B: Drain region

202C:通道區 202C: Passage Area

215:半導體層 215: Semiconductor layer

255:內側間隔物 255: Medial Spacer

260A:磊晶源極結構 260A: Epitaxial source structure

260B:磊晶汲極結構 260B: Epitaxial drain structure

261A,262A:磊晶源極層 261A, 262A: Epitaxial source layer

261B,262B:磊晶汲極層 261B, 262B: Epitaxial drain layer

280:界面層 280: Interface Layer

282:閘極介電層 282: gate dielectric layer

284:閘極層 284: gate layer

290:矽化物結構 290: Silicide Structure

292:接點結構 292: Contact Structure

Claims (1)

一種半導體裝置,包括: 一半導體基板; 一源極結構與一汲極結構,位於該半導體基板上; 一半導體層堆疊,夾設於該源極結構與該汲極結構之間; 一閘極部分,位於該半導體層堆疊的兩個垂直相鄰的層狀物之間,並位於該源極結構與該汲極結構之間,該閘極部分具有相對的一第一側壁表面與一第二側壁表面;以及 一內側間隔物,位於該第一側壁表面上並位於該閘極部分與該汲極結構之間,且該內側間隔物為介電材料, 其中該第二側壁表面直接接觸該源極結構。 A semiconductor device, comprising: a semiconductor substrate; a source structure and a drain structure on the semiconductor substrate; a semiconductor layer stack sandwiched between the source structure and the drain structure; a gate portion located between two vertically adjacent layers of the semiconductor layer stack and between the source structure and the drain structure, the gate portion having a first sidewall surface opposite to a second sidewall surface; and an inner spacer located on the first sidewall surface and between the gate portion and the drain structure, and the inner spacer is a dielectric material, Wherein the second sidewall surface directly contacts the source structure.
TW110129004A 2020-10-28 2021-08-06 Semiconductor device TW202217979A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/082,954 2020-10-28
US17/082,954 US11227917B1 (en) 2020-10-28 2020-10-28 Nano-sheet-based devices with asymmetric source and drain configurations

Publications (1)

Publication Number Publication Date
TW202217979A true TW202217979A (en) 2022-05-01

Family

ID=79293791

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110129004A TW202217979A (en) 2020-10-28 2021-08-06 Semiconductor device

Country Status (3)

Country Link
US (2) US11227917B1 (en)
CN (1) CN114497037A (en)
TW (1) TW202217979A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124731A (en) * 2020-04-07 2021-10-15 삼성전자주식회사 Semiconductor devices including gate spacer

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10236362B2 (en) * 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
US20190221483A1 (en) * 2018-01-12 2019-07-18 Globalfoundries Inc. Single work function enablement for silicon nanowire device
US10504900B2 (en) * 2018-04-23 2019-12-10 International Business Machines Corporation Enhanced field Resistive RAM integrated with nanosheet technology
CN110797262B (en) * 2018-08-01 2023-06-13 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method of forming the same

Also Published As

Publication number Publication date
CN114497037A (en) 2022-05-13
US20220140078A1 (en) 2022-05-05
US11227917B1 (en) 2022-01-18
US11705488B2 (en) 2023-07-18

Similar Documents

Publication Publication Date Title
US10825915B2 (en) Spacers for nanowire-based integrated circuit device and method of fabricating same
US8994116B2 (en) Hybrid gate process for fabricating FinFET device
US20220029002A1 (en) Method of fabricating a semiconductor device
US11462614B2 (en) Semiconductor devices and methods of manufacturing
CN112310220A (en) Semiconductor structure
US20220216318A1 (en) Finfet having a work function material gradient
US11610977B2 (en) Methods of forming nano-sheet-based devices having inner spacer structures with different widths
CN112563318A (en) Semiconductor device with a plurality of semiconductor chips
TW202217979A (en) Semiconductor device
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
TWI765673B (en) Semiconductor device and method for manufacturing the same
CN115394715A (en) Semiconductor device with a plurality of semiconductor chips
US11798939B2 (en) Fin field effect transistor (FinFET) device with protection layer
US20230378304A1 (en) Source and Drain Enginering Process for Multigate Devices
US20230197820A1 (en) Method and multi-channel devices with anti-punch-through features
US20230068668A1 (en) Multi-Channel Devices and Method with Anti-Punch Through Process
US20230011783A1 (en) Metal gate for gate-all-around devices and methods for forming the same
CN218004866U (en) Semiconductor device with a plurality of semiconductor chips
US20230118779A1 (en) Multigate Device Structure with Engineered Gate
US20230137528A1 (en) Multigate Device Structure with Stepwise Isolation Features and Method Making the Same
US20230047598A1 (en) Semiconductor devices and methods of manufacture
US20220052206A1 (en) Multigate Devices with Varying Channel Layers
US20220051950A1 (en) Gapfill structure and manufacturing methods thereof
TW202303686A (en) Semiconductor device
TW202145563A (en) Transistor and method for manufacturing the same