TW202319575A - 用於監測至製程室的前驅物輸送之系統 - Google Patents

用於監測至製程室的前驅物輸送之系統 Download PDF

Info

Publication number
TW202319575A
TW202319575A TW111127858A TW111127858A TW202319575A TW 202319575 A TW202319575 A TW 202319575A TW 111127858 A TW111127858 A TW 111127858A TW 111127858 A TW111127858 A TW 111127858A TW 202319575 A TW202319575 A TW 202319575A
Authority
TW
Taiwan
Prior art keywords
flow
precursor
processing system
semiconductor processing
carrier gas
Prior art date
Application number
TW111127858A
Other languages
English (en)
Inventor
威廉 喬治 佩特羅
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202319575A publication Critical patent/TW202319575A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

所揭示者係一種利用一載體氣體及一半導體處理系統的用於監測來自一固體或液體源之一前驅物之劑量的半導體處理方法。一壓力或質量流量控制器用於監測進入該容器的該載體氣體流動,且質量流量計用於量測該容器流出的彼總流動。基於此兩個流動之間的差異得到該前驅物流動,並計算至一製程室之一固體或液體前驅物之一劑量及一源容器中之一剩餘量。

Description

用於監測至製程室的前驅物輸送之系統
本領域大致上係關於用於監測從固體或液體源至製程室之前驅物之劑量的系統及方法。各種實施例亦關於一種用於原位直接監測自固體源的前驅物之方法,以判定固體化學前驅物之位準在源容器中是否為低。
在半導體處理期間,各種反應物蒸氣被饋送至製程室中(本文中亦稱為反應室)。在一些應用中,反應物蒸氣係以氣態形式儲存於反應物源容器中。在此類應用中,反應物蒸氣在周圍壓力及溫度下通常係氣態。然而,在一些情況下,使用在周圍壓力及溫度下為液體或固體之源化學品的蒸氣。可加熱此等物質以產生用於反應製程(諸如氣相沉積)之足量的蒸氣。取決於組態,在半導體產業中使用的化學氣相沉積(Chemical Vapor Deposition,CVD)可能要求反應物蒸氣的連續流,而原子層沉積(Atomic Layer Deposition,ALD)可能要求連續流或脈衝供應。在這兩種情況下,以相對高度準確性知道每單位時間或每脈衝所供應的反應物量可係重要,以便控制劑量及對製程的效果。
鑒於上述情形,所揭示實施例之一或多個態樣的一個目標係提供一種用於監測至一製程室之一固體或液體前驅物之一劑量的方法。
在一個實施例中,該方法可包括量測流動至一源容器中的載體氣體之一輸入流動,一固體或液體前驅物設置在該源容器中。該方法亦可包括汽化該前驅物,及用該載體氣體夾帶汽化的該前驅物,並量測來自該源容器之經夾帶的該載體氣體及汽化的該前驅物的一輸出流動。該方法可進一步包括基於所量測的該輸入流動及所量測的該輸出流動來計算汽化的該前驅物之一體積流動速率。
所揭示實施例之一或多個態樣的另一目標係提供一種用於計算一源容器中的前驅物之一剩餘量之方法。
在一個實施例中,該方法可包括量測流動至一源容器中的載體氣體之一輸入流動,一固體或液體前驅物設置在該源容器中。該方法亦可包括汽化該前驅物,及用該載體氣體夾帶汽化的該前驅物,並量測來自該源容器之經夾帶的該載體氣體及汽化的該前驅物之一輸出流動。該方法可進一步包括基於所量測的該輸入流動及所量測的該輸出流動來計算該容器中該前驅物之一剩餘量。
所揭示實施例之一或多個態樣的又另一目標係提供一種半導體處理系統。在一個實施例中,該系統可包括一源容器,其經組態以含有一固體或液體前驅物。該系統亦可包括一第一流動量測裝置,該第一流動量測裝置經組態以量測一載體氣體至該源容器之一流動,與該源容器之一入口及一第二流動量測裝置流體連通,該第二流動量測裝置經組態以量測來自該源容器之夾帶的該載體氣體及汽化的該前驅物之一輸出流動,與該源容器之一出口流體連通。該系統可進一步包括經組態以接收一或多個基材、與該第二流動量測裝置流體連通之一製程室,以及經組態以基於所量測之該輸入流動及所量測之該輸出流動計算汽化的前驅物之一體積流動速率的一控制器。
對一些固體及液體物質而言,室溫下的蒸氣壓可係低的,使得固體或物體前驅物要經加熱以產生足量的反應物蒸氣。一旦經汽化,將氣相反應物在整個處理系統保持在蒸氣形式係重要的,以防止在反應室中以及閥、過濾器、導管、及與輸送氣相反應物至反應室相關聯的其他組件之中的不符合期望的冷凝。來自此類固體或液體物質之氣相反應物亦可對用於半導體產業(例如,蝕刻、摻雜等)及用於各種其他產業之其他類型的化學反應係有用的,但特別受關注的是對例如在CVD或ALD中採用的金屬及半導體前驅物。
ALD係一種用於將高度均勻薄膜生長至基材上之方法。在時間分割ALD反應器中,將基材放置到無雜質的反應空間中,並將至少兩個不同的反應物(前驅物或其他反應物蒸氣)以氣相交替且重複地注入至反應空間中。反應物蒸氣據此可包含一包括一或多個反應物及一或多個溶劑之蒸氣。膜生長係基於發生在基材表面上之交替的表面反應,以形成原子或分子的固態層,因為反應物及基材溫度係經選定,使得交替注入的氣相反應物之分子僅在基材上與其表面層起反應。以對表面足夠高的劑量注入反應物,以在各注入循環期間接近飽和。因此,製程可係理論上自調節的,不與起始材料之濃度相依,藉此達成單一原子層或分子層之極高的膜均勻度及厚度準確性係可行的。在空間分割ALD反應器中得到類似的結果,其中基材經移動至用於交替暴露至不同反應物的區塊中。反應物可有助於生長膜(前驅物)及/或充當其他功能,諸如從前驅物之經吸附物種來氧化、還原、或剝除配位體以促成後續反應物的反應或吸附。ALD方法可用於生長元素薄膜及化合物薄膜兩者。ALD可涉及在循環中重複交替的兩個或更多個反應物,且不同循環可具有不同數目的反應物。真正的ALD反應傾向於每循環產生少於一單層。ALD原理的實際應用傾向於與真正飽和及單層限制具有真實世界偏差,而混合或變型製程可得到較高沉積速率,同時達成ALD的保形及控制優點中之一些或全部。
在一些半導體處理裝置中,可藉由對固體源容器中之蒸氣壓、通過固體源容器之流動速率、及脈衝時間的控制來控制固體源反應物劑量。例如,諸如主流量控制器(master flow controller,MFC)或壓力控制器之控制裝置可提供於固體源容器之上游。由於控制裝置與高溫環境不相容,控制裝置可遠離用於昇華固體反應物源之熱源。若昇華速率改變,則每脈衝輸送之反應物的量可變化,此可能降低晶圓產率並增加成本。
當前ALD製程工具不具有對所有化學物的化學前驅物劑量或濃度的直接監測,尤其對於使用載體氣體的固體化學源而言。此等固體源典型地亦缺乏對容器中剩餘化學品之量的原位直接監測。此可導致由於劑量波動(容器溫度變化、容器/閥/氣體管線阻塞或洩漏)的晶圓報廢,且典型地需要在容器中剩餘有顯著量的化學品下頻繁更換容器,以確保容器在晶圓處理期間不會變得被耗竭。
現有溶液使用光學IR吸收來偵測前驅物分子。此方法係昂貴的,且不可在高溫使用。因此,對於經改善之反應物蒸氣的形成及至反應器的輸送仍有持續需求。
其後,將藉由隨附圖示中所示之(多個)實施例詳細描述所揭示實施例之設備及方法。除非另外定義,否則本文中所使用之所有技術及科學用語具有與本領域具有通常知識者之普遍理解相同的意義。
在下列詳細說明中,提出許多特定細節以便提供對所揭示實施例之透徹理解。然而,對於本領域具有通常知識者顯而易見的是所揭示實施例可無須此等特定細節而實踐。在其他例子中,不詳細描述熟知的方法、程序、組件、及機構,以免不必要地模糊化所揭示實施例的態樣。
第1圖係繪示根據各種實施例之半導體處理方法30的流程圖。方法30開始於方塊31,其中流動至源容器中的非活性載體氣體之一輸入流動被量測。流動至源容器中之載體氣體的流動可由流量控制器來量測。作為流量控制器,可使用質量流量控制器(mass-flow controller,MFC)或具有流量監測器的壓力控制器(pressure controller with flow monitor,PFC)。MFC可不監測壓力,而代替地可僅監測流動速率並具有控制固定流動量之一可控制孔口。相比之下,PFC可具有帶壓力計的可控制孔口,且可控制載體氣體之壓力,藉此監測及/或控制壓力及流動速率兩者。使用PFC時,代替控制流動速率的是,可寫入壓力設定點,且可控制在控制器之輸出處的壓力。例如,輸入載體氣體可在控制器的輸入處具有壓力Pi。欲提供要更低的輸出壓力Po,可調整一孔口使得輸出壓力停留在設定點值。PFC亦可量測流動速率。
固體或液體前驅物設置在源容器中,且非活性載體氣體被提供至源容器。非活性氣體源可沿著非活性氣體管線將非活性載體氣體供應至源容器。作為非活性載體氣體,雖然可使用任何其他合適的非活性載體氣體,典型地使用氬氣(Ar)或氮氣(N2)。
在方塊32中,前驅物係通過昇華製程而汽化,例如,加熱至昇華溫度以上之溫度。汽化的前驅物可用非活性載體氣體夾帶,以將汽化的前驅物輸送至製程室。在方塊33中可量測來自源容器之夾帶載體氣體及汽化的前驅物之輸出流動。來自源容器的輸出流動可藉由將來自源容器的輸出流動饋送至高溫相容質量流量計(mass-flow meter,MFM)來量測。MFM可類似於MFC,但無一可調整孔口。因此,MFM可監測流動而不對其調整。在其他實施例中,MFC可用於量測輸出流動。容器中之前驅物的剩餘量可基於所量測輸入流動及所量測輸出流動來計算,且於方塊37中,可監測前驅物的剩餘量,使得當前驅物之剩餘量在預定值以下時可發出警報。
移動至方塊34,汽化的前驅物之體積流動速率係基於進入源容器之所量測輸入流動及來自源容器的所量測輸出流動來計算。體積流動速率之計算可基於所量測輸入流動與所量測輸出流動之間的加權差異。
如上文所提出,質量流量控制器(MFC)或帶流量監測器之壓力控制器(PFC)可控制及監測載體氣體進入源容器之流動,且高溫相容質量流量計(MFM)可監測自源容器流出的載體氣體及前驅物化學品之總流動。
大致上,載體氣體進入源容器之流動可大約等於流出容器之流動(假設在穩態操作期間在容器中無氣體吸收或累積)。因此,MFM信號與傳入MFC/PFC信號之間的差異可與前驅物流動成比例。若MFM經校準用於載體氣體(例如N2),則比例常數將係前驅物化學品之氣體校正因子(Gas Correction Factor,GCF)對載體氣體之GCF之比率,且前驅物流動速率可藉由以下等式得到。GCF與氣體性質及MFM量測方法相依。
Figure 02_image001
假設N2載體氣體之GCF係1.0,且MFM經校準用於載體氣體N2,則以上等式可簡化為:
Figure 02_image003
此係MFM經校準特定用於N 2的簡單情境,但應瞭解,用其他氣體則GCF可不同。典型地,MFM可校準用於N 2。其可讀出僅對應於流動通過其的N 2的流動信號。因此,若使用另一載體氣體,可使用不同校正(例如,不同的GCF)。
汽化的前驅物可轉移至製程室7(參見第2圖),且在區塊35中,可監測經輸送至在其中設置晶圓之製程室的一劑量之前驅物。製程室可耦接至供應控制閥,該供應控制閥可經組態以脈衝汽化的前驅物之至製程室。可基於提供給供應控制閥之信號及汽化的前驅物之體積流動速率來監測輸送至製程室之前驅物的劑量。還可監測汽化的前驅物之體積流動速率之偏差,且當汽化的該前驅物之體積流動速率之偏差在預定值以上時可發出警報。
在方塊36中,可至少基於施加至各製程室之控制閥的脈衝寬度及汽化的前驅物之流動之體積流動速率來計算輸送至晶圓之前驅物的總劑量。
第2圖係根據各種實施例之半導體處理系統1的示意系統圖。裝置1可包含經組態以含有固體或液體前驅物之源容器3。源容器3可包括經組態以加熱源容器3之加熱器8,以汽化該固體或液體前驅物。載體氣體通過第一流動量測裝置2供應至源容器3,以與汽化的前驅物夾帶以將汽化的前驅物輸送至製程室7。載體氣體可係任何合適的非活性氣體,諸如氮氣或氬氣。載體氣體供應閥9中之一或多者可沿著氣體供應管線提供,以調節載體氣體之流動。
載體氣體至源容器3之流動可藉由與源容器3之入口流體連通的第一流動量測裝置2來量測。來自源容器之夾帶載體氣體及汽化的該前驅物之輸出流動可藉由與源容器3之出口流體連通的第二流動量測裝置4來量測。可在源容器3的下游提供一或多個夾帶氣體供應閥10,以調節夾帶氣體的流動(例如,夾帶載體及前驅物氣體)。第一流動量測裝置2可包含質量流量控制器(MFC)或帶流量監測器的壓力控制器(PFC)。第二流動量測裝置4可係高溫相容質量流量計(MFM),且MFM可經校準用於載體氣體。
第二流動量測裝置4可與經組態以接收待處理之一或多個基材(例如晶圓)的製程室7流體連通。可提供複數個製程室,如第2圖之實施例中所示,但應瞭解,在其他實施例中,系統1可僅包括單一製程室7。各製程室7可與第二流動量測裝置4連通,並可耦接至供應控制閥11,該供應控制閥經組態以將汽化的前驅物從源容器3脈衝至製程室7。
可提供控制器6以控制系統1之各種組件的操作。控制器6可包含經組態以執行特定及具體計算機指令以實施第1圖中所指示之製程的硬體計算機處理器、應用特定電路系統、及/或電子硬體。控制器6可經組態以基於所量測輸入流動及來自源容器3之所量測輸出流動來計算汽化的前驅物之體積流動速率。汽化的前驅物之體積流動速率可基於進入源容器3的輸入流動與來自其的輸出流動之間的加權差異來計算,且可監測輸送至在其中設置晶圓的製程室7的一劑量之前驅物。
控制器6可進一步經組態以計算容器3中之固體或液體前驅物的剩餘量,使得使用者在沉積製程期間得知剩餘在源容器3中之前驅物的量。控制器6可進一步經組態以監測被輸送至在其中設置晶圓的製程室7之一劑量之前驅物。如上文所註明,準確地輸送該劑量之前驅物至製程室7可係重要的,以便提供均勻沉積。有益地,本文中所揭示之系統及方法可讓使用者能夠對輸送至製程室7及沉積於晶圓上之前驅物量具有準確量測。控制器6可進一步經組態以至少基於施加至用於各製程室7的供應控制閥11之脈衝寬度及汽化的前驅物之流動之體積流動速率來計算輸送至晶圓之前驅物的總劑量。控制器6可進一步經組態以監測源容器3中之前驅物的剩餘量,並在前驅物的剩餘量在預定值以下時發出警報。控制器6可進一步經組態以監測汽化的前驅物之流動之體積流動速率的偏差,並在汽化前驅物流動之體積流動速率之偏差在預定值以上時發出警報警報。
半導體處理系統1可進一步包含累積器5,其流體連接至製程室7及第二流動量測裝置4。累積器5可包含較大的氣體體積,以在汽化的前驅物之流動之脈衝供應之間累積前驅物。當不使用具體前驅物時,前驅物可累積於彼處,且可積聚壓力使得大量前驅物對下一劑量就緒。
為了本揭露之目的,本文中描述某些態樣、優點、及新式特徵。不必然可依據任何具體實施例達成所有此類優點。因此,例如,所屬技術領域中具有通常知識者將認知到,可用達成如本文中所教示之一個優點或一組優點而無須達成本文中可教示或建議之其他優點的方式來體現或實行本揭露。
除非另外特定陳述或另外在如所使用的前後文下理解,條件語言(諸如「可(can、could、might、或may)」)大致上係意欲傳達某些實施例包括而其他實施例不包括某些特徵、元件、及/或步驟。因此,此類條件語言大致上並非意欲暗指特徵、元件、及/或步驟以任何方式為一或多個實施例所必需,或者在有無使用者輸入或提示的情況下,一或多個實施例必然包括用於決定此等特徵、元件、及/或步驟是否包括或是否欲在任何具體實施例中進行的邏輯。
除非另外特定陳述,否則諸如短語「X、Y及Z中之至少一者」的連接語言在如所使用之上下文下應理解為大致上傳達一項目、項等可係X、Y或Z。因此,此類連接語言大致上並非意欲暗指某些實施例需要至少一個X、至少一個Y、以及至少一個Z的存在。
本文中所使用之程度語言(諸如如本文中所使用之用語「大約(approximately)」、「約(about)」、「大致上(generally)」、及「實質上(substantially)」代表接近所陳述的值、量、或特性之值、量、或特性,該值、量、或特性仍進行所欲功能或達成所欲結果。例如,用語「大約(approximately)」、「約(about)」、「大致上(generally)」、及「實質上(substantially)」可指在所述量之小於10%內、小於5%內、小於1%內、小於0.1%內、及小於0.01%內的量。
本揭露的範疇並非意欲受此節或本說明書中別處之較佳實施例的特定揭露所限制,並可如此節或本說明書中別處所呈現或如未來所呈現的申請專利範圍所定義。申請專利範圍之語言應基於申請專利範圍中所採用之語言作公正解讀,且不限於本說明書中或在本申請案之審查期間所描述之實例,該等實例應詮釋為非排他性的。
1:半導體處理系統/裝置 2:第一流動量測裝置 3:源容器 4:第二流動量測裝置 5:累積器 6:控制器 7:製程室 8:加熱器 9:載體氣體供應閥 10:夾帶氣體供應閥 11:供應控制閥 30:方法 31:方塊 32:方塊 33:方塊 34:方塊 35:區塊 Pi:輸入壓力 Po:輸出壓力
前述及其他目標及優點將出現於下列說明中。在說明中參考所附圖示,該圖示形成本文之部分,且在該圖示中藉由闡釋在其中可實踐所揭示實施例的特定實施例來顯示。將足夠詳細地描述此等實施例,以使本領域具有通常知識者能夠實踐所揭示實施例,且應理解,可利用其他實施例並可作出結構更換而不脫離所揭示實施例之範疇。因此,所附圖示僅提交作為顯示所揭示實施例之較佳例示。據此,下列實施方式不作為限制意義,且本文揭示實施例的範疇係最佳地由隨附申請專利範圍來定義。 第1圖係繪示根據各種實施例之半導體處理方法的流程圖。 第2圖係根據一個實施例之半導體處理裝置的示意圖。
1:半導體處理系統/裝置
2:第一流動量測裝置
3:源容器
4:第二流動量測裝置
5:累積器
6:控制器
7:製程室
8:加熱器
9:載體氣體供應閥
10:夾帶氣體供應閥
11:供應控制閥

Claims (20)

  1. 一種半導體處理系統,其包含: 一源容器,其經組態以含有一固體或液體前驅物; 一第一流動量測裝置,其與該源容器之一入口流體連通,該第一流動量測裝置經組態以量測一載體氣體至該源容器之一輸入流動; 一第二流動量測裝置,其與該源容器之一出口流體連通,該第二流動量測裝置經組態以量測來自該源容器之一夾帶載體氣體及汽化的前驅物之一輸出流動; 一製程室,其與該第二流動量測裝置流體連通,該製程室經組態以接收一或多個基材;及 一控制器,其經組態以基於所量測的該輸入流動及所量測的該輸出流動來計算汽化的該前驅物之一體積流動速率。
  2. 如請求項1之半導體處理系統,其中該控制器進一步經組態以計算該容器中該固體或液體前驅物的一剩餘量。
  3. 如請求項1之半導體處理系統,其中該第一流動量測裝置係一質量流量控制器或具有流量監測器的一壓力控制器。
  4. 如請求項1之半導體處理系統,其中該第二流動量測裝置係一高溫相容質量流量計。
  5. 如請求項4之半導體處理系統,其中該質量流量計經校準用於該載體氣體。
  6. 如請求項1之半導體處理系統,其中該控制器進一步經組態以監測被輸送到該製程室的一劑量之前驅物,該製程室中設置一晶圓。
  7. 如請求項1之半導體處理系統,其中該製程室經耦接至一控制閥,該控制閥經組態以將汽化的該前驅物脈衝至該製程室。
  8. 如請求項1之半導體處理系統,其中該控制器進一步經組態以計算被輸送至一晶圓的該前驅物之一總劑量。
  9. 如請求項8之半導體處理系統,其中該控制器進一步經組態以至少基於施加至用於該製程室的該控制閥上之一脈衝寬度及汽化的該前驅物之流動的該體積流動速率來計算被輸送至該晶圓之該前驅物的一總劑量。
  10. 如請求項1之半導體處理系統,其中該控制器進一步經組態以: 監測該容器中該前驅物的一剩餘量,及 當該前驅物之該剩餘量在一預定值以下時發出一警報。
  11. 如請求項1之半導體處理系統,其中該控制器進一步經組態以: 監測汽化的該前驅物之流動之該體積流動速率之偏差,及 當汽化的該前驅物之流動之該體積流動速率之該偏差在一預定值以上時發出一警報。
  12. 如請求項1之半導體處理系統,其進一步包含一加熱器,該加熱器經組態以加熱該源容器用以汽化該固體或液體前驅物。
  13. 如請求項1之半導體處理系統,其進一步包含經流體連接至該製程室及該第二流動量測裝置的一累積器。
  14. 一種半導體處理系統,其包含: 一源容器,其經組態以含有一固體或液體前驅物; 一載體氣體源; 一第一流動量測裝置,其介於該載體氣體源與該源容器之間,該第一流動量測裝置經組態以量測一載體氣體自該載體源至該源容器之一所量測輸入流動; 一第二流動量測裝置,其耦接至該源容器的一出口,該第二流動量測裝置經組態以量測該載體氣體及一汽化的前驅物自該源容器的一所量測輸出流動;及 一控制器,其經組態以基於該所量測輸入流動及該所量測輸出流動來計算汽化的該前驅物之一體積流動速率,且當以下中之一或更多者時發出一警報:該固體或液體前驅物之一剩餘量在一預定值以下,或汽化的該前驅物之該體積流動速率之一偏差在一預定值以上。
  15. 如請求項14之半導體處理系統,其進一步包含經組態以調節該載體氣體之一流動的一載體氣體供應閥。
  16. 如請求項14之半導體處理系統,其進一步包含在該源容器下游的一或多個夾帶氣體供應閥。
  17. 如請求項14之半導體處理系統,其中該控制器進一步經組態以判定該源容器中該固體或液體前驅物的一剩餘量。
  18. 如請求項14之半導體處理系統,其中該控制器進一步經組態以判定汽化的該前驅物之一劑量。
  19. 如請求項14之半導體處理系統,其進一步包含在該源容器下游的一累積器。
  20. 一種半導體處理系統,其包含: 一源容器,其經組態以含有一固體或液體前驅物; 一載體氣體源; 一載體氣體供應閥,其經組態以調節該載體氣體之一流動; 一第一流動量測裝置,其介於該載體氣體源與該源容器之間,該第一流動量測裝置經組態以量測一載體氣體自該載體源至該源容器之一所量測輸入流動; 一第二流動量測裝置,其耦接至該源容器的一出口,該第二流動量測裝置經組態以量測該載體氣體及一汽化的前驅物自該源容器的一所量測輸出流動; 一夾帶氣體供應閥,其在該源容器下游;及 一控制器,其經組態以基於所量測的該輸入流動及所量測的該輸出流動來計算汽化的該前驅物之一體積流動速率。
TW111127858A 2021-07-27 2022-07-26 用於監測至製程室的前驅物輸送之系統 TW202319575A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163203623P 2021-07-27 2021-07-27
US63/203,623 2021-07-27

Publications (1)

Publication Number Publication Date
TW202319575A true TW202319575A (zh) 2023-05-16

Family

ID=85038986

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111127858A TW202319575A (zh) 2021-07-27 2022-07-26 用於監測至製程室的前驅物輸送之系統

Country Status (5)

Country Link
US (1) US20230029724A1 (zh)
JP (1) JP2023018677A (zh)
KR (1) KR20230017145A (zh)
CN (1) CN115692252A (zh)
TW (1) TW202319575A (zh)

Also Published As

Publication number Publication date
JP2023018677A (ja) 2023-02-08
KR20230017145A (ko) 2023-02-03
CN115692252A (zh) 2023-02-03
US20230029724A1 (en) 2023-02-02

Similar Documents

Publication Publication Date Title
EP2527489B1 (en) Vapor delivery device and method
US9416452B2 (en) Vapor delivery device, methods of manufacture and methods of use thereof
US4717596A (en) Method for vacuum vapor deposition with improved mass flow control
JP5949586B2 (ja) 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US4640221A (en) Vacuum deposition system with improved mass flow control
US10287682B2 (en) Substrate processing apparatus, gas supply method, substrate processing method, and film forming method
US20070039550A1 (en) Pulsed mass flow delivery system and method
JPS62273714A (ja) 有機金属ガス供給方法および装置
US20240026538A1 (en) Liquid vaporizer
JP2023535548A (ja) バブラを用いた濃度制御
TW202319575A (zh) 用於監測至製程室的前驅物輸送之系統
US11946136B2 (en) Semiconductor processing device
US20210354053A1 (en) Reducing or eliminating liquid de-gassing
JP2004514997A (ja) 低容量液体流の計量化送出のための方法および装置
EP4361310A1 (en) Vapor delivery apparatus and associated vapor phase reactor and methods of use