TW202312493A - Transistor structure and related manufacture method - Google Patents

Transistor structure and related manufacture method Download PDF

Info

Publication number
TW202312493A
TW202312493A TW111144010A TW111144010A TW202312493A TW 202312493 A TW202312493 A TW 202312493A TW 111144010 A TW111144010 A TW 111144010A TW 111144010 A TW111144010 A TW 111144010A TW 202312493 A TW202312493 A TW 202312493A
Authority
TW
Taiwan
Prior art keywords
region
contact hole
layer
length
gate
Prior art date
Application number
TW111144010A
Other languages
Chinese (zh)
Inventor
盧超群
Original Assignee
鈺創科技股份有限公司
新加坡商發明創新暨合作實驗室有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/138,918 external-priority patent/US20210407859A1/en
Priority claimed from US17/151,635 external-priority patent/US20210408245A1/en
Application filed by 鈺創科技股份有限公司, 新加坡商發明創新暨合作實驗室有限公司 filed Critical 鈺創科技股份有限公司
Publication of TW202312493A publication Critical patent/TW202312493A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

A transistor structure includes a semiconductor substrate, a gate structure, a channel region, a first conductive region, and a first isolation region. The semiconductor substrate has a semiconductor surface. The gate structure has a length. The first conductive region is electrically coupled to the channel region. The first isolation region is next to the first conductive region. A length of the first conductive region is controlled by a single photolithography process which is originally configured to define the length of the gate structure.

Description

電晶體結構及其相關製造方法Transistor structure and related manufacturing method

本發明是有關於一種電晶體結構及其相關製造方法,尤指一種具有可準確控制源極/汲極和接觸開口的長度以有效縮小尺寸的電晶體結構及其相關製造方法。The present invention relates to a transistor structure and its related manufacturing method, especially to a transistor structure and its related manufacturing method which can accurately control the length of the source/drain and the contact opening to effectively reduce the size.

因為在1974年,由R. Dennard等人所發表的論文中,公開了縮小金氧半場效電晶體(metal-oxide-semiconductor field-effect transistor, (MOSFET))的所有尺寸的設計準則,所以如何縮小電晶體的尺寸成為主要的技術需求,其中該主要的技術需求已改變矽晶圓的線性尺寸的最小特徵尺寸從幾微米縮小到幾奈米。該最小特徵尺寸或長度通常稱為Lamda (λ),是取決於使用光刻光罩技術(photolithographic masking technology)及元件縮小技術的微型化能力 (爲了簡化說明和對照,通過最小化印刷線寬解析度所測量的也稱之爲λ)。但是另一個限制了元件縮小的難以控制的因素是光刻設備的不足與不準確所造成的錯位公差(misalignment tolerance),也就是Delta-Lamda (Δλ)。另外,因為該錯位公差,所以該電晶體的閘極邊緣到源極(或汲極)邊緣之間的距離很難做到小於λ和Δλ的總和。之後,如果再次需要通過使用該光刻光罩技術在該汲極(或源極)上製造一個方形的接觸孔以做為未來金屬互連到該汲極(或該源極)之間的連接,則該接觸孔的每個邊的最小尺寸很難做到小於λ。另外,為確保在該汲極之內的接觸孔包含錯位公差,該汲極(具有長方形的外圍)的每個邊的長度也很難做到小於λ和Δλ的總和。然而,縮小電晶體的尺寸對于在一個矽晶圓的一個平面區域之內整合更多的電晶體是必要的,以及分別縮小電晶體的汲極和源極所占的面積是達成上述目標的一個必要且有效的方式,其也有助于減少漏電流和功耗。Because in 1974, in the paper published by R. Dennard et al., the design criteria for reducing all the dimensions of the metal-oxide-semiconductor field-effect transistor (MOSFET) were disclosed, so how Reducing the size of transistors has become a major technical requirement that has changed the linear dimensions of silicon wafers from a few micrometers down to a few nanometers in minimum feature size. This minimum feature size or length is usually referred to as Lamda (λ), which is determined by the miniaturization capability using photolithographic masking technology and component shrinking technology (for simplicity of illustration and comparison, by minimizing the printed line width analysis What is measured by degrees is also called λ). But another uncontrollable factor that limits the shrinkage of components is the misalignment tolerance caused by the inadequacy and inaccuracy of lithographic equipment, that is, Delta-Lamda (Δλ). In addition, because of the misalignment tolerance, it is difficult to make the distance between the gate edge to the source (or drain) edge of the transistor smaller than the sum of λ and Δλ. Afterwards, if it is necessary again to make a square contact hole on the drain (or source) by using the photolithography mask technology as a connection between the future metal interconnection to the drain (or the source) , then the minimum size of each side of the contact hole is difficult to be smaller than λ. In addition, to ensure that the contact hole within the drain contains misalignment tolerances, the length of each side of the drain (with a rectangular periphery) is also difficult to achieve less than the sum of λ and Δλ. However, reducing the size of transistors is necessary to integrate more transistors in one planar area of a silicon wafer, and reducing the areas occupied by the transistor's drain and source respectively is one of the ways to achieve the above goals. Necessary and effective way, it also helps to reduce leakage current and power consumption.

本發明的一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上方形成該閘極結構和一偽屏蔽閘極結構(dummy shield gate structure);形成一第一隔離區以取代該偽屏蔽閘極結構;在該主動區上方形成一自對準柱(self-alignment pillar);以及移除該自對準柱,並且在該閘極結構和該第一隔離區之間形成該第一導電區。An embodiment of the present invention discloses a method for manufacturing a transistor, wherein the transistor includes a gate structure and a first conductive region. The manufacturing method includes forming an active region on a substrate; forming the gate structure and a dummy shield gate structure (dummy shield gate structure) over the active region; forming a first isolation region to replace the dummy shield gate structure structure; forming a self-alignment pillar over the active region; and removing the self-alignment pillar, and forming the first conductive region between the gate structure and the first isolation region.

在本發明的另一個實施例中,在移除該自對準柱的步驟之前,該製造方法另包含在該第一隔離區上方形成一第二隔離區,其中該自對準柱位於該閘極結構和該第二隔離區之間。In another embodiment of the present invention, before the step of removing the self-aligned pillar, the manufacturing method further includes forming a second isolation region above the first isolation region, wherein the self-aligned pillar is located at the gate pole structure and the second isolation region.

在本發明的另一個實施例中,在移除該自對準柱的步驟之後,該製造方法另包含在該閘極結構和該第一隔離區之間形成一間隔層以定義一接觸孔,其中該接觸孔位於該第一導電區上方。In another embodiment of the present invention, after the step of removing the self-aligned pillar, the manufacturing method further includes forming a spacer between the gate structure and the first isolation region to define a contact hole, Wherein the contact hole is located above the first conductive region.

在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度(minimum feature length)。In another embodiment of the present invention, the length of the contact hole is less than a minimum feature length.

在本發明的另一個實施例中,該基底是一矽基底,以及該自對準柱是通過選擇性外延生長(selective epitaxy growth)形成的一本質矽柱(intrinsic silicon pillar)。In another embodiment of the present invention, the substrate is a silicon substrate, and the self-aligned pillar is an intrinsic silicon pillar formed by selective epitaxy growth.

本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上形成該閘極結構;以及形成一自對準柱,其中該自對準柱是用以在該第一導電區上方分配一接觸孔。Another embodiment of the present invention discloses a method for manufacturing a transistor, wherein the transistor includes a gate structure and a first conductive region. The manufacturing method includes forming an active region on a substrate; forming the gate structure on the active region; and forming a self-aligned pillar, wherein the self-aligned pillar is used to distribute an contact holes.

在本發明的另一個實施例中,該製造方法另包含在形成該自對準柱之前,在該主動區上形成一隔離區。In another embodiment of the present invention, the manufacturing method further includes forming an isolation region on the active region before forming the self-aligned pillar.

在本發明的另一個實施例中,該製造方法另包含移除該自對準柱,其中該自對準柱是形成在該閘極結構和該隔離區之間;以及在該閘極結構和該隔離區之間形成一間隔層以定義一接觸孔,其中該接觸孔位於該第一導電區上方。In another embodiment of the present invention, the manufacturing method further includes removing the self-aligned pillar, wherein the self-aligned pillar is formed between the gate structure and the isolation region; and between the gate structure and the isolation region. A spacer layer is formed between the isolation regions to define a contact hole, wherein the contact hole is located above the first conductive region.

在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。In another embodiment of the present invention, the length of the contact hole is less than a minimum characteristic length.

本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上方形成該閘極結構;在該閘極結構旁邊形成該第一導電區;以及在該第一導電區上方定義一接觸孔,其中定義該接觸孔是與一光刻(photolithography )製程無關。Another embodiment of the present invention discloses a method for manufacturing a transistor, wherein the transistor includes a gate structure and a first conductive region. The manufacturing method includes forming an active region on a substrate; forming the gate structure above the active region; forming the first conductive region next to the gate structure; and defining a contact hole above the first conductive region, Wherein the definition of the contact hole is not related to a photolithography (photolithography) process.

在本發明的另一個實施例中,該第一導電區是形成在該閘極結構和一隔離區之間,其中該隔離區在該主動區上方向上延伸。In another embodiment of the present invention, the first conductive region is formed between the gate structure and an isolation region, wherein the isolation region extends upwardly above the active region.

在本發明的另一個實施例中,該接觸孔是通過形成一間隔層來定義,其中該間隔層覆蓋該閘極結構的一側壁以及該隔離區的一側壁。In another embodiment of the present invention, the contact hole is defined by forming a spacer layer, wherein the spacer layer covers a sidewall of the gate structure and a sidewall of the isolation region.

在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。In another embodiment of the present invention, the length of the contact hole is less than a minimum characteristic length.

本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含實施一第一光刻製程,其中該第一光刻製程是用以定義該閘極結構的寬度和一主動區的長度;實施一第二光刻製程,其中該第二光刻製程是用以定義該閘極結構在該主動區內的長度,其中該第二光刻製程另用以定義該第一導電區的長度。Another embodiment of the present invention discloses a method for manufacturing a transistor, wherein the transistor includes a gate structure and a first conductive region. The manufacturing method includes performing a first photolithography process, wherein the first photolithography process is used to define the width of the gate structure and the length of an active region; performing a second photolithography process, wherein the second photolithography The process is used to define the length of the gate structure in the active region, wherein the second photolithography process is also used to define the length of the first conductive region.

在本發明的另一個實施例中,通過該第二光刻製程定義的該第一導電區的長度等於或實質上等於一最小特徵長度。In another embodiment of the present invention, the length of the first conductive region defined by the second photolithography process is equal to or substantially equal to a minimum feature length.

在本發明的另一個實施例中,通過該第二光刻製程定義的該閘極結構的長度等於或實質上等於一最小特徵長度。In another embodiment of the present invention, the length of the gate structure defined by the second photolithography process is equal to or substantially equal to a minimum feature length.

在本發明的另一個實施例中,通過該第一光刻製程定義的該主動區的長度大約等於一最小特徵長度的4倍。In another embodiment of the present invention, the length of the active region defined by the first photolithography process is approximately equal to 4 times a minimum feature length.

本發明的另一實施例公開一種電晶體的製造方法,其中該電晶體包含一閘極結構以及一第一導電區。該製造方法包含在一基底上形成一主動區;在該主動區上形成該閘極結構;在該閘極結構旁邊形成該第一導電區;以及在該第一導電區上方形成一接觸孔,其中該接觸孔的形狀不需通過一光刻製程定義。Another embodiment of the present invention discloses a method for manufacturing a transistor, wherein the transistor includes a gate structure and a first conductive region. The manufacturing method includes forming an active region on a substrate; forming the gate structure on the active region; forming the first conductive region next to the gate structure; and forming a contact hole above the first conductive region, The shape of the contact hole does not need to be defined by a photolithography process.

在本發明的另一個實施例中,該第一導電區是形成在該閘極結構和一隔離區之間。In another embodiment of the present invention, the first conductive region is formed between the gate structure and an isolation region.

在本發明的另一個實施例中,該接觸孔是通過形成一間隔層來定義,其中該間隔層覆蓋該閘極結構的一側壁以及該隔離區的一側壁。In another embodiment of the present invention, the contact hole is defined by forming a spacer layer, wherein the spacer layer covers a sidewall of the gate structure and a sidewall of the isolation region.

在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。In another embodiment of the present invention, the length of the contact hole is less than a minimum characteristic length.

本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一接觸孔。該半導體基底具有一半導體表面。該閘極結構具有一長度。該第一導電區電耦接該通道區。該接觸孔位於該第一導電區上方。其中該接觸孔的周邊被該第一導電區的外圍包圍。Another embodiment of the present invention discloses a transistor structure. The transistor structure includes a semiconductor substrate, a gate structure, a channel region, a first conductive region and a contact hole. The semiconductor base has a semiconductor surface. The gate structure has a length. The first conductive region is electrically coupled to the channel region. The contact hole is located above the first conductive region. Wherein the periphery of the contact hole is surrounded by the periphery of the first conductive region.

在本發明的另一個實施例中,該第一導電區的該外圍是一長方形。In another embodiment of the present invention, the periphery of the first conductive region is a rectangle.

在本發明的另一個實施例中,該接觸孔的長度小於一最小特徵長度。In another embodiment of the present invention, the length of the contact hole is less than a minimum characteristic length.

本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一接觸孔。該半導體基底具有一半導體表面。該通道區位於該閘極結構下方。該接觸孔位於該第一導電區上方。其中該接觸孔的長度小於一最小特徵長度。Another embodiment of the present invention discloses a transistor structure. The transistor structure includes a semiconductor substrate, a gate structure, a channel region, a first conductive region and a contact hole. The semiconductor base has a semiconductor surface. The channel region is located under the gate structure. The contact hole is located above the first conductive region. Wherein the length of the contact hole is less than a minimum characteristic length.

在本發明的另一個實施例中,在該閘極結構的一側壁和該接觸孔的一側壁之間的一水平距離小於該最小特徵長度,其中該接觸孔的該側壁是遠離該閘極結構的側壁。In another embodiment of the present invention, a horizontal distance between a sidewall of the gate structure and a sidewall of the contact hole is less than the minimum characteristic length, wherein the sidewall of the contact hole is away from the gate structure side wall.

在本發明的另一個實施例中,在該閘極結構的一側壁和該第一導電區的一側壁之間的一水平距離大約等於該最小特徵長度,其中該第一導電區的該側壁是遠離該閘極結構的側壁。In another embodiment of the present invention, a horizontal distance between a sidewall of the gate structure and a sidewall of the first conductive region is approximately equal to the minimum characteristic length, wherein the sidewall of the first conductive region is away from the sidewalls of the gate structure.

本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一隔離區、一第一間隔層、一第二間隔層、一第一導電區以及第一接觸孔。該半導體基底具有一半導體表面。該閘極結構具有一長度。該通道區位于該半導體表面下方。該第一隔離區從該半導體表面向上及向下延伸。第一間隔層覆蓋該閘極結構的一第一側壁,以及該第二間隔層覆蓋該第一隔離區的一側壁。該第一導電區電耦接該通道區,且位於該閘極結構和該第一隔離區之間。該第一接觸孔形成在該第一間隔層和該第二間隔層之間。Another embodiment of the present invention discloses a transistor structure. The transistor structure includes a semiconductor base, a gate structure, a channel region, a first isolation region, a first spacer layer, a second spacer layer, a first conductive region and a first contact hole. The semiconductor base has a semiconductor surface. The gate structure has a length. The channel region is located under the semiconductor surface. The first isolation region extends upward and downward from the semiconductor surface. The first spacer layer covers a first sidewall of the gate structure, and the second spacer layer covers a sidewall of the first isolation region. The first conductive region is electrically coupled to the channel region and is located between the gate structure and the first isolation region. The first contact hole is formed between the first spacer layer and the second spacer layer.

在本發明的另一個實施例中,該電晶體結構另包含一覆蓋層以及一第一金屬區。該覆蓋層覆蓋該閘極結構。該第一金屬區填充在該第一接觸孔內且接觸該第一導電區,該第一金屬區從該第一導電區向上延伸至一預定位置,其中該預定位置高于該覆蓋層的頂部。In another embodiment of the present invention, the transistor structure further includes a capping layer and a first metal region. The covering layer covers the gate structure. The first metal region is filled in the first contact hole and contacts the first conductive region, the first metal region extends upward from the first conductive region to a predetermined position, wherein the predetermined position is higher than the top of the covering layer .

在本發明的另一個實施例中,該第一金屬區的寬度實質上等於該第一接觸孔的長度加上一最小特徵長度。In another embodiment of the present invention, the width of the first metal region is substantially equal to the length of the first contact hole plus a minimum feature length.

在本發明的另一個實施例中,該電晶體結構另包含一第二隔離區以及一第二導電區。該第二隔離區從該半導體表面向上及向下延伸。第二導電區電耦接該通道區,且位於該閘極結構和該第二隔離區之間。In another embodiment of the present invention, the transistor structure further includes a second isolation region and a second conduction region. The second isolation region extends upward and downward from the semiconductor surface. The second conductive region is electrically coupled to the channel region and is located between the gate structure and the second isolation region.

在本發明的另一個實施例中,在該閘極結構的一第二側壁和該第二隔離區的一側壁之間的一水平距離實質上等於一最小特徵長度,其中該第一隔離區的該側壁是遠離該閘極結構的側壁。In another embodiment of the present invention, a horizontal distance between a second sidewall of the gate structure and a sidewall of the second isolation region is substantially equal to a minimum feature length, wherein the first isolation region The sidewall is a sidewall away from the gate structure.

在本發明的另一個實施例中,該電晶體結構另包含一第二接觸孔。該第二接觸孔位於該第二導電區上方,其中該第二接觸孔的長度小於一最小特徵長度。In another embodiment of the present invention, the transistor structure further includes a second contact hole. The second contact hole is located above the second conductive region, wherein the length of the second contact hole is less than a minimum feature length.

在本發明的另一個實施例中,該電晶體結構另包含一第三間隔層以及一第四間隔層。該第三間隔層覆蓋該閘極結構的一第二側壁。該第四間隔層覆蓋該第二隔離區的一側壁,其中該第二接觸孔是形成在該第三間隔層和該第四間隔層之間。In another embodiment of the present invention, the transistor structure further includes a third spacer layer and a fourth spacer layer. The third spacer layer covers a second sidewall of the gate structure. The fourth spacer layer covers a sidewall of the second isolation region, wherein the second contact hole is formed between the third spacer layer and the fourth spacer layer.

本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一第一隔離區。該半導體基底具有一半導體表面。該閘極結構具有一長度。該第一導電區電耦接該通道區。該第一隔離區位於該第一導電區旁邊。其中該第一導電區的長度是通過一單一光刻製程所控制,其中且單一光刻製程原本是用以定義該閘極結構的長度。Another embodiment of the present invention discloses a transistor structure. The transistor structure includes a semiconductor base, a gate structure, a channel region, a first conductive region and a first isolation region. The semiconductor base has a semiconductor surface. The gate structure has a length. The first conductive region is electrically coupled to the channel region. The first isolation region is located beside the first conductive region. The length of the first conductive region is controlled by a single photolithography process, and the single photolithography process is originally used to define the length of the gate structure.

在本發明的另一個實施例中,該第一導電區的長度等於或實質上等於一最小特徵長度。In another embodiment of the present invention, the length of the first conductive region is equal to or substantially equal to a minimum characteristic length.

本發明的另一實施例公開一種電晶體結構。該電晶體結構包含一半導體基底、一閘極結構、一通道區、一第一導電區以及一第一接觸孔。該半導體基底具有一半導體表面。該閘極結構具有一長度。該第一導電區電耦接該通道區。其中該第一接觸孔的周邊與一光刻製程無關。Another embodiment of the present invention discloses a transistor structure. The transistor structure includes a semiconductor base, a gate structure, a channel region, a first conductive region and a first contact hole. The semiconductor base has a semiconductor surface. The gate structure has a length. The first conductive region is electrically coupled to the channel region. Wherein the periphery of the first contact hole has nothing to do with a photolithography process.

在本發明的另一個實施例中,該第一接觸孔的長度小於一最小特徵長度。In another embodiment of the present invention, the length of the first contact hole is less than a minimum characteristic length.

在本發明的另一個實施例中,該第一導電區的長度等於或實質上等於該最小特徵長度。In another embodiment of the present invention, the length of the first conductive region is equal to or substantially equal to the minimum characteristic length.

在本發明的另一個實施例中,該第一接觸孔位於該第一導電區上方。In another embodiment of the present invention, the first contact hole is located above the first conductive region.

本發明公開一種可準確控制電晶體的源極(或汲極)的線性尺寸的新方法,其中該尺寸可小至最小特徵尺寸Lamda (λ),也就是說該電晶體可不用加上錯位公差Delta-Lamda (Δλ)而被印刷或製造在晶圓上(例如矽晶圓)。再者,在該電晶體汲極(或源極)內可以實現線性尺寸小於λ的接觸孔。因此,本發明産生了一種新具有最小特徵尺寸的源極和汲極的結構,其中該最小特徵尺寸是從該電晶體的閘極結構邊緣到該電晶體隔離區邊緣旁的源極(或汲極)邊緣,且在該源極和該汲極上具有線性尺寸小於λ的接觸孔。因此,本發明可避免該光刻光罩技術在形成該源極和該汲極時分別所造成的錯位公差。The present invention discloses a new method for accurately controlling the linear dimension of the source (or drain) of a transistor, wherein the dimension can be as small as the minimum feature dimension Lamda (λ), that is to say, the transistor does not need to add a misalignment tolerance Delta-Lamda (Δλ) is printed or fabricated on a wafer (such as a silicon wafer). Furthermore, a contact hole with a linear dimension smaller than λ can be realized in the drain (or source) of the transistor. Thus, the present invention results in a new source and drain structure with a minimum feature size from the edge of the gate structure of the transistor to the source (or drain) next to the edge of the transistor isolation region. pole) edge, and have contact holes with a linear dimension smaller than λ on the source and the drain. Therefore, the present invention can avoid the misalignment tolerance caused by the photolithography mask technology when forming the source electrode and the drain electrode respectively.

請參照第1圖。第1圖是本發明一實施例所公開的微型化的金氧半場效電晶體100的俯視圖。如第1圖所示,金氧半場效電晶體100包含:(1)一閘極結構101,其中閘極結構101具有長度G(L)和寬度G(W),(2)在閘極結構101左邊的是一源極103,其中源極103具有長度S(L)和寬度S(W),且長度S(L)是從閘極結構101的邊緣到一隔離區105的邊緣的線性尺寸,(3)在閘極結構101右邊的是一汲極107,其中汲極107具有長度D(L)和寬度D(W),且中長度D(L)是從閘極結構101的邊緣到隔離區105的邊緣的一線性尺寸,(4)在源極103的中央,是通過自對準技術(self-alignment technology)所形成的接觸孔109,其中接觸孔109的長度和寬度分別為C-S(L)和C-S(W),(5)同樣地,在汲極107的中央,是通過自對準技術所形成的接觸孔111,其中接觸孔111的長度和寬度分別為C-D(L)和C-D(W)。Please refer to Figure 1. FIG. 1 is a top view of a miniaturized metal oxide semiconductor field effect transistor 100 disclosed by an embodiment of the present invention. As shown in Figure 1, the metal oxide half field effect transistor 100 includes: (1) a gate structure 101, wherein the gate structure 101 has a length G (L) and a width G (W), (2) a gate structure On the left of 101 is a source 103, wherein the source 103 has a length S(L) and a width S(W), and the length S(L) is a linear dimension from the edge of the gate structure 101 to the edge of an isolation region 105 , (3) on the right side of the gate structure 101 is a drain 107, wherein the drain 107 has a length D (L) and a width D (W), and the middle length D (L) is from the edge of the gate structure 101 to A linear dimension of the edge of the isolation region 105, (4) in the center of the source electrode 103 is a contact hole 109 formed by self-alignment technology, wherein the length and width of the contact hole 109 are respectively C-S (L) and C-S(W) C-D(W).

要形成金氧半場效電晶體100,可利用一第一光刻製程來定義寬度G(W)和一主動區的偽長度(pseudo length),以及可利用一第二光刻製程來定義在該主動區內的長度G(L),其中該第二光刻製程更可利用來控制閘極結構101和隔離區105之間的長度S(L),在本發明的一實施例中,通過該第一光刻製程所定義的該主動區的偽長度大約為最小特徵長度λ的4倍。在本發明的一實施例中,長度G(L)可以等於或實質上等於最小特徵長度λ。當然在其他實施例中,長度G(L)可以大於最小特徵長度λ。To form the metal oxide semiconductor field effect transistor 100, a first photolithography process can be used to define the width G (W) and a pseudo length (pseudo length) of the active region, and a second photolithography process can be used to define the width G (W) in the active region. The length G(L) in the active region, wherein the second photolithography process can be used to control the length S(L) between the gate structure 101 and the isolation region 105, in an embodiment of the present invention, through the The pseudo-length of the active region defined by the first photolithography process is about 4 times of the minimum characteristic length λ. In an embodiment of the present invention, the length G(L) may be equal to or substantially equal to the minimum characteristic length λ. Of course, in other embodiments, the length G(L) may be greater than the minimum characteristic length λ.

本發明的第一個特徵是長度S(L)和長度D(L)都可根據目標尺寸被準確的設計和定義,其中該目標尺寸可被製造在晶圓的表面上,而且不會被無法避免的光刻錯位公差(photolithographic Misalignment Tolerances, PMT)所影響。The first feature of the present invention is that both the length S(L) and the length D(L) can be accurately designed and defined according to the target size which can be fabricated on the surface of the wafer without being impossible Avoid the influence of photolithographic misalignment tolerances (photolithographic Misalignment Tolerances, PMT).

本發明的第二個特徵是長度S(L)和長度D(L)都可和最小特徵長度λ一樣小,該最小特徵長度是在一製程節點所定義的一特定製程限制(例如,最小特徵長度λ在7奈米節點是7奈米,或在28奈米節點是28奈米,或在180奈米節點是180奈米)。A second feature of the present invention is that both the length S(L) and the length D(L) can be as small as the minimum characteristic length λ, which is a specific process constraint defined at a process node (e.g., the minimum characteristic length The length λ is 7 nm at the 7 nm node, or 28 nm at the 28 nm node, or 180 nm at the 180 nm node).

本發明的第三個特徵是如果長度G(L)是設計為λ,則沿著金氧半場效電晶體100的長度方向的最小尺寸(也就是從源極103的左邊緣到汲極107的右邊緣之間的距離)可以小到3λ(也就是1λ是長度S(L),1λ是長度D(L),以及1λ是長度G(L))。然後金氧半場效電晶體100沿著該長度方向的線性尺寸可達到微型化,其他當金氧半場效電晶體100在沿著該長度方向的線性尺寸不包含隔離區105時,金氧半場效電晶體100在沿著該長度方向的線性尺寸減小至只有3λ。The third feature of the present invention is that if the length G (L) is designed as λ, then the minimum dimension along the length direction of the metal oxide semiconductor field effect transistor 100 (that is, the distance from the left edge of the source electrode 103 to the drain electrode 107 The distance between the right edges) can be as small as 3λ (ie 1λ is the length S(L), 1λ is the length D(L), and 1λ is the length G(L)). Then the linear dimension of the metal oxide half field effect transistor 100 along the length direction can be miniaturized, otherwise when the linear dimension of the metal oxide half field effect transistor 100 along the length direction does not include the isolation region 105, the metal oxide half field effect transistor 100 The linear dimension of transistor 100 is reduced to only 3λ along the length.

本發明的第四個特徵是長度S(L)和長度D(L)可以創造接觸孔109的較窄的長度C-S(L)和接觸孔111的較窄的長度C-D(L),而不用受到該光刻錯位公差的限制(因為製造接觸孔109和接觸孔111的大部分關鍵光罩步驟被排除了),其他長度S(L)和長度D(L)可被自對準技術(self-alignment technology)所明確定義。再者,第一金屬層(metal-1)的沉積互連層可被該光刻光罩技術(photolithographic masking technique)有效的定義以達到該第一金屬層較窄的寬度(也就是該接觸孔開口和該光刻錯位公差的兩倍的總和),其中該沉積互連層可以充分的填入接觸孔109和接觸孔111以製造分別連接該第一金屬層到源極103和汲極107天然的金屬接觸點。A fourth feature of the present invention is that the length S(L) and the length D(L) can create the narrower length C-S(L) of the contact hole 109 and the narrower length C-D(L) of the contact hole 111 without being affected by This limitation of lithographic misalignment tolerance (since most of the critical photomask steps of making contact holes 109 and contact holes 111 are eliminated), other lengths S(L) and D(L) can be obtained by self-alignment techniques (self- alignment technology) clearly defined. Furthermore, the deposited interconnect layer of the first metal layer (metal-1) can be effectively defined by the photolithographic masking technique to achieve the narrower width of the first metal layer (ie, the contact hole opening and twice the lithographic misalignment tolerance), wherein the deposited interconnect layer can sufficiently fill the contact hole 109 and the contact hole 111 to make a natural connection between the first metal layer to the source 103 and the drain 107, respectively. metal contact points.

如前述的發明,金氧半場效電晶體結構的最小元件長度尺寸(包含隔離區和該第一金屬層的互連)可以被微型化,而不用被無法避免的該光刻錯位公差擴大。As in the aforementioned invention, the minimum element length dimension of the MOSFET structure (including the isolation region and the interconnection of the first metal layer) can be miniaturized without being enlarged by the unavoidable lithography misalignment tolerance.

請參照第2A、2B、2C、2D、2E、2F、3、4、6、7、8、9、10、11、12、13、14、15、16、17、18、19圖。第2A圖是本發明的另一實施例所公開的一微型化的金氧半場效電晶體的製造方法的流程圖。其中在第2A圖中的該金氧半場效電晶體的製造方法可準確控制該金氧半場效電晶體的源極和汲極的長度。該製造方法的詳細步驟如下:Please refer to Figures 2A, 2B, 2C, 2D, 2E, 2F, 3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19. FIG. 2A is a flow chart of a manufacturing method of a miniaturized metal oxide semiconductor field effect transistor disclosed by another embodiment of the present invention. The manufacturing method of the metal oxide half field effect transistor in Fig. 2A can accurately control the lengths of the source and the drain of the metal oxide half field effect transistor. The detailed steps of the manufacturing method are as follows:

步驟10:開始;Step 10: start;

步驟20:在基底102上形成一主動區和一溝槽結構;Step 20: forming an active region and a trench structure on the substrate 102;

步驟30:在基底102的水平矽表面(horizontal silicon surface, HSS)上形成偽屏蔽閘極(dummy shield gate)和該金氧半場效電晶體的一真閘極(true gate);Step 30: forming a dummy shield gate (dummy shield gate) and a true gate (true gate) of the MOSFET on the horizontal silicon surface (horizontal silicon surface, HSS) of the substrate 102;

步驟40:用隔離區取代該偽屏蔽閘極以定義該金氧半場效電晶體的源極/汲極的邊界;Step 40: replacing the dummy shield gate with an isolation region to define a source/drain boundary of the MOSFET;

步驟50:形成該金氧半場效電晶體的該源極和該汲極;Step 50: forming the source and the drain of the MOSFET;

步驟60:在該源極和該汲極的邊界內形成較小的接觸孔,以及形成第一金屬層互連以通過該接觸孔接觸到該源極或該汲極;Step 60: forming a smaller contact hole within the boundary of the source and the drain, and forming a first metal layer interconnect to contact the source or the drain through the contact hole;

步驟70:結束。Step 70: end.

請參照第2B圖和第3、4圖。步驟20可包含:Please refer to Figure 2B and Figures 3 and 4. Step 20 may include:

步驟202:在基底102上形成一襯墊氧化層302以及沉積一襯墊氮化層304;Step 202: forming a pad oxide layer 302 and depositing a pad nitride layer 304 on the substrate 102;

步驟204:定義該金氧半場效電晶體的主動區,以及移除該主動區外的部分矽材料以製造該溝槽結構;Step 204: defining the active region of the MOSFET, and removing part of the silicon material outside the active region to manufacture the trench structure;

步驟206:在該溝槽結構中沉積一第一氧化層,以及回蝕該第一氧化層以在水平矽表面HSS下方形成一淺溝槽隔離-第一氧化層306(shallow trench isolation-oxide-1, STI-oxide-1);Step 206: Deposit a first oxide layer in the trench structure, and etch back the first oxide layer to form a shallow trench isolation-first oxide layer 306 (shallow trench isolation-oxide- 1, STI-oxide-1);

步驟207:移除襯墊氧化層302和襯墊氮化層304,以及在水平矽表面HSS上方形成一介電絕緣層402。Step 207 : remove the pad oxide layer 302 and the pad nitride layer 304 , and form a dielectric insulating layer 402 on the horizontal silicon surface HSS.

請參照第2C圖和第6圖。步驟30可包含:Please refer to Figure 2C and Figure 6. Step 30 may include:

步驟208:在水平矽表面HSS上方沉積一閘極層602和一氮化層604;Step 208: depositing a gate layer 602 and a nitride layer 604 on the horizontal silicon surface HSS;

步驟210:蝕刻閘極層602和氮化層604以形成該金氧半場效電晶體的真閘極和僞屏蔽閘極,其中該僞屏蔽閘極到該真閘極之間具有一所需的線性距離。Step 210: Etching the gate layer 602 and the nitride layer 604 to form a true gate and a pseudo-shielded gate of the metal oxide semiconductor field effect transistor, wherein there is a required gap between the pseudo-shielded gate and the true gate. linear distance.

請參照第2D圖和第7、8、9、10圖。步驟40可包含:Please refer to Figure 2D and Figures 7, 8, 9, and 10. Step 40 may include:

步驟212:沉積一旋塗介電層(spin-on dielectrics, SOD)702,然後回蝕旋塗介電層702;Step 212: Deposit a spin-on dielectric layer (spin-on dielectrics, SOD) 702, and then etch back the spin-on dielectric layer 702;

步驟214:通過該光刻光罩技術形成一設計良好的閘極光罩層802;Step 214: Forming a well-designed gate mask layer 802 through the photolithography mask technology;

步驟216:利用異向性蝕刻技術(anisotropic etching technique)移除偽屏蔽閘極DSG上的氮化層604,以及移除偽屏蔽閘極DSG、對應偽屏蔽閘極DSG的介電絕緣層402和對應偽屏蔽閘極DSG的基底102;Step 216: using anisotropic etching technique to remove the nitride layer 604 on the dummy shielded gate DSG, and remove the dummy shielded gate DSG, the dielectric insulating layer 402 corresponding to the dummy shielded gate DSG and Substrate 102 corresponding to the dummy shielded gate DSG;

步驟218:移除閘極光罩層802,蝕刻旋塗介電層702,以及沉積一第二氧化層,然後回蝕該第二氧化層以形成淺溝槽隔離-第二氧化層1002。Step 218 : remove the gate mask layer 802 , etch the spin-on dielectric layer 702 , deposit a second oxide layer, and then etch back the second oxide layer to form the STI-second oxide layer 1002 .

請參照第2E圖和第15、16、17圖。步驟50可包含:Please refer to Figure 2E and Figures 15, 16, and 17. Step 50 may include:

步驟220:沉積以及回蝕一第三氧化層以形成一第三氧化間隔層1502,在基底102中形成輕摻雜汲極(lightly doped drain, LDD)1504,沉積以及回蝕一氮化層以形成一氮化間隔層1506,以及移除介電絕緣層402;Step 220: Deposit and etch back a third oxide layer to form a third oxide spacer layer 1502, form a lightly doped drain (LDD) 1504 in the substrate 102, deposit and etch back a nitride layer to forming a nitride spacer layer 1506, and removing the dielectric insulating layer 402;

步驟222:利用一選擇性外延生長(selective epitaxy growth, SEG)技術生成一本質矽(intrinsic silicon)1602;Step 222: using a selective epitaxy growth (SEG) technique to generate an intrinsic silicon (intrinsic silicon) 1602;

步驟224:沉積以及回蝕一化學氣相沉積-淺溝槽隔離-第三氧化層1702,移除本質矽1602,以及形成該金氧半場效電晶體的源極(n+源極)1704和汲極(n+汲極)1706。Step 224: Deposit and etch back a chemical vapor deposition-shallow trench isolation-third oxide layer 1702, remove the intrinsic silicon 1602, and form the source (n+source) 1704 and drain of the metal oxide semiconductor field effect transistor pole (n+drain) 1706.

請參照第2F圖和第18、19圖。步驟60可包含:Please refer to Figure 2F and Figures 18 and 19. Step 60 may include:

步驟226:沉積以及蝕刻一氧化間隔層1802以在源極(n+源極)1704和汲極(n+汲極)1706上形成接觸孔開口(contact-hole openings);Step 226: Deposit and etch an oxide spacer 1802 to form contact-hole openings on the source (n+source) 1704 and drain (n+drain) 1706;

步驟228:沉積以及蝕刻一第一金屬層1902以形成該第一金屬層互連。Step 228: Deposit and etch a first metal layer 1902 to form the first metal layer interconnect.

第一部分:利用閘極光罩上添加的偽屏蔽閘極Part 1: Using the pseudo-shielded gate added on the gate mask (dummy-shield-gate, DSG)(dummy-shield-gate, DSG) 幷通過避免該光刻錯位公差以實現從該柵極的邊緣到該源極和該隔離區之間的邊界邊緣的設計距離And by avoiding the lithographic misalignment tolerance to achieve the design distance from the edge of the gate to the boundary edge between the source and the isolation region GEBESIGEBESI 。同樣地,從該柵極的邊緣到該汲極和該隔離區之間的邊界邊緣也有一設計距離. Likewise, there is also a design distance from the edge of the gate to the boundary edge between the drain and the isolation region GEBEDIGEBEDI .

以n型金氧半場效電晶體爲例,基底102可以是p型基底,前述製造方法的詳細說明如下。從步驟20開始,請參照第2B圖和第3、4圖。在步驟202中,襯墊氧化層302在基底102的水平矽表面HSS上方形成,然後在襯墊氧化層302上方沉積襯墊氮化層304。Taking an n-type metal oxide semiconductor field effect transistor as an example, the substrate 102 may be a p-type substrate, and the detailed description of the aforementioned manufacturing method is as follows. Beginning with step 20, refer to Figure 2B and Figures 3 and 4. In step 202 , a pad oxide layer 302 is formed over the horizontal silicon surface HSS of the substrate 102 , and then a pad nitride layer 304 is deposited over the pad oxide layer 302 .

在步驟204中,該金氧半場效電晶體的主動區可以被該光刻光罩技術定義,導致該主動區外的水平矽表面HSS被曝露。因為該主動區外的水平矽表面HSS被曝露,所以可通過該異向性蝕刻技術移除該主動區外的部分矽材料以製造該溝槽結構。In step 204, the active region of the MOSFET can be defined by the photolithography mask technique, resulting in the exposed horizontal silicon surface HSS outside the active region. Since the horizontal silicon surface HSS outside the active region is exposed, part of the silicon material outside the active region can be removed by the anisotropic etching technique to manufacture the trench structure.

在步驟206中,沉積該第一氧化層以填滿該溝槽結構,然後回蝕該第一氧化層被以在水平矽表面HSS下方形成淺溝槽隔離-第一氧化層306,如第4圖所示。第4圖是沿第3圖所示的X軸方向的橫截面圖。另外,因為第3圖是俯視圖,所以第3圖只示出襯墊氮化層304和淺溝槽隔離-第一氧化層306。然後,在步驟207中,在該主動區上的襯墊氧化層302和襯墊氮化層304被移除,以及在水平矽表面HSS上方形成介電絕緣層402(具有高介電常數)。In step 206, the first oxide layer is deposited to fill the trench structure, and then the first oxide layer is etched back to form shallow trench isolation-first oxide layer 306 below the horizontal silicon surface HSS, as in step 4. As shown in the figure. Fig. 4 is a cross-sectional view along the X-axis direction shown in Fig. 3 . In addition, because FIG. 3 is a top view, only the pad nitride layer 304 and the STI-first oxide layer 306 are shown in FIG. 3 . Then, in step 207, the pad oxide layer 302 and the pad nitride layer 304 on the active region are removed, and a dielectric insulating layer 402 (with a high dielectric constant) is formed over the horizontal silicon surface HSS.

第5圖是說明以較小尺寸實現閘極與電晶體隔離區之間幾何關係的現有技術的示意圖。在水平矽表面HSS上方形成介電絕緣層402之後,一閘極層404(金屬閘極)沉積在介電絕緣層402上方。然後具有良好設計厚度的氮化層406(氮化帽層)沉積在閘極層404上。接著,如第5圖所示,利用該光刻光罩技術來定義閘極結構1,其中閘極結構1包含閘極層404和氮化層406以使閘極結構1具有適當金屬閘極材料,且該金屬閘極材料可提供金屬絕緣體到基板102所需的功函數以實現該金氧半場效電晶體合適的臨界電壓。另外,因為淺溝槽隔離-第一氧化層306是形成在水平矽表面HSS下方,所以可形成三閘極電晶體(Tri-gate FET)結構或鰭式場效應電晶體(fin field-effect transistor, FinFET)結構(如第5圖所示)。FIG. 5 is a schematic diagram illustrating a prior art technique for realizing the geometrical relationship between the gate and the transistor isolation region with a smaller size. After forming the dielectric insulating layer 402 over the horizontal silicon surface HSS, a gate layer 404 (metal gate) is deposited over the dielectric insulating layer 402 . A nitride layer 406 (nitride cap layer) with a well-designed thickness is then deposited on the gate layer 404 . Next, as shown in FIG. 5, the photolithographic mask technology is used to define the gate structure 1, wherein the gate structure 1 includes a gate layer 404 and a nitride layer 406 so that the gate structure 1 has a suitable metal gate material , and the metal gate material can provide the required work function of the metal insulator to the substrate 102 to achieve a proper threshold voltage of the metal oxide semiconductor field effect transistor. In addition, because the shallow trench isolation-first oxide layer 306 is formed under the horizontal silicon surface HSS, a tri-gate transistor (Tri-gate FET) structure or a fin field-effect transistor (fin field-effect transistor, FinFET) structure (as shown in Figure 5).

在利用該第一光刻製程來定義該主動區的一偽長度和利用該第二光刻製程來定義該主動區的長度G(L)之後,從閘極結構1的邊緣到該金氧半場效電晶體的源極和該淺溝槽隔離之間的邊界邊緣的距離(稱為GEBESI)可被定義(如第5圖所示)。同理從該閘極結構的邊緣到該金氧半場效電晶體的汲極和該淺溝槽隔離之間的邊界邊緣的距離(稱為GEBEDI)也可被定義。After using the first photolithography process to define a dummy length of the active region and using the second photolithography process to define the length G(L) of the active region, from the edge of the gate structure 1 to the metal oxide half field The distance between the source of the effective transistor and the boundary edge of the shallow trench isolation (called GEBESI) can be defined (as shown in Figure 5). Similarly, the distance from the edge of the gate structure to the boundary edge between the drain of the MOSFET and the shallow trench isolation (referred to as GEBEDI) can also be defined.

然而,如第5圖所示,在利用該光刻光罩技術對準閘極結構1的邊緣以及該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)和淺溝槽隔離-第一氧化層306之間的邊界邊緣時,會存在一無法避免的不理想因素,稱為該光刻錯位公差。如果沿該X軸方向所測量的該光刻錯位公差的線性尺寸爲Δλ,則Δλ應與受特定製程節點可用的設備的光刻解析度所規定的最小特徵尺寸有關。例如,7奈米製程節點應有的最小特徵尺寸λ等於7奈米以及光刻錯位公差Δλ可為3.5奈米。因此,如果該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)所想要的實際尺寸被定為λ(例如7奈米),則在現有技術的製程方法中,該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)的所需長度必須大於λ和Δλ的總和(例如大於10.5奈米)。However, as shown in FIG. 5, after using the photolithographic mask technology to align the edge of the gate structure 1 and the source of the metal oxide half field effect transistor (or the drain electrode of the metal oxide half field effect transistor) and When STI-first oxide layer 306 is separated from the boundary edge, there will be an unavoidable non-ideal factor, which is called the lithography dislocation tolerance. If the linear dimension of the lithographic misalignment tolerance measured along the X-axis direction is Δλ, then Δλ should be related to the minimum feature size dictated by the lithographic resolution of the equipment available at a particular process node. For example, the 7nm process node should have a minimum feature size λ equal to 7nm and a lithographic misalignment tolerance Δλ of 3.5nm. Therefore, if the desired actual size of the source electrode of the MOS field effect transistor (or the drain electrode of the MOS field effect transistor) is determined as λ (for example, 7 nanometers), then in the prior art process method Among them, the desired length of the source of the MOSFET (or the drain of the MOSFET) must be greater than the sum of λ and Δλ (for example, greater than 10.5 nm).

因此,本發明利用一種新的結構來排除該光刻錯位公差所造成的負面影響。也就是說從該閘極結構的邊緣到該金氧半場效電晶體的源極和該淺溝槽隔離之間的邊界邊緣的距離GEBESI(或從該閘極結構的邊緣到該金氧半場效電晶體的汲極和該淺溝槽隔離之間的邊界邊緣的距離GEBEDI)的任何尺寸都可以被實現,而不需要在沿該金氧半場效電晶體的長度方向(也就是如第4、5圖所示的X軸方向)預留額外的尺寸給該光刻錯位公差。Therefore, the present invention utilizes a new structure to eliminate the negative effect caused by the photolithography misalignment tolerance. That is to say, the distance GEBESI from the edge of the gate structure to the boundary edge between the source of the MOS FET and the shallow trench isolation (or from the edge of the gate structure to the MOS FET Any size of the distance (GEBEDI) of the boundary edge between the drain electrode of the transistor and the boundary edge between the shallow trench isolation can be realized, and does not need to be along the length direction of the metal-oxide-semiconductor field-effect transistor (that is, as in the 4th, 5 shown in the X-axis direction) to reserve additional dimensions for the photolithography misalignment tolerance.

在步驟208中,如第6圖所示,在水平矽表面HSS上方形成介電絕緣層402之後,沉積閘極層602和氮化層604。然後在步驟210中,蝕刻閘極層602和氮化層604以形成該閘極結構(其中閘極層602可以是該金氧半場效電晶體的閘極結構)。第6圖所示的新結構和第5圖所示的結構之間主要的差異在於當該金氧半場效電晶體的真閘極TG被該光刻光罩技術定義時,平行於真閘極TG的偽屏蔽閘極DSG也可依需求被定義,以致于目標線性距離(例如λ,在7奈米製程節點中為7奈米)可存在於偽屏蔽閘極DSG和真閘極TG之間,而不需要保留任何額外的尺寸(也就是Δλ)給該光刻錯位公差。被設計在同一光罩上的偽屏蔽閘極DSG和真閘極TG可以同時在覆蓋該主動區的介電絕緣層402的頂部形成。另外,如第6圖所示,真閘極TG2、TG3是對應於其他金氧半場效電晶體。In step 208 , as shown in FIG. 6 , after forming the dielectric insulating layer 402 over the horizontal silicon surface HSS, a gate layer 602 and a nitride layer 604 are deposited. Then in step 210, the gate layer 602 and the nitride layer 604 are etched to form the gate structure (wherein the gate layer 602 may be the gate structure of the MOSFET). The main difference between the new structure shown in Fig. 6 and the structure shown in Fig. 5 is that when the true gate TG of the MOSFET is defined by the photolithography mask technology, parallel to the true gate The dummy shielded gate DSG of the TG can also be defined as required so that a target linear distance (eg λ, 7nm in the 7nm process node) can exist between the dummy shielded gate DSG and the true gate TG , without reserving any additional dimension (ie, Δλ) for the lithographic misalignment tolerance. The dummy shield gate DSG and the true gate TG designed on the same mask can be simultaneously formed on top of the dielectric insulating layer 402 covering the active region. In addition, as shown in FIG. 6, the true gates TG2 and TG3 correspond to other metal-oxide-semiconductor field-effect transistors.

接下來的步驟是說明如何利用提高至水平矽表面HSS上方的隔離區取代偽屏蔽閘極DSG。在步驟212中,如第7圖所示,沉積旋塗介電層702,然後利用化學機械研磨(chemical mechanical polishing, CMP))技術回蝕旋塗介電層702以使旋塗介電層702的頂部與氮化層604的頂部一樣高。The next step is to illustrate how to replace the dummy shielded gate DSG with an isolation region raised above the horizontal silicon surface HSS. In step 212, as shown in FIG. 7, a spin-on dielectric layer 702 is deposited, and then the spin-on dielectric layer 702 is etched back using a chemical mechanical polishing (CMP) technique to make the spin-on dielectric layer 702 The top of is as high as the top of nitride layer 604.

在步驟214中,如第8圖所示,沉積閘極光罩層802,然後通過該光刻光罩技術蝕刻閘極光罩層802以完成覆蓋真閘極TG、TG2、TG3但暴露出僞屏蔽閘極DSG的目標,其中暴露出的僞屏蔽閘極DSG分別在距離GEBESI和距離GEBEDI的長度的中間具有安全的光刻錯位公差Δλ。In step 214, as shown in FIG. 8, the gate mask layer 802 is deposited, and then the gate mask layer 802 is etched by the photolithography mask technology to cover the real gate TG, TG2, TG3 but expose the pseudo-shielding gate. The target of the pole DSG, where the exposed dummy shield gate DSG has a safe lithographic misalignment tolerance Δλ in the middle of the lengths of distance GEBESI and distance GEBEDI, respectively.

爲了清楚說明,在第8圖中,在閘極光罩層802下的真閘極TG與左邊的偽屏蔽閘極DSG之間的距離可標記為GEBESI,以及在閘極光罩層802下的真閘極TG與右邊的偽屏蔽閘極DSG之間的距離可標記為GEBEDI。因為在用接下來第9-10圖所示的隔離區替換偽屏蔽閘極DSG之後,第8圖中真閘極TG和偽屏蔽閘極DSG之間的距離將會變成從真閘極TG的邊緣到該金氧半場效電晶體的源極(或該金氧半場效電晶體的汲極)和該隔離區之間的邊界邊緣的距離,也就是之前在第5圖所述的GEBESI (或GEBEDI)。For clarity, in Figure 8, the distance between the true gate TG under the gate reticle layer 802 and the dummy shielded gate DSG on the left can be denoted as GEBESI, and the true gate TG under the gate reticle layer 802 The distance between pole TG and the dummy shield gate DSG on the right can be denoted as GEBEDI. Because after replacing the dummy shielded gate DSG with the isolation region shown in the next figure 9-10, the distance between the real gate TG and the dummy shielded gate DSG in figure 8 will become from the real gate TG The distance from the edge to the boundary edge between the source of the MOS field effect transistor (or the drain of the MOS field effect transistor) and the isolation region, that is, the GEBESI (or GEBEDI).

在步驟216中,如第9(a)圖所示,可利用該異向性蝕刻技術來蝕刻僞屏蔽閘極DSG和對應偽屏蔽閘極DSG的氮化層604,還可用來蝕刻對應偽屏蔽閘極DSG的介電絕緣層402以到達水平矽表面HSS。然後利用該異向性蝕刻技術來移除位于水平矽表面HSS下方的基底102的矽材料以在水平矽表面HSS下方形成一溝槽902,其中溝槽902的深度可以等於淺溝槽隔離-第一氧化層306的底部的深度。因此,如第9(a)圖所示,分別在創造精準控制的距離GEBESI和距離GEBEDI時避免了該光刻錯位公差。因為通過在同一光罩上的真閘極TG和偽屏蔽閘極DSG良好定義距離GEBESI和距離GEBEDI的長度,所以第1圖所示的源極的長度S(L)和汲極的長度D(L)都可被良好的定義。也就是說該單一光刻光罩技術不僅用來定義真閘極TG和偽屏蔽閘極DSG,還可用來控制距離GEBESI和距離GEBEDI的長度。因此,長度S(L)和長度D(L)的尺寸可被準確地控制,甚至可以達到和最小特徵尺寸λ一樣小的最佳微型化尺寸。因為長度S(L)和長度D(L)可以等於λ,所以長度S(L)和長度D(L)實質上等於真閘極TG(也就是該閘極結構)的長度。另外,第9(b)圖是對應第9(a)圖的俯視圖。In step 216, as shown in FIG. 9(a), the anisotropic etching technique can be used to etch the dummy shielded gate DSG and the nitride layer 604 corresponding to the dummy shielded gate DSG, and can also be used to etch the corresponding dummy shielded gate DSG. The dielectric insulating layer 402 of the gate DSG reaches the horizontal silicon surface HSS. Then use the anisotropic etching technique to remove the silicon material of the substrate 102 under the horizontal silicon surface HSS to form a trench 902 under the horizontal silicon surface HSS, wherein the depth of the trench 902 can be equal to the shallow trench isolation-th The depth of the bottom of the oxide layer 306 . Therefore, as shown in Fig. 9(a), this lithographic misalignment tolerance is avoided in creating the precisely controlled distance GEBESI and distance GEBEDI, respectively. Since the lengths of the distance GEBESI and the distance GEBEDI are well defined by the true gate TG and the dummy shielded gate DSG on the same reticle, the length S(L) of the source and the length D( L) can be well defined. That is to say, the single photolithography mask technology is not only used to define the true gate TG and the dummy shielded gate DSG, but also can be used to control the lengths of the distance GEBESI and the distance GEBEDI. Therefore, the dimensions of the length S(L) and the length D(L) can be accurately controlled, even reaching an optimal miniaturized size as small as the minimum feature size λ. Since the length S(L) and the length D(L) can be equal to λ, the length S(L) and the length D(L) are substantially equal to the length of the true gate TG (ie, the gate structure). In addition, Fig. 9(b) is a plan view corresponding to Fig. 9(a).

在步驟218中,如第10(a)圖所示,移除閘極光罩層802和旋塗介電層702,然後沉積第二氧化層以填滿溝槽902和水平矽表面HSS的其他空缺,接著該第二氧化層可被回蝕至和水平矽表面HSS一樣的表面高度以形成淺溝槽隔離-第二氧化層1002。第10(b)圖是對應第10(a)圖的俯視圖。In step 218, as shown in FIG. 10(a), the gate mask layer 802 and the spin-on dielectric layer 702 are removed, and then a second oxide layer is deposited to fill the trenches 902 and other voids in the horizontal silicon surface HSS , and then the second oxide layer can be etched back to the same surface height as the horizontal silicon surface HSS to form STI-second oxide layer 1002 . Fig. 10(b) is a plan view corresponding to Fig. 10(a).

因此,暫時形成的偽屏蔽閘極DSG可以被淺溝槽隔離-第二氧化層1002取代以定義該源極/汲極的邊界。然後可利用任何能形成輕摻雜汲極(lightly doped drain, LDD)、圍繞真閘極TG的間隔層、該源極以及該汲極的現有技術來完成該金氧半場效電晶體,其中可分別根據被準確控制的距離GEBESI和距離GEBEDI形成該源極和該汲極。Therefore, the temporarily formed dummy shielding gate DSG can be replaced by shallow trench isolation-second oxide layer 1002 to define the source/drain boundary. The MOSFET can then be completed using any prior art technique capable of forming a lightly doped drain (LDD), a spacer surrounding the true gate TG, the source, and the drain, wherein The source and the drain are formed according to the accurately controlled distance GEBESI and the distance GEBEDI, respectively.

第二部分:利用偽屏蔽閘極Part II: Utilizing Pseudo-Shielded Gates DSGDSG 設計原則,通過自適應的偽屏蔽閘極設計來分別達到距離Design principles, through adaptive pseudo-shielded gate design to achieve the distance GEBESIGEBESI 和距離and distance GEBEDIGEBEDI 的目標長度以用於可變形狀的主動區The target length for variable-shape active regions (( 在一主動區in an active zone (AA)(AA) 光罩上On the mask )) .

因為電晶體的一隔離區的形狀以及該隔離區在該電晶體和鄰近電晶體之間的位置可能有相當多種(甚至在上述的實施例中也是如此),以下將描述另一種結構,其是通過擴展上述實施例的原理來設計一種自適應的偽屏蔽閘極。Since the shape of an isolation region of a transistor and the location of the isolation region between the transistor and an adjacent transistor may vary considerably (even in the embodiments described above), another structure will be described below, which is An adaptive pseudo-shielded gate is designed by extending the principles of the above embodiments.

第11圖是說明一種鄰近電晶體的主動區的佈置幾何條件,其中該鄰近電晶體的主動區的佈置幾何條件是不同於第6圖。例如,如第6圖所示,在真閘極TG、真閘極TG2、真閘極TG3和偽屏蔽閘極DSG沉積之前,鄰近電晶體的相鄰主動區是相連的。然後可通過偽屏蔽閘極DSG的長度將相連的主動區分割成個別的精確目標距離。但是如第11圖所示,假設在電晶體的真閘極被定義之前和之後,在該電晶體的源極(或汲極)上的主動區已經通過隔離區1102與任何其他主動區完全隔離的。因此,如下所述,在此要提出的是如何設計在源極上的主動區以及自適應的僞屏蔽閘極DSG(汲極也是如此)。例如,如果距離GEBESI的最後長度定訂為λ(或任何其他目標長度L(S)),則對應於距離GEBESI的主動區光罩(AA mask)的長度應該設計為等於λ和Δλ的總和(或長度L(S)和Δλ的總和)。然後在閘極光罩上,偽屏蔽閘極DSG可以具有如第11圖所示的形狀,也就是說偽屏蔽閘極DSG的矩形形狀的長度等於λ,寬度等於該主動區的寬度與2Δλ之總和(每邊分別共享0.5Δλ)。另外,在該源極側上的真閘極TG和偽屏蔽閘極DSG之間的設計距離仍然正好是距離GEBESI的長度(例如λ)。FIG. 11 illustrates an arrangement geometric condition of an active region adjacent to a transistor, wherein the arrangement geometric condition of the active region adjacent to a transistor is different from FIG. 6 . For example, as shown in FIG. 6, adjacent active regions of adjacent transistors are connected before the deposition of the true gate TG, true gate TG2, true gate TG3, and dummy shield gate DSG. The connected active regions can then be segmented into individual precise target distances by the length of the dummy shield gate DSG. But as shown in Figure 11, assume that the active region on the source (or drain) of the transistor has been completely isolated from any other active region by isolation region 1102 before and after the true gate of the transistor is defined of. Therefore, what is proposed here is how to design the active region on the source and the adaptive dummy shielded gate DSG (and the drain as well), as described below. For example, if the final length of the distance GEBESI is determined to be λ (or any other target length L(S)), the length of the active area mask (AA mask) corresponding to the distance GEBESI should be designed to be equal to the sum of λ and Δλ ( or the sum of length L(S) and Δλ). Then on the gate photomask, the dummy shielded gate DSG can have the shape shown in Figure 11, that is to say the length of the rectangular shape of the dummy shielded gate DSG is equal to λ, and the width is equal to the sum of the width of the active region and 2Δλ (each side shares 0.5Δλ separately). In addition, the designed distance between the true gate TG and the dummy shielded gate DSG on the source side is still exactly the length (eg λ) of the distance GEBESI.

從第11圖的主動區和閘極的光罩階段到晶圓階段所導出的結果將描繪在第12圖。如第12圖所示,當真閘極TG被該光刻光罩技術定義時,偽屏蔽閘極DSG被設計平行於真閘極TG,且偽屏蔽閘極DSG和真閘極TG之間具有一目標距離(例如λ,其中λ在7奈米製程節點為7奈米)。經過名義上製程的結果(也就是沒有明顯的錯位被引入在該光刻製程中),偽屏蔽閘極DSG覆蓋了距離Δλ的該主動區(對應於該源極),且真閘極TG和偽屏蔽閘極DSG都被設置在覆蓋該主動區的介電絕緣層402的上方。另外,在真閘極TG和偽屏蔽閘極DSG的上方都有氮化帽層(也就是氮化層604)。The results derived from the active area and gate of Figure 11 from the mask stage to the wafer stage are depicted in Figure 12. As shown in Figure 12, when the true gate TG is defined by the lithography mask technology, the dummy shielded gate DSG is designed to be parallel to the real gate TG, and there is a gap between the dummy shielded gate DSG and the true gate TG. Target distance (e.g. λ, where λ is 7nm at the 7nm process node). As a result of the nominal process (that is, no significant dislocations are introduced in the lithographic process), the dummy shielded gate DSG covers the active region (corresponding to the source) at a distance Δλ, and the true gate TG and The dummy shield gates DSG are all disposed above the dielectric insulating layer 402 covering the active region. In addition, there is a nitride cap layer (that is, a nitride layer 604 ) above the real gate TG and the dummy shield gate DSG.

如第13圖所示,如果該光刻錯位公差對真閘極TG和偽屏蔽閘極DSG都造成往該主動區右邊的位移(例如Δλ),則接下來的製程是移除偽屏蔽閘極DSG以實現隔離區STI-oxide-2(也就是淺溝槽隔離-第二氧化層1002),其中隔離區STI-oxide-2的位置恰好是在第一部份的製程步驟中所描述的原先存在的偽屏蔽閘極DSG的位置。另外,該接下來的製程可以使隔離區STI-oxide-2的長度為λ,且隔離區STI-oxide-2可成為該源極的物理幾何形狀,其中真閘極TG和該源極之間的距離GEBESI的長度等於λ(因為真閘極TG和偽屏蔽閘極DSG之間的距離被設計為λ)。另一方面,如第14圖所示,如果該光刻錯位公差對真閘極TG和偽屏蔽閘極DSG都造成往該主動區左邊的位移(例如Δλ),則接下來用於移除偽屏蔽閘極DSG和形成隔離區STI-oxide-2的製程步驟,將會使隔離區STI-oxide-2的長度為λ,以及使真閘極TG和該源極之間的距離GEBESI的長度還是等於λ。As shown in Figure 13, if the lithographic misalignment tolerance causes a displacement (eg Δλ) to the right of the active region for both the true gate TG and the dummy shielded gate DSG, the next process is to remove the dummy shielded gate DSG to realize the isolation region STI-oxide-2 (that is, shallow trench isolation-second oxide layer 1002), where the position of the isolation region STI-oxide-2 is exactly the original process step described in the first part The location of the dummy shield gate DSG that exists. In addition, the following process can make the length of the isolation region STI-oxide-2 λ, and the isolation region STI-oxide-2 can become the physical geometry of the source, wherein the gap between the true gate TG and the source The length of the distance GEBESI is equal to λ (because the distance between the true gate TG and the dummy shield gate DSG is designed as λ). On the other hand, as shown in FIG. 14, if the lithographic misalignment tolerance causes a displacement (eg, Δλ) to the left of the active region for both the real gate TG and the dummy shielded gate DSG, then the subsequent removal of the dummy The process steps of shielding the gate DSG and forming the isolation region STI-oxide-2 will make the length of the isolation region STI-oxide-2 be λ, and make the distance between the true gate TG and the source GEBESI be is equal to lambda.

當該光刻錯位公差造成沿該主動區的寬度方向(也就是上下方向)的不良位移時,則自適應的偽屏蔽閘極的設計(該偽屏蔽閘極的寬度為該主動區的寬度和2Δλ的總和)不會影響該主動區的幾何尺寸。這種使用自適應的偽屏蔽閘極的創新設計總是產生具有長度λ的隔離區STI-oxide-2,並且產生距離GEBESI的長度符合設計目標(例如λ)。本發明可以肯定地分別應用於具有各自目標長度的所有不同形狀的隔離區、源極和汲極。When the lithographic misalignment tolerance causes undesirable displacement along the width direction (that is, the up-down direction) of the active region, then the design of the adaptive pseudo-shielded gate (the width of the pseudo-shielded gate is the width of the active region and 2Δλ) does not affect the geometry of the active region. This innovative design using an adaptive pseudo-shielded gate always produces an isolation region STI-oxide-2 with a length λ and a distance GEBESI whose length meets the design target (eg λ). The present invention can certainly be applied to all different shapes of isolation regions, sources and drains having respective target lengths, respectively.

第三部分:精確定義的源極Part III: Precisely Defined Sources (( 或汲極or drain )) 可通過自對準間隔層使接觸孔開口Contact holes can be opened by self-aligned spacers (contact-hole opening)(contact-hole opening) 被精確控制以減少接觸光罩和開孔製程的步驟。Precisely controlled to reduce touch mask and aperture process steps.

在公開如何將距離GEBESI和距離GEBEDI最佳地設計與製造成到精確控制的小尺寸(可小至λ)之後,另一個新的發明是如何分別製造具有長度C-S(L)和長度C-D(L)的接觸孔開口,其中長度C-S(L)和長度C-D(L)分別小於距離GEBESI和距離GEBEDI。以下將說明兩種設計和製程。After disclosing how to optimally design and manufacture distance GEBESI and distance GEBEDI to a precisely controlled small size (as small as λ), another new invention is how to fabricate ), wherein the length C-S(L) and the length C-D(L) are less than the distance GEBESI and the distance GEBEDI, respectively. Two designs and processes are described below.

A.a. 設計和製程Design and Process (I)(I)

請繼續參照第10(a)圖並且使用真閘極TG來做以下說明。在步驟220中,如第15(a)圖所示,沉積以及回蝕該第三氧化層以形成第三氧化間隔層1502,其中第三氧化間隔層1502覆蓋真閘極TG。接著,在基底102中形成輕摻雜區,並且在該輕摻雜區上執行快速熱退火(rapid thermal annealing, RTA)以在真閘極TG旁邊形成輕摻雜汲極1504。然後沉積以及回蝕該氮化層以形成氮化間隔層1506,其中氮化間隔層1506覆蓋第三氧化間隔層1502。接著移除沒有被氮化間隔層1506和第三氧化間隔層1502覆蓋的介電絕緣層402。另外,第15(b)圖是對應第15(a)圖的俯視圖。Please continue to refer to Fig. 10(a) and use the true gate TG for the following description. In step 220, as shown in FIG. 15(a), the third oxide layer is deposited and etched back to form a third oxide spacer layer 1502, wherein the third oxide spacer layer 1502 covers the true gate TG. Next, a lightly doped region is formed in the substrate 102 , and rapid thermal annealing (RTA) is performed on the lightly doped region to form a lightly doped drain 1504 next to the true gate TG. The nitrided layer is then deposited and etched back to form a nitrided spacer layer 1506 , wherein the nitrided spacer layer 1506 covers the third oxide spacer layer 1502 . The dielectric insulating layer 402 not covered by the nitride spacer layer 1506 and the third oxide spacer layer 1502 is then removed. In addition, Fig. 15(b) is a plan view corresponding to Fig. 15(a).

在步驟222中,如第16(a)圖所示,通過使用露出的水平矽表面HSS作爲矽晶種,利用該選擇性外延生長技術只在露出的水平矽表面HSS上方生成本質矽1602,並且本質矽1602的高度與氮化層604(在真閘極TG的頂部上方)的頂部一樣高。另外,第16(b)圖是對應第16(a)圖的俯視圖。In step 222, as shown in FIG. 16(a), by using the exposed horizontal silicon surface HSS as a silicon seed, the selective epitaxial growth technique is used to grow intrinsic silicon 1602 only above the exposed horizontal silicon surface HSS, and The height of the intrinsic silicon 1602 is as high as the top of the nitride layer 604 (on top of the true gate TG). In addition, Fig. 16(b) is a plan view corresponding to Fig. 16(a).

在步驟224中,如第17(a)圖所示,沉積化學氣相沉積-淺溝槽隔離-第三氧化層1702以填滿所有空缺,並且通過化學機械研磨(Chemical-Mechanical Polishing, CMP)技術平坦化化學氣相沉積-淺溝槽隔離-第三氧化層1702以使化學氣相沉積-淺溝槽隔離-第三氧化層1702的高度和氮化層604的頂部平齊,其中氮化層604在真閘極TG的頂部上方。接著,移除本質矽1602,以便暴露出對應該源極和該汲極的水平矽表面HSS,其中對應該源極和該汲極的水平矽表面HSS被化學氣相沉積-淺溝槽隔離-第三氧化層1702和氮化間隔層1506圍繞。In step 224, as shown in FIG. 17(a), a chemical vapor deposition-shallow trench isolation-third oxide layer 1702 is deposited to fill all vacancies, and chemical-mechanical polishing (Chemical-Mechanical Polishing, CMP) Technology planarization chemical vapor deposition - shallow trench isolation - third oxide layer 1702 so that the height of chemical vapor deposition - shallow trench isolation - third oxide layer 1702 is flush with the top of the nitride layer 604, where the nitride Layer 604 is on top of the true gate TG. Next, the intrinsic silicon 1602 is removed to expose the horizontal silicon surface HSS corresponding to the source and the drain, wherein the horizontal silicon surface HSS corresponding to the source and the drain is chemical vapor deposited - shallow trench isolation - Surrounded by a third oxide layer 1702 and a nitride spacer layer 1506 .

本質矽1602就像一自對準柱(self-alignment pillar, SPR)一樣用來圍住或封住之後將被配置一接觸孔的區域,但該自對準柱並不受限於矽材料。根據用於該選擇性外延生長技術的晶種的材料,該自對準柱可以是金屬材料或其他半導體材料(例如:碳化矽(SiC)、矽鍺(SiGe)、(氮化鎵GaN)等)。另外,基底102可以是矽基底、碳化矽基底、矽鍺基底、或氮化鎵基底等。Essentially, the silicon 1602 is like a self-alignment pillar (SPR) used to enclose or seal the area where a contact hole will be disposed later, but the self-alignment pillar is not limited to silicon material. According to the material of the seed crystal used for the selective epitaxial growth technology, the self-aligned column can be a metal material or other semiconductor material (for example: silicon carbide (SiC), silicon germanium (SiGe), (gallium nitride GaN), etc. ). In addition, the substrate 102 may be a silicon substrate, a silicon carbide substrate, a silicon germanium substrate, or a gallium nitride substrate or the like.

任何能形成該金氧半場效電晶體的源極(n+源極)1704和汲極(n+汲極)1706的現有技術都可用水平矽表面HSS來實現源極1704和汲極1706的平坦面,其中源極(n+源極)1704可以是一第一導電區,以及汲極(n+汲極)1706可以是一第二導電區。另外,如第17(a)圖所示,一通道區(channel region)存在於輕摻雜汲極1504之間且在水平矽表面HSS下方,以及該通道區可電耦接源極(n+源極)1704和汲極(n+汲極)1706。另外,如第17(a)圖所示,源極(n+源極)1704是被置放在該閘極結構(也就是真閘極TG(閘極層602))以及在該閘極結構左邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702之間,其中在該閘極結構左邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702可稱為一第一隔離區,以及該第一隔離區與該第一導電區(也就是源極(n+源極)1704)相鄰。另外,如第17(a)圖所示,汲極(n+汲極)1706是被置放在該閘極結構以及在該閘極結構右邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702之間,其中在該閘極結構右邊的淺溝槽隔離-第二氧化層1002和化學氣相沉積-淺溝槽隔離-第三氧化層1702可稱為一第二隔離區,以及該第二隔離區與該第二導電區(也就是汲極(n+汲極)1706)相鄰。另外,如第17(a)圖所示,非常明顯地可以知道該第一隔離區和該第二隔離區是從水平矽表面HSS向上以及向下延伸。另外,第17(b)圖是對應第17(a)圖的俯視圖。Any existing technology that can form the source (n+source) 1704 and drain (n+drain) 1706 of the MOSFET can use the horizontal silicon surface HSS to realize the flat surfaces of the source 1704 and the drain 1706, The source (n+source) 1704 can be a first conductive region, and the drain (n+drain) 1706 can be a second conductive region. In addition, as shown in Figure 17(a), a channel region exists between the lightly doped drains 1504 and below the horizontal silicon surface HSS, and the channel region can be electrically coupled to the source (n+ source pole) 1704 and drain (n+drain) 1706. In addition, as shown in Figure 17(a), the source (n+source) 1704 is placed on the gate structure (that is, the true gate TG (gate layer 602)) and on the left side of the gate structure Between the shallow trench isolation-second oxide layer 1002 and chemical vapor deposition-shallow trench isolation-third oxide layer 1702, wherein the shallow trench isolation-second oxide layer 1002 and chemical vapor deposition on the left side of the gate structure Vapor deposition-shallow trench isolation-third oxide layer 1702 may be referred to as a first isolation region, and the first isolation region is adjacent to the first conductive region (ie, source (n+source) 1704 ). In addition, as shown in Figure 17(a), the drain (n+drain) 1706 is placed on the gate structure and the shallow trench isolation-second oxide layer 1002 and chemical gas on the right side of the gate structure between phase deposition-shallow trench isolation-third oxide layer 1702, wherein on the right side of the gate structure is shallow trench isolation-second oxide layer 1002 and chemical vapor deposition-shallow trench isolation-third oxide layer 1702 It may be referred to as a second isolation region, and the second isolation region is adjacent to the second conductive region (ie, the drain (n+drain) 1706 ). In addition, as shown in FIG. 17(a), it is very obvious that the first isolation region and the second isolation region extend upward and downward from the horizontal silicon surface HSS. In addition, Fig. 17(b) is a plan view corresponding to Fig. 17(a).

在步驟226中,如第18(a)圖所示,因為在該隔離區(也就是該第一隔離區和該第二隔離區)上的化學氣相沉積-淺溝槽隔離-第三氧化層1702和圍繞真閘極TG的氮化間隔層1506比水平矽表面HSS高,像是四個側壁一樣,所以設計良好的氧化間隔層1802(稱為用於接觸孔的氧化間隔層(oxide spacer for contact hole, oxide-SCH))可以被製造在四個側壁外以形成一第一接觸孔1804,其中第一接觸孔1804的位置是在該第一導電區(也就是源極(n+源極)1704)上方,並且在源極(n+源極)1704的邊界內。同樣地,一第二接觸孔1806的位置是在該第二導電區(也就是汲極(n+汲極)1706)上方,並且在汲極(n+汲極)1706的邊界內。因此,如第18(a)圖所示,第一接觸孔1804和第二接觸孔1806是以一自對準的方式自然的形成,而不需要利用任何蝕刻技術來製造該接觸孔開口,幷且通過該用於接觸孔的氧化間隔層的合適設計(具有厚度tOSCH)使該接觸孔開口的長度可分別小於距離GEBESI和距離GEBEDI的長度。本發明創新的部分是在於該接觸孔開口的位置幾乎是在源極1704(或汲極1706)的邊界的中央,並且該接觸孔開口的長度可以被設計成小於λ(因為該接觸孔開口的長度=距離GEBESI的長度-厚度tOSCH的2倍。因此例如,如果厚度tOSCH=0.2λ,距離GEBESI的長度=λ,則該接觸孔開口的長度=0.6λ)。因此,因為該接觸孔開口的長度主要是被氧化間隔層1802的厚度tOSCH所支配,所以第一接觸孔1804(和第二接觸孔1806)的周邊是與該光刻光罩技術無關的,幷且如第18(b)圖所示,可以明顯看出第一接觸孔1804的周邊是在該第一導電區的外圍內,以及第二接觸孔1806的周邊是在該第二導電區的外圍內。In step 226, as shown in FIG. 18(a), because the chemical vapor deposition-shallow trench isolation-third oxidation on the isolation region (that is, the first isolation region and the second isolation region) Layer 1702 and the nitride spacer 1506 surrounding the true gate TG are higher than the horizontal silicon surface HSS, like four sidewalls, so a well-designed oxide spacer 1802 (called oxide spacer for contact holes for contact hole, oxide-SCH)) can be manufactured outside the four side walls to form a first contact hole 1804, wherein the position of the first contact hole 1804 is in the first conductive region (that is, the source (n+source ) 1704) and within the boundary of source (n+source) 1704. Likewise, a second contact hole 1806 is located above the second conductive region (ie, the drain (n+drain) 1706 ) and within the boundary of the drain (n+drain) 1706 . Therefore, as shown in FIG. 18(a), the first contact hole 1804 and the second contact hole 1806 are naturally formed in a self-aligned manner without using any etching technique to manufacture the contact hole opening, and And by a suitable design of the oxide spacer layer for the contact hole (having a thickness tOSCH) the length of the contact hole opening can be smaller than the distance GEBESI and the distance GEBEDI respectively. The innovative part of the present invention is that the position of the contact hole opening is almost in the center of the boundary of the source electrode 1704 (or the drain electrode 1706), and the length of the contact hole opening can be designed to be less than λ (because the contact hole opening Length = length from GEBESI - 2 times thickness tOSCH. So for example, if thickness tOSCH = 0.2λ, length from GEBESI = λ, then the length of the contact hole opening = 0.6λ). Thus, the perimeter of the first contact hole 1804 (and the second contact hole 1806) is independent of the photolithographic mask technology because the length of the contact hole opening is primarily dominated by the thickness tOSCH of the oxide spacer layer 1802, and And as shown in Figure 18(b), it can be clearly seen that the periphery of the first contact hole 1804 is in the periphery of the first conductive region, and the periphery of the second contact hole 1806 is in the periphery of the second conductive region Inside.

另外,如第18(b)圖所示,因為該接觸孔開口的長度小於λ,所以第一接觸孔1804的長度(第二接觸孔1806的長度)小於該閘極結構的長度(因為如第6圖所示,該閘極結構的長度等於λ)。另外,如第18(a)圖所示,因為氧化間隔層1802具有厚度tOSCH,並且距離GEBESI的長度等於該閘極結構的長度,所以很明顯的該閘極結構的一第一側壁(位於該閘極結構的左邊)和第一接觸孔1804遠離該閘極結構的一側壁之間的水平距離會小於該閘極結構的長度(也就是λ)。另外,如第18(a)圖所示,該閘極結構的第一側壁和該第一導電區(也就是源極1704)遠離該閘極結構的一側壁之間的水平距離大約等於該閘極結構的長度。類似地,如第18(a)圖所示,該閘極結構的一第二側壁(位於該閘極結構的右邊)和該第二隔離區遠離該閘極結構的一側壁之間的水平距離實質上等於該閘極結構的長度。In addition, as shown in Figure 18(b), since the length of the contact hole opening is less than λ, the length of the first contact hole 1804 (the length of the second contact hole 1806) is smaller than the length of the gate structure (because as shown in the first contact hole 1806) 6, the length of the gate structure is equal to λ). In addition, as shown in FIG. 18(a), since the oxide spacer 1802 has a thickness tOSCH, and the distance from GEBESI is equal to the length of the gate structure, it is obvious that a first sidewall of the gate structure (located on the The horizontal distance between the left side of the gate structure) and the sidewall of the first contact hole 1804 away from the gate structure is smaller than the length (ie, λ) of the gate structure. In addition, as shown in FIG. 18(a), the horizontal distance between the first sidewall of the gate structure and the sidewall of the first conductive region (that is, the source 1704) away from the gate structure is approximately equal to that of the gate structure. length of the polar structure. Similarly, as shown in Figure 18(a), the horizontal distance between a second sidewall of the gate structure (located on the right side of the gate structure) and the sidewall of the second isolation region away from the gate structure substantially equal to the length of the gate structure.

另外,如第18(a)圖所示,位於該閘極結構左邊且靠近該閘極結構的氧化間隔層1802(也就是一第一間隔層)覆蓋該閘極結構的第一側壁,以及位於該閘極結構左邊且遠離該閘極結構的氧化間隔層1802(也就是一第二間隔層)覆蓋該第一隔離區的一側壁,其中第一接觸孔1804是在該第一間隔層和該第二間隔層之間形成。In addition, as shown in FIG. 18(a), an oxide spacer 1802 (that is, a first spacer) located on the left side of the gate structure and close to the gate structure covers the first sidewall of the gate structure, and The oxide spacer layer 1802 on the left side of the gate structure and away from the gate structure (that is, a second spacer layer) covers the sidewall of the first isolation region, wherein the first contact hole 1804 is between the first spacer layer and the first spacer layer. formed between the second spacer layers.

另外,如第18(a)圖所示,位於該閘極結構右邊且靠近該閘極結構(例如一第三間隔層)的氧化間隔層1802覆蓋該閘極結構的一第二側壁(位於該閘極結構的右邊),位於該閘極結構右邊且離該閘極結構較遠(例如一第四間隔層)的氧化間隔層1802覆蓋該第二隔離區的一側壁,其中第二接觸孔1806是在該第三間隔層和該第四間隔層之間形成。In addition, as shown in FIG. 18(a), an oxide spacer 1802 located on the right side of the gate structure and close to the gate structure (such as a third spacer) covers a second sidewall of the gate structure (located on the the right side of the gate structure), the oxide spacer layer 1802 located on the right side of the gate structure and far away from the gate structure (for example, a fourth spacer layer) covers the side wall of the second isolation region, wherein the second contact hole 1806 is formed between the third spacer layer and the fourth spacer layer.

另外,如第18(b)圖所示,顯然地第一接觸孔1804的周邊被該第一導電區(或源極1704)的外圍包圍,第一接觸孔1804的周邊的形狀類似該第一導電區的外圍的形狀,以及該第一導電區外圍是類似長方形的形狀。另外,類似的情況也適用於第二接觸孔1806和該第二導電區(或汲極1706)。In addition, as shown in Figure 18(b), obviously the periphery of the first contact hole 1804 is surrounded by the periphery of the first conductive region (or source 1704), and the shape of the periphery of the first contact hole 1804 is similar to the first The shape of the periphery of the conductive region and the periphery of the first conductive region are similar to a rectangle. In addition, a similar situation is also applicable to the second contact hole 1806 and the second conductive region (or the drain 1706).

根據本發明,自對準接觸孔(第一接觸孔1804和第二接觸孔1806)展示了最小的接觸孔長度(其尺寸可小於λ),其比任何現有技術的設計和通過該光刻光罩技術及複雜蝕刻製程所製造出來的接觸孔開口的長度都還要小。另外,本發明省略了大部分難以控制的因素以及大部分用來定義和製造第一金屬層接觸(例如分別用於源極1704和汲極1706的第一接觸孔1804和第二接觸孔1806)的昂貴的光罩和後續鑽挖該接觸孔開口的任務。另外,第18(b)圖是對應第18(a)圖的俯視圖。According to the present invention, the self-aligned contact holes (the first contact hole 1804 and the second contact hole 1806) exhibit the smallest contact hole length (its size can be smaller than λ), which is better than any prior art design and through this lithography. The length of the contact hole opening produced by the mask technology and the complex etching process is even smaller. In addition, the present invention omits most of the uncontrollable factors and most of the resources used to define and make the first metal layer contacts (such as first contact hole 1804 and second contact hole 1806 for source 1704 and drain 1706, respectively). The expensive photomask and subsequent drilling task of digging this contact hole opening. In addition, Fig. 18(b) is a plan view corresponding to Fig. 18(a).

在步驟228中,如第19圖所示,在沉積第一金屬層1902以填滿該接觸孔(第一接觸孔1804和第二接觸孔1806)後,可以用該光刻光罩技術來定義第一金屬層1902。如第19圖所示,第一金屬層1902必須具有精確控制尺寸的寬度,其中第一金屬層1902的寬度必須能完全覆蓋該接觸孔開口,幷且要預留給任何無法避免的光刻錯位公差。也就是說對應源極1704的第一金屬層1902的寬度等於該接觸孔開口(在源極1704上)的長度C-S(L)加上2Δλ,以及對應汲極1706的第一金屬層1902的寬度等於該接觸孔開口(在汲極1706上)的長度C-D(L)加上2Δλ。如果該接觸孔開口的長度可以控制在0.6λ(其應該可被控制,因爲由前述說明的計算可得知該接觸孔內的氧化間隔層1802的尺寸可被良好控制),則第一金屬層1902的寬度可以小至該接觸孔開口的長度和2Δλ的總和(如果在本發明一實施例中,Δλ=0.5λ(也就是該閘極結構的長度的一半),該接觸孔開口的長度=0.6λ,則爲了在無法避免的光刻錯位公差下還能完全覆蓋該接觸孔開口,第一金屬層1902的寬度可以窄至1.6λ。也就是說爲了在無法避免的光刻錯位公差下能完全覆蓋該接觸孔開口,第一金屬層1902的寬度可以等於第一接觸孔1804的長度加上該閘極結構的長度)。根據本發明,窄至1.6λ的第一金屬層1902的寬度可以是第一金屬層互連的最小寬度之一。另外,在兩個最靠近的第一金屬層互連之間的一最小空間1904不能小於λ。另外,如第19圖所示,第一金屬層1902(也就是一第一金屬區)填充在第一接觸孔1804中且接觸該第一導電區(也就是源極1704),其中該第一金屬區從該第一導電區向上延伸至一預定位置,且該預定位置是高于氮化層604(也就是該氮化帽層)的頂部。In step 228, as shown in FIG. 19, after depositing the first metal layer 1902 to fill the contact holes (first contact hole 1804 and second contact hole 1806), the photolithographic mask technique can be used to define The first metal layer 1902 . As shown in FIG. 19, the first metal layer 1902 must have a width of precisely controlled dimensions, wherein the width of the first metal layer 1902 must be able to completely cover the contact hole opening, and must be reserved for any unavoidable photolithographic misalignment. tolerance. That is to say, the width of the first metal layer 1902 corresponding to the source 1704 is equal to the length C-S (L) of the contact hole opening (on the source 1704 ) plus 2Δλ, and the width of the first metal layer 1902 corresponding to the drain 1706 Equal to the length C-D(L) of the contact hole opening (on the drain 1706) plus 2Δλ. If the length of the contact hole opening can be controlled at 0.6λ (it should be controllable, because the size of the oxide spacer 1802 in the contact hole can be well controlled from the calculation described above), then the first metal layer The width of 1902 can be as small as the sum of the length of the contact hole opening and 2Δλ (if in an embodiment of the present invention, Δλ=0.5λ (that is, half the length of the gate structure), the length of the contact hole opening = 0.6λ, the width of the first metal layer 1902 can be narrowed to 1.6λ in order to completely cover the opening of the contact hole under the unavoidable photolithographic misalignment tolerance. To completely cover the opening of the contact hole, the width of the first metal layer 1902 may be equal to the length of the first contact hole 1804 plus the length of the gate structure). According to the present invention, the width of the first metal layer 1902 as narrow as 1.6λ may be one of the smallest widths of the first metal layer interconnects. Additionally, a minimum space 1904 between two closest first metal level interconnects cannot be smaller than λ. In addition, as shown in FIG. 19, the first metal layer 1902 (that is, a first metal region) is filled in the first contact hole 1804 and contacts the first conductive region (that is, the source electrode 1704), wherein the first The metal region extends upward from the first conductive region to a predetermined position, and the predetermined position is higher than the top of the nitride layer 604 (ie, the nitride cap layer).

另外,如第20圖所示,如果沒有用於源極(和/或汲極)的相鄰的第一金屬層互連,例如,使用合幷的半導體接面和金屬導體結構(merged semiconductor junction and metal conductor (MSMC) structure)(公開於美國專利申請號16/991,044,申請日2020/08/12,在此全文引用),則由偽屏蔽閘極所定義的化學氣相沉積-淺溝槽隔離-第三氧化層1702的寬度可被製成和最小特徵尺寸λ一樣小,而不用被任何相鄰的第一金屬層互連之間的空間所限制,其中該源極(和/或汲極)是接地和直接連接到該金氧半場效電晶體的基底102。另外,如第20圖所示,該源極包含一第一半導體區(n+重摻雜半導體區)1906和一第一內含金屬區1908,該汲極包含一第二半導體區(n+重摻雜半導體區)1910和一第二內含金屬區1912,其中一第一氧化保護層(oxide guard layer, OGL)1914只覆蓋第一內含金屬區1908的一側壁,而沒有覆蓋第一內含金屬區1908的底部,一第二氧化保護層1916(在第20圖所示的凹槽中)覆蓋該第二內含金屬區1912的一側壁和底部。因此,第一內含金屬區1908通過第一內含金屬區1908的底部耦接至基底102。In addition, as shown in FIG. 20, if there is no adjacent first metal layer interconnection for the source (and/or drain), for example, using a merged semiconductor junction and metal conductor structure (merged semiconductor junction and metal conductor (MSMC) structure) (published in US Patent Application No. 16/991,044, filing date 2020/08/12, cited in its entirety), the chemical vapor deposition-shallow trench defined by the pseudo-shielded gate Isolation - The width of the third oxide layer 1702 can be made as small as the minimum feature size λ without being constrained by the space between any adjacent first metal layer interconnects where the source (and/or drain pole) is grounded and directly connected to the substrate 102 of the MOSFET. In addition, as shown in FIG. 20, the source includes a first semiconductor region (n+ heavily doped semiconductor region) 1906 and a first metal-containing region 1908, and the drain includes a second semiconductor region (n+ heavily doped heterosemiconductor region) 1910 and a second metal-containing region 1912, wherein a first oxide guard layer (OGL) 1914 only covers the sidewall of the first metal-containing region 1908, but does not cover the first At the bottom of the metal region 1908 , a second protective oxide layer 1916 (in the recess shown in FIG. 20 ) covers the sidewalls and bottom of the second metal-containing region 1912 . Therefore, the first metal-included region 1908 is coupled to the substrate 102 through the bottom of the first metal-included region 1908 .

本發明重要的優點在於幾乎每個關鍵的尺寸,例如距離GEBESI和距離GEBEDI的長度、該接觸孔開口的長度、和該第一金屬層互連的寬度都可以被精確的控制,而不受不確定的光刻錯位公差所影響。如此,基于關鍵尺寸的一致性,可以確保每個關鍵的尺寸的重複性、品質和可靠性。An important advantage of the present invention is that almost every critical dimension, such as the length of the distance GEBESI and the distance GEBEDI, the length of the contact hole opening, and the width of the first metal layer interconnection can be precisely controlled without undue influence. Determined lithographic misalignment tolerances. In this way, based on the consistency of critical dimensions, the repeatability, quality and reliability of each critical dimension can be ensured.

B.b. 設計和製程Design and Process (II)(II)

上述的原理在接下來的實施例裡會繼續採用,但不同點在於如何形成該間隔層和該接觸孔開口。接續第9(a)圖,如第21(a)圖所示,移除閘極光罩層802,接著沉積該第二氧化層以填滿溝槽902和水平矽表面HSS上方的其他空缺以形成一淺溝槽隔離-第二氧化層2102。然後通過該化學機械研磨技術平坦化淺溝槽隔離-第二氧化層2102以使淺溝槽隔離-第二氧化層2102的頂部和旋塗介電層702的頂部以及氮化層604的頂部平齊,其中氮化層604在真閘極TG上方。另外,第21(b)圖是對應第21(a)圖的俯視圖。The above principles will continue to be used in the following embodiments, but the difference lies in how to form the spacer layer and the opening of the contact hole. Continuing from FIG. 9(a), as shown in FIG. 21(a), the gate mask layer 802 is removed, and then the second oxide layer is deposited to fill the trench 902 and other vacancies above the horizontal silicon surface HSS to form A shallow trench isolation-second oxide layer 2102 . The shallow trench isolation-second oxide layer 2102 is then planarized by the chemical mechanical polishing technique so that the top of the shallow trench isolation-second oxide layer 2102 and the top of the spin-on dielectric layer 702 and the top of the nitride layer 604 are planarized. Qi, wherein the nitride layer 604 is above the true gate TG. In addition, Fig. 21(b) is a plan view corresponding to Fig. 21(a).

然後如第22(a)圖所示,移除旋塗介電層702。接著沉積該第三氧化層,以及利用該異向性蝕刻技術回蝕該第三氧化層以形成一第三氧化間隔層2202,其中第三氧化間隔層2202覆蓋真閘極TG。然後在基底102中形成輕摻雜區,並且在該輕摻雜區上執行快速熱退火以在真閘極TG旁邊形成該輕摻雜汲極2204。然後沉積以及回蝕該氮化層以形成一氮化間隔層2206,其中氮化間隔層2206覆蓋第三氧化間隔層2202。接著移除在原先存在的旋塗介電層702之下的介電絕緣層402。另外,第22(b)圖是對應第22(a)圖的俯視圖。Then, as shown in FIG. 22(a), the spin-on dielectric layer 702 is removed. Then deposit the third oxide layer, and use the anisotropic etching technique to etch back the third oxide layer to form a third oxide spacer layer 2202, wherein the third oxide spacer layer 2202 covers the true gate TG. A lightly doped region is then formed in the substrate 102, and a rapid thermal anneal is performed on the lightly doped region to form the lightly doped drain 2204 next to the true gate TG. The nitride layer is then deposited and etched back to form a nitride spacer layer 2206 , wherein the nitride spacer layer 2206 covers the third oxide spacer layer 2202 . The dielectric insulating layer 402 under the pre-existing spin-on dielectric layer 702 is then removed. In addition, Fig. 22(b) is a plan view corresponding to Fig. 22(a).

接著如第23(a)圖所示,通過使用露出的水平矽表面HSS區域作爲矽晶種,利用該選擇性外延生長技術只在露出的水平矽表面HSS上方生成一本質矽2302,其中本質矽2302的高度與氮化層604的頂部平齊,以及氮化層604在真閘極TG的頂部上方。和前述第三部分的段落A不同的是通過該選擇性外延生長的本質矽2302的形狀可以更好的被控制,因爲本質矽2302的兩邊被夾在淺溝槽隔離-第二氧化層2102和真閘極TG之間,以及本質矽2302的另外兩邊面對著該主動區的崖壁邊緣上方的空氣,其中該主動區仍然被介電絕緣層402覆蓋並且在相鄰的淺溝槽隔離-第一氧化層306(STI-oxide-1)的上方。然後沉積一化學氣相沉積-淺溝槽隔離-第三氧化層2304(如第23(b)圖所示)以填滿所有空缺,且通過該化學機械研磨技術平坦化使化學氣相沉積-淺溝槽隔離-第三氧化層2304的頂部和氮化層604(在真閘極TG的頂部上方)的頂部平齊。另外,第23(b)圖是對應第23(a)圖的俯視圖。Next, as shown in FIG. 23(a), by using the exposed horizontal silicon surface HSS region as a silicon seed, the selective epitaxial growth technique is used to generate an intrinsic silicon 2302 only above the exposed horizontal silicon surface HSS, wherein the intrinsic silicon The height of 2302 is level with the top of the nitride layer 604, and the nitride layer 604 is above the top of the true gate TG. Different from paragraph A of the third part above, the shape of the intrinsic silicon 2302 grown by the selective epitaxy can be better controlled, because the two sides of the intrinsic silicon 2302 are sandwiched between the shallow trench isolation-second oxide layer 2102 and Between the true gate TG and the other two sides of the intrinsic silicon 2302 facing the air above the cliff edge of the active region, where the active region is still covered by the dielectric insulating layer 402 and isolated in the adjacent shallow trench- above the first oxide layer 306 (STI-oxide-1). A CVD-STI-third oxide layer 2304 is then deposited (as shown in FIG. 23(b)) to fill all gaps, and planarized by the CMP technique to make the CVD- Shallow Trench Isolation - The top of the third oxide layer 2304 is flush with the top of the nitride layer 604 (over the top of the true gate TG). In addition, Fig. 23(b) is a plan view corresponding to Fig. 23(a).

另外,如第24(a)圖所示,移除本質矽2302以曝露出對應一源極(n+源極)2402和對應一汲極(n+汲極)2404區域的水平矽表面HSS,其中源極2402和汲極2404被化學氣相沉積-淺溝槽隔離-第三氧化層2304的兩壁,在淺溝槽隔離-第二氧化層2102上的氮化間隔層2206的一壁,以及圍繞真閘極TG的氮化間隔層2206的一壁所圍繞。任何能形成該金氧半場效電晶體的源極2402和汲極2404的現有技術都可用水平矽表面HSS來實現源極2402和汲極2404的平坦面。In addition, as shown in FIG. 24(a), the intrinsic silicon 2302 is removed to expose the horizontal silicon surface HSS corresponding to a source (n+source) 2402 and a region corresponding to a drain (n+drain) 2404, wherein the source The electrode 2402 and the drain electrode 2404 are chemical vapor deposition-shallow trench isolation-the two walls of the third oxide layer 2304, one wall of the nitrided spacer layer 2206 on the shallow trench isolation-second oxide layer 2102, and surrounding Surrounded by a wall of the nitrided spacer 2206 of the true gate TG. Any prior art that can form the source 2402 and the drain 2404 of the MOSFET can use the horizontal silicon surface HSS to realize the planar surfaces of the source 2402 and the drain 2404 .

如第24(a)圖所示,因為化學氣相沉積-淺溝槽隔離-第三氧化層2304的兩壁,在淺溝槽隔離-第二氧化層2102上的氮化間隔層2206,以及圍繞真閘極TG的氮化間隔層2206像是四個側壁一樣都高于水平矽表面HSS,所以另一種設計良好的四個氧化間隔層2406(稱為用於接觸孔的氧化間隔層(oxide spacer for contact hole, oxide-SCH)))可被新創造出來以覆蓋該四個側壁。因此,該接觸孔開口是以自對準的方式自然的形成,而不需要利用任何用來製造該接觸孔開口的蝕刻技術,並且通過用於該接觸孔的氧化間隔層(oxide-SCH)的合適設計(具有厚度tOSCH),該接觸孔開口的長度尺寸可以分別小於距離GEBESI和距離GEBEDI的長度。本發明創新的部分是該接觸孔開口的位置分別是在該源極和該汲極的邊界的中央,並且該接觸孔開口的長度可以被設計成小於λ(因為接觸孔的長度=距離GEBESI的長度-2倍厚度tOSCH。因此例如,如果厚度tOSCH=0.2λ以及距離GEBESI的長度=λ,則接觸孔的長度=0.6λ)。根據本發明,該自對準接觸孔展示了最小的接觸孔長度(其尺寸可小於λ),其比任何現有技術的設計和通過該光刻光罩技術及複雜蝕刻製程所製造出來的接觸孔開口的長度都還要小。另外,本發明省略了大部分難以控制的因素以及大部分用來定義和製造該第一金屬層接觸的的昂貴的光罩和後續鑽挖該接觸孔開口的任務。另外,第24(b)圖是對應第24(a)圖的俯視圖。As shown in Figure 24(a), because of the chemical vapor deposition-shallow trench isolation-the two walls of the third oxide layer 2304, the nitride spacer 2206 on the shallow trench isolation-second oxide layer 2102, and The nitride spacer 2206 around the true gate TG is higher than the horizontal silicon surface HSS like four sidewalls, so another well-designed four oxide spacers 2406 (called oxide spacers for contact holes (oxide spacers) spacer for contact hole, oxide-SCH))) can be newly created to cover the four side walls. Therefore, the contact hole opening is naturally formed in a self-aligned manner without using any etching technique used to manufacture the contact hole opening, and through the oxide spacer (oxide-SCH) for the contact hole With proper design (with thickness tOSCH), the length dimension of the contact hole opening can be smaller than the distance GEBESI and the distance GEBEDI, respectively. The innovative part of the present invention is that the position of the contact hole opening is respectively in the center of the boundary of the source and the drain electrode, and the length of the contact hole opening can be designed to be less than λ (because the length of the contact hole=distance from GEBESI Length - 2 times thickness tOSCH. So eg if thickness tOSCH = 0.2λ and length from GEBESI = λ, length of contact hole = 0.6λ). According to the present invention, the self-aligned contact hole exhibits the smallest contact hole length (its size can be smaller than λ), which is better than any prior art design and contact hole manufactured by the photolithographic mask technology and complex etching process. The length of the opening is even smaller. In addition, the present invention eliminates most of the unmanageable factors and most of the tasks of expensive photomasks and subsequent drilling of the contact hole openings used to define and fabricate the first metal layer contacts. In addition, Fig. 24(b) is a plan view corresponding to Fig. 24(a).

第25圖是說明在沉積一第一金屬層2502以填滿該接觸孔開口後,利用該光刻光罩技術定義第一金屬層2502的示意圖。如第25圖所示,第一金屬層2502必須具有精確控制尺寸的寬度,其中第一金屬層2502的寬度必須能完全覆蓋該接觸孔開口,幷且要預留給任何無法避免的光刻錯位公差。也就是說對應該源極的第一金屬層2502的寬度等於該接觸孔開口(在該源極上)的長度C-S(L)加上2Δλ,以及對應該汲極的第一金屬層2502的寬度等於該接觸孔開口(在該汲極上)的長度C-D(L)加上2Δλ。如果該接觸孔開口的長度可以控制在0.6λ(其應該可被控制,因爲由前述說明的計算可得知該接觸孔內的氧化間隔層2406的尺寸可被良好控制),則第一金屬層2502的寬度可以小至該接觸孔開口的長度和2Δλ的總和(如果在本發明一實施例中,Δλ=0.5λ,該接觸孔開口的長度=0.6λ,則爲了在無法避免的光刻錯位公差下還能完全覆蓋該接觸孔開口,第一金屬層2502的寬度可以窄至1.6λ。根據本發明,窄至1.6λ的第一金屬層2502的寬度可以是該第一金屬層互連的最小寬度之一。另外,在兩個最靠近的第一金屬層互連之間的一最小空間2504不能小於λ。另外,本發明重要的優點是幾乎每個關鍵的尺寸,例如距離GEBESI和距離GEBEDI的長度、接觸孔開口的長度、和該第一金屬層互連的寬度都可以被精確的控制,而不受不確定的光刻錯位公差所影響,如此,基于關鍵尺寸的一致性,可以確保每個關鍵的尺寸的重現性、品質和可靠性。FIG. 25 is a schematic diagram illustrating defining the first metal layer 2502 using the photolithography mask technique after depositing a first metal layer 2502 to fill the contact hole opening. As shown in FIG. 25, the first metal layer 2502 must have a width of precisely controlled dimensions, wherein the width of the first metal layer 2502 must be able to completely cover the contact hole opening, and must be reserved for any unavoidable photolithographic misalignment. tolerance. That is to say, the width of the first metal layer 2502 corresponding to the source is equal to the length C-S (L) of the contact hole opening (on the source) plus 2Δλ, and the width of the first metal layer 2502 corresponding to the drain is equal to The length C-D(L) of the contact hole opening (on the drain) plus 2Δλ. If the length of the contact hole opening can be controlled at 0.6λ (it should be controllable, because the size of the oxide spacer 2406 in the contact hole can be well controlled from the calculation described above), then the first metal layer The width of 2502 can be as small as the sum of the length of the contact hole opening and 2Δλ (if in an embodiment of the present invention, Δλ=0.5λ, the length of the contact hole opening=0.6λ, then in order to prevent the unavoidable photolithography dislocation The contact hole opening can be completely covered under the tolerance, and the width of the first metal layer 2502 can be as narrow as 1.6λ. According to the present invention, the width of the first metal layer 2502 as narrow as 1.6λ can be the width of the first metal layer interconnection One of the minimum widths. In addition, a minimum space 2504 between two closest first metal layer interconnects cannot be less than λ. In addition, the important advantage of the present invention is that almost every key dimension, such as distance GEBESI and distance The length of the GEBEDI, the length of the contact hole opening, and the width of the first metal layer interconnection can be precisely controlled without being affected by uncertain photolithographic misalignment tolerances, so that, based on critical dimension consistency, it is possible to Ensure reproducibility, quality and reliability of every critical dimension.

綜上所述,本發明的實施例所公開的金氧半場效電晶體結構可通過避免光刻錯位公差,尤其是關於閘極和源極、閘極和汲極、第一金屬層和源極/汲極之間的接觸孔開口等之間的幾何關係、以及第一金屬層互連的寬度與其填滿接觸孔的自對準方法等的設計與製程的改善,對未來積體電路的設計帶來幾項主要的進步:In summary, the metal-oxide-semiconductor field-effect transistor structure disclosed in the embodiments of the present invention can avoid photolithography dislocation tolerances, especially with regard to gate and source, gate and drain, first metal layer and source The geometric relationship between the opening of the contact hole between the drain and the drain, the width of the first metal layer interconnection and the self-alignment method for filling the contact hole, etc., the design and process improvement, for the design of future integrated circuits Brings several major advances:

(1)通過排除光刻錯位公差所造成的不確定因素以精確的定義分別從該閘極的兩邊緣的長度S(L)和長度D(L)。(1) The length S(L) and the length D(L) respectively from the two edges of the gate are precisely defined by eliminating the uncertain factors caused by the photolithography misalignment tolerance.

(2)長度S(L)和長度D(L)都可被設計成光刻光罩和製程解析度所能允許的最小特徵長度λ,從而顯著地縮小該源極和該汲極的尺寸。如此,可減少該金氧半場效電晶體的面積和可減少待機與操作電流和功耗,而可據此增進金氧半場效電晶體的操作速度。(2) Both the length S(L) and the length D(L) can be designed to be the minimum characteristic length λ allowed by the photolithography mask and process resolution, so as to significantly reduce the size of the source and the drain. In this way, the area of the MOS field effect transistor can be reduced and the standby and operating current and power consumption can be reduced, thereby increasing the operating speed of the MOS field effect transistor.

(3)因為長度S(L)和長度D(L)都可被精確控制,所以通過圍繞該源極和汲極的四個側壁所創造的間隔層,本發明的自對準技術能精確的製造可控制形狀和尺寸幷且分別接近該源極和該汲極中央的自對準接觸孔(self-alignment contact holes, SACH)。(3) Since both the length S(L) and the length D(L) can be precisely controlled, the self-alignment technique of the present invention can accurately Self-alignment contact holes (SACH) of controllable shape and size are fabricated close to the center of the source and the drain, respectively.

(4)自對準接觸孔的長度可被設計成小於最小特徵尺寸λ,例如小至0.6λ或甚至更窄。(4) The length of the self-aligned contact hole can be designed to be smaller than the minimum feature size λ, for example as small as 0.6λ or even narrower.

(5)該自對準接觸孔的其他寬度尺寸可以通過自對準間隔層和良好定義的主動區寬度而被良好的設計;因爲該自對準接觸孔的形成是通過間隔層技術,而不是通過具有難以控制的錯位公差和接觸孔形狀的光刻光罩技術來定義接觸孔的現有技術來形成,其中該間隔層技術是取決于運用具有可控厚度的化學薄膜沉積和利用該異向性蝕刻技術的已發展成熟的技術。本發明的接觸孔開口可以被良好的設計和定義(雖然接觸孔可能不具有一致的方形接觸形狀,但接觸孔具有良好定義的長方形形狀且填充結果實際上取決于該接觸孔較窄的長度尺寸)。(5) Other width dimensions of the self-aligned contact hole can be well designed by the self-aligned spacer layer and well-defined active area width; because the formation of the self-aligned contact hole is by the spacer layer technology, not Contact holes are defined by prior art photolithographic mask techniques with difficult-to-control misalignment tolerances and contact hole shapes, where the spacer layer technology is dependent on using chemical film deposition with controllable thickness and exploiting the anisotropy Etching technology has developed a mature technology. The contact hole opening of the present invention can be well designed and defined (although the contact hole may not have a consistent square contact shape, the contact hole has a well defined rectangular shape and the filling result actually depends on the narrower length dimension of the contact hole ).

(6)排除最具艱難和最昂貴的接觸步驟及光罩。(6) Eliminate the most difficult and expensive contact steps and masks.

(7)從多個接觸孔之間完全分離一個方形孔或多個方形孔變成長方形的單一接觸孔或單一接觸溝槽以改變接觸孔的設計;因此該源極(或該汲極)的寬度(或長度)可以剛好和該閘極的寬度(或長度)一樣而不會受限于利用狗骨頭形狀布局(dog-bone layout)以調整該閘極的寬度和可能具有多個方形接觸孔的該源極(或該汲極)的寬度之間的尺寸差異。(7) Completely separate a square hole or a plurality of square holes from a plurality of contact holes into a rectangular single contact hole or a single contact trench to change the design of the contact hole; therefore, the width of the source (or the drain) (or length) can be exactly the same as the width (or length) of the gate without being limited by using a dog-bone layout to adjust the width of the gate and possibly having multiple square contact holes The dimensional difference between the width of the source (or the drain).

(8) 因為具有良好設計的厚度的第一金屬層互連的填滿成功與否是取決於接觸孔的最小尺寸(通常是該自對準接觸(SACH)孔的長度),所以該第一金屬層互連可確實填滿所有存在的接觸孔,從而使現有技術中用於形成接觸柱的兩個步驟(例如填充鎢加上平坦化製程,也就是現有技術所公開的鎢柱製程和第一金屬層嵌入製程)可以被簡化成一個第一金屬層沉積製程。(8) Since the successful filling of the first metal layer interconnection with a well-designed thickness depends on the minimum size of the contact hole (usually the length of the self-aligned contact (SACH) hole), the first The metal layer interconnection can indeed fill all the existing contact holes, so that the two steps used to form the contact pillars in the prior art (such as filling tungsten plus planarization process, that is, the tungsten pillar process disclosed in the prior art and the second step) A metal layer embedding process) can be simplified into a first metal layer deposition process.

(9)通過上述整合的該自對準接觸孔和該第一金屬層形成製程以及該閘極被覆蓋在該氮化帽層之下且被該間隔層保護(其中該氮化帽層和該間隔層都可在該自對準接觸孔外的區域上創造一平坦的平面),該第一金屬層互連可被設計成具有多種布局方式以創建最佳分布的第一金屬層互連網。(9) Forming the self-aligned contact hole and the first metal layer through the above integrated process and the gate is covered under the nitride cap layer and protected by the spacer layer (wherein the nitride cap layer and the Spacer layers can create a flat surface on the area outside the self-aligned contact hole), the first metal level interconnection can be designed to have a variety of layouts to create an optimally distributed first metal level interconnection network.

(10)綜合上述優點,本發明所公開的金氧半場效電晶體結構可被製造成具有非常小的尺寸,其中該金氧半場效電晶體結構具有4λ的最小長度尺寸(也就是說包含等於λ的長度S(L),等於λ的長度D(L),等於λ的閘極長度,1/2λ用於左邊的隔離,以及1/2λ用於右邊的隔離)以及具有2λ的最小寬度尺寸,也就是說可在面積8λ2內實現了一種具有接觸孔和分別連接到該源極和該汲極的第一金屬層互連的世界上最小的單一電晶體。(10) Based on the above advantages, the metal oxide half field effect transistor structure disclosed in the present invention can be manufactured to have a very small size, wherein the metal oxide half field effect transistor structure has a minimum length dimension of 4λ (that is to say contains equal to λ of length S(L), equal to λ of length D(L), equal to λ of the gate length, 1/2λ for isolation on the left, and 1/2λ for isolation on the right) and a minimum width dimension of 2λ , that is to say, the world's smallest single transistor with a contact hole and a first metal layer interconnection connected to the source and the drain can be realized within an area of 8λ2.

當然,根據設計需求,長度G(L)、長度S(L)或長度D(L)可以大於最小特徵長度λ。Of course, according to design requirements, the length G(L), length S(L) or length D(L) may be greater than the minimum characteristic length λ.

因為本發明排除了光刻錯位公差的不確定性幷且採用新的自對準設計和製程技術,所以本發明的所有優點不僅不受限于應用在單一金氧半場效電晶體,也可應用在互補式金氧半(complementary metal oxide semiconductor, CMOS)電路,例如在面積方面進行了許多優化的功能單元(例如靜態隨機存取記憶體(Static Random Access Memory, SRAM),反及閘(NAND gate),反或閘(NOR gate),以及任何邏輯閘)都可以通過本發明的設計和製造原則來縮小晶片面積、電流、功耗以及速度,幷且具有準確性、可重複性、一致性以及更佳的裕度(margin)。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 Because the present invention eliminates the uncertainty of lithographic misalignment tolerances and adopts new self-alignment design and process technology, all the advantages of the present invention are not limited to the application of a single metal oxide semiconductor field effect transistor, but can also be applied to In complementary metal oxide semiconductor (CMOS) circuits, for example, many functional units have been optimized in terms of area (such as static random access memory (Static Random Access Memory, SRAM), NAND gate (NAND gate) ), NOR gate, and any logic gate) can reduce chip area, current, power consumption and speed through the design and manufacturing principles of the present invention, and have accuracy, repeatability, consistency and Better margin. The above descriptions are only preferred embodiments of the present invention, and all equivalent changes and modifications made according to the scope of the patent application of the present invention shall fall within the scope of the present invention.

100:金氧半場效電晶體 101:閘極結構 103、1704、2402:源極 105、1102:隔離區 107、1706、2404:汲極 109、111:接觸孔 102:基底 302:襯墊氧化層 304:襯墊氮化層 306:淺溝槽隔離-第一氧化層 402:介電絕緣體 404、602:閘極層 406、604:氮化層 702:旋塗介電層 802:閘極光罩層 902:溝槽 1002、2102、STI-oxide-2:淺溝槽隔離-第二氧化層 1502、2202:第三氧化間隔層 1504、2204:輕摻雜汲極 1506、2206:氮化間隔層 1602、2302:本質矽 1702、2304:化學氣相沉積-淺溝槽隔離-第三氧化層 1802、2406:氧化間隔層 1804:第一接觸孔 1806:第二接觸孔 1902、2502:第一金屬層 1904、2504:最小空間 1906:第一半導體區 1908:第一內含金屬區 1910:第二半導體區 1912:第二內含金屬區 1914:第一氧化保護層 1916:第二氧化保護層 D(L)、G(L)、S(L)、C-S(L)、C-D(L):長度 D(W)、G(W)、S(W)、C-S(W)、C-D(W):寬度 GEBESI、GEBEDI:距離 HSS:水平矽表面 DSG:偽屏蔽閘極 TG、TG2、TG3:真閘極 λ:最小特徵長度 Δλ:光刻錯位公差 10-70、202-228:步驟 100: Gold Oxygen Half Field Effect Transistor 101:Gate structure 103, 1704, 2402: source 105, 1102: quarantine area 107, 1706, 2404: drain 109, 111: contact hole 102: Base 302: pad oxide layer 304: Liner nitride layer 306: Shallow trench isolation - first oxide layer 402: Dielectric insulator 404, 602: gate layer 406, 604: nitride layer 702: spin coating dielectric layer 802:Gate mask layer 902: Groove 1002, 2102, STI-oxide-2: shallow trench isolation - second oxide layer 1502, 2202: the third oxide spacer layer 1504, 2204: lightly doped drain 1506, 2206: nitrided spacer layer 1602, 2302: essential silicon 1702, 2304: Chemical Vapor Deposition - Shallow Trench Isolation - Third Oxide Layer 1802, 2406: oxide spacer 1804: First contact hole 1806: Second contact hole 1902, 2502: first metal layer 1904, 2504: Minimum space 1906: First semiconductor area 1908: First metal-bearing area 1910:Second Semiconductor Region 1912: Second metal-bearing zone 1914: First oxidation protection layer 1916:Second oxidation protective layer D(L), G(L), S(L), C-S(L), C-D(L): Length D(W), G(W), S(W), C-S(W), C-D(W): Width GEBESI, GEBEDI: distance HSS: Horizontal Silicon Surface DSG: Dummy Shielded Gate TG, TG2, TG3: true gate λ: minimum characteristic length Δλ: photolithography misalignment tolerance 10-70, 202-228: Steps

第1圖是本發明一實施例所公開的微型化的金氧半場效電晶體的俯視圖。 第2A圖是本發明的另一實施例所公開的一微型化的金氧半場效電晶體的製造方法的流程圖。 第2B、2C、2D、2E、2F圖是說明第2A圖的流程圖。 第3圖是說明襯墊氮化層和淺溝槽隔離-第一氧化層的俯視圖。 第4圖是第3圖中沿X軸方向的橫截面圖。 第5圖是說明金氧半場效電晶體的閘極結構邊緣到源極和淺溝槽隔離-第一氧化層之間的邊界邊緣的對準的光刻錯位公差(photolithographic misalignment tolerance, PMT))的示意圖。 第6圖是說明可排除光刻錯位公差所造成的負面影響的新結構的示意圖。 第7圖是說明沉積旋塗介電層的示意圖。 第8圖是說明沉積和蝕刻設計良好的閘極光罩層的示意圖。 第9圖是說明通過異向性蝕刻技術移除偽屏蔽閘極、氮化層、介電絕緣體、以及對應偽屏蔽閘極的基底的示意圖。 第10圖是說明移除閘極光罩層、蝕刻旋塗介電層、沉積第二氧化層以及回蝕該第二氧化層以形成淺溝槽隔離-第二氧化層的示意圖。 第11、12、13、14圖是說明真閘極的位置和偽屏蔽閘極的位置之間的關係的示意圖。 第15圖是說明沉積以及蝕刻第三氧化層以形成第三氧化間隔層、在基底中形成輕摻雜汲極、沉積以及回蝕氮化層以形成氮化間隔層、以及移除介電絕緣體的示意圖。 第16圖是說明利用選擇性外延生長技術生成本質矽的示意圖。 第17圖是說明沉積以及回蝕化學氣相沉積-淺溝槽隔離-第三氧化層、移除本質矽以及形成金氧半場效電晶體的源極和汲極的示意圖。 第18圖是說明沉積以及蝕刻氧化間隔層以形成接觸孔開口的示意圖。 第19圖是說明沉積以及回蝕第一金屬層以形成第一金屬層互連的示意圖。 第20圖是本發明的另一實施例所公開的使用合幷的半導體接面和金屬導體結構形成源極和汲極,以及形成第一金屬層互連的示意圖。 第21圖是說明移除閘極光罩層,以及沉積第二氧化層以填滿溝槽和水平矽表面上的其他空缺以形成該淺溝槽隔離-第二氧化層,然後通過化學機械研磨技術平坦化該淺溝槽隔離-第二氧化層的示意圖。 第22圖是說明沉積以及蝕刻第三氧化層以形成第三氧化間隔層、在基底中形成輕摻雜區、沉積以及回蝕氮化層以形成氮化間隔層、以及移除介電絕緣體的示意圖。 第23圖是說明利用該選擇性外延生長技術生成本質矽的示意圖。 第24圖是說明沉積以及蝕刻氧化間隔層以形成接觸孔開口的示意圖。 第25圖是說明沉積以及蝕刻第一金屬層以形成第一金屬層互連的示意圖。 FIG. 1 is a top view of a miniaturized metal-oxide-semiconductor field-effect transistor disclosed by an embodiment of the present invention. FIG. 2A is a flow chart of a manufacturing method of a miniaturized metal oxide semiconductor field effect transistor disclosed by another embodiment of the present invention. Figures 2B, 2C, 2D, 2E, and 2F are flowcharts illustrating Figure 2A. FIG. 3 is a top view illustrating a pad nitride layer and an STI-first oxide layer. Fig. 4 is a cross-sectional view along the X-axis direction in Fig. 3 . Figure 5 illustrates the photolithographic misalignment tolerance (PMT) of the alignment of the gate structure edge to the source and shallow trench isolation-first oxide boundary edge of a metal oxide semiconductor field effect transistor. schematic diagram. FIG. 6 is a schematic diagram illustrating a new structure that eliminates the negative effects of lithographic misalignment tolerances. Figure 7 is a schematic diagram illustrating the deposition of a spin-on dielectric layer. FIG. 8 is a schematic diagram illustrating the deposition and etching of a well-designed gate mask layer. FIG. 9 is a schematic diagram illustrating the removal of dummy shielded gates, nitride layers, dielectric insulators, and substrates corresponding to dummy shielded gates by anisotropic etching techniques. FIG. 10 is a schematic diagram illustrating removing the gate mask layer, etching the spin-on dielectric layer, depositing the second oxide layer, and etching back the second oxide layer to form STI-second oxide layer. Figures 11, 12, 13 and 14 are diagrams illustrating the relationship between the position of the true gate and the position of the pseudo-shield gate. Figure 15 illustrates depositing and etching a third oxide layer to form a third oxide spacer, forming a lightly doped drain in the substrate, depositing and etching back a nitride layer to form a nitride spacer, and removing the dielectric insulator schematic diagram. FIG. 16 is a schematic diagram illustrating the growth of intrinsic silicon by selective epitaxy. FIG. 17 is a schematic diagram illustrating the deposition and etch-back of chemical vapor deposition-STI-third oxide layer, removal of intrinsic silicon, and formation of source and drain of a MOSFET. FIG. 18 is a schematic diagram illustrating deposition and etching of an oxide spacer layer to form a contact hole opening. FIG. 19 is a schematic diagram illustrating the deposition and etch back of the first metal layer to form the first metal layer interconnects. FIG. 20 is a schematic diagram of forming a source and a drain and forming a first metal layer interconnect using a merged semiconductor junction and metal conductor structure according to another embodiment of the present invention. Figure 21 illustrates the removal of the gate mask layer and the deposition of a second oxide layer to fill the trenches and other vacancies on the horizontal silicon surface to form the STI-second oxide layer, followed by chemical mechanical polishing Schematic diagram of planarizing the shallow trench isolation-second oxide layer. FIG. 22 illustrates depositing and etching a third oxide layer to form a third oxide spacer, forming a lightly doped region in the substrate, depositing and etching back a nitride layer to form a nitride spacer, and removing a dielectric insulator. schematic diagram. FIG. 23 is a schematic diagram illustrating the formation of intrinsic silicon using the selective epitaxial growth technique. FIG. 24 is a schematic diagram illustrating deposition and etching of an oxide spacer layer to form a contact hole opening. FIG. 25 is a schematic diagram illustrating deposition and etching of a first metal layer to form first metal layer interconnects.

100:金氧半場效電晶體 100: Gold Oxygen Half Field Effect Transistor

101:閘極結構 101:Gate structure

103:源極 103: source

105:隔離區 105: Quarantine

107:汲極 107: drain

109、111:接觸孔 109, 111: contact hole

D(L)、G(L)、S(L)、C-S(L)、C-D(L):長度 D(L), G(L), S(L), C-S(L), C-D(L): Length

D(W)、G(W)、S(W)、C-S(W)、C-D(W):寬度 D(W), G(W), S(W), C-S(W), C-D(W): Width

Claims (15)

一種電晶體結構,包含: 一半導體基底,具有一半導體表面; 一閘極結構,具有一長度; 一通道區; 一第一隔離區; 一第一半導體區電耦接該通道區之一第一端; 一第二半導體區電耦接該通道區之一第二端;以及 一金屬區至少接觸該第一半導體區的一頂面與該第一半導體區的一側壁;其中該第一半導體區位於該第一隔離區與該閘極結構之間。 A transistor structure comprising: A semiconductor substrate having a semiconductor surface; a gate structure with a length; a passage area; - the first isolation zone; a first semiconductor region electrically coupled to a first end of the channel region; a second semiconductor region electrically coupled to a second end of the channel region; and A metal region at least contacts a top surface of the first semiconductor region and a sidewall of the first semiconductor region; wherein the first semiconductor region is located between the first isolation region and the gate structure. 如請求項1所述的電晶體結構,其中該金屬區包含一第一內含金屬區與一第一金屬層,其中該第一金屬層接觸該第一半導體區的該頂面,該第一內含金屬區接觸該第一半導體區的該側壁。The transistor structure as claimed in claim 1, wherein the metal region comprises a first metal-containing region and a first metal layer, wherein the first metal layer contacts the top surface of the first semiconductor region, the first A metal-containing region contacts the sidewall of the first semiconductor region. 如請求項2所述的電晶體結構,更包含一接觸孔位於該第一隔離區與該閘極結構之間;該第一金屬層之一部分填入該接觸孔,該第一金屬層之另一部分位於該接觸孔之外。The transistor structure as claimed in claim 2, further comprising a contact hole located between the first isolation region and the gate structure; a part of the first metal layer fills the contact hole, and another part of the first metal layer A portion is located outside the contact hole. 如請求項3所述的電晶體結構,該接觸孔的橫向長度不大於一最小特徵長度。In the transistor structure according to claim 3, the lateral length of the contact hole is not greater than a minimum feature length. 如請求項2所述的電晶體結構,其中該第一金屬層位於該半導體表面之上,該第一內含金屬區位於該半導體表面之下。The transistor structure of claim 2, wherein the first metal layer is located above the semiconductor surface, and the first metal-containing region is located below the semiconductor surface. 如請求項5所述的電晶體結構,更包含一接觸孔位於該第一隔離區與該閘極結構之間;該第一金屬層之一部分填入該接觸孔,且該第一金屬層之另一部分位於該接觸孔之外。The transistor structure as claimed in claim 5, further comprising a contact hole located between the first isolation region and the gate structure; a part of the first metal layer fills the contact hole, and a part of the first metal layer The other part is located outside the contact hole. 如請求項1所述的電晶體結構,其中該金屬區更接觸該第一半導體區的一底面。The transistor structure as claimed in claim 1, wherein the metal region further contacts a bottom surface of the first semiconductor region. 如請求項1所述的電晶體結構,更包含一第一保護層位於該金屬區與該第一半導體區之下;該第一保護層隔離該金屬區之一底面接觸該半導體基底,並隔離該第一半導體區之一底面接觸該半導體基底。The transistor structure as claimed in claim 1, further comprising a first protection layer located under the metal region and the first semiconductor region; the first protection layer isolates a bottom surface of the metal region from contacting the semiconductor substrate, and isolates A bottom surface of the first semiconductor region is in contact with the semiconductor substrate. 如請求項8所述的電晶體結構,其中該第一保護層是一L型氧化保護層。The transistor structure as claimed in claim 8, wherein the first protection layer is an L-type oxide protection layer. 一種電晶體結構,包含: 一半導體基底,具有一半導體表面; 一閘極結構,具有一長度; 一通道區; 一第一隔離區; 一第一半導體區電耦接該通道區之一第一端; 一第二半導體區電耦接該通道區之一第二端; 一第一金屬區接觸該第一半導體區;以及 一第一保護層位於該第一金屬區與該第一半導體區之下,該第一保護層隔離該第一半導體區之一底面接觸該半導體基底,其中該第一保護層是一L型氧化保護層。 A transistor structure comprising: A semiconductor substrate having a semiconductor surface; a gate structure with a length; a passage area; - the first isolation zone; a first semiconductor region electrically coupled to a first end of the channel region; a second semiconductor region electrically coupled to a second end of the channel region; a first metal region contacts the first semiconductor region; and A first protection layer is located under the first metal region and the first semiconductor region, the first protection layer isolates a bottom surface of the first semiconductor region from contacting the semiconductor substrate, wherein the first protection layer is an L-type oxide The protective layer. 如請求項10所述的電晶體結構,其中該第一保護層進一步隔離該第一金屬區之一底面接觸該半導體基底。The transistor structure as claimed in claim 10, wherein the first protective layer further isolates a bottom surface of the first metal region from contacting the semiconductor substrate. 如請求項11所述的電晶體結構,更包含一第二金屬區接觸該第二半導體區,其中該第二金屬區之一底面接觸該半導體基底。The transistor structure as claimed in claim 11 further comprises a second metal region contacting the second semiconductor region, wherein a bottom surface of the second metal region contacts the semiconductor substrate. 如請求項11所述的電晶體結構,更包含一第二保護層位於該第二半導體區之下,以隔離該第二半導體區之一底面接觸該半導體基底。The transistor structure as claimed in claim 11 further comprises a second protection layer under the second semiconductor region to isolate a bottom surface of the second semiconductor region from contacting the semiconductor substrate. 如請求項10所述的電晶體結構,更包含一接觸孔位於該第一隔離區與該閘極結構之間;該第一金屬層之一部分填入該接觸孔並接觸該第一半導體區,該第一金屬層之另一部分位於該接觸孔之外。The transistor structure as claimed in claim 10, further comprising a contact hole between the first isolation region and the gate structure; a part of the first metal layer fills the contact hole and contacts the first semiconductor region, Another part of the first metal layer is located outside the contact hole. 如請求項14所述的電晶體結構,該接觸孔的橫向長度不大於一最小特徵長度。In the transistor structure according to claim 14, the lateral length of the contact hole is not greater than a minimum feature length.
TW111144010A 2020-06-24 2021-06-24 Transistor structure and related manufacture method TW202312493A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063043135P 2020-06-24 2020-06-24
US63/043,135 2020-06-24
US17/138,918 US20210407859A1 (en) 2020-06-24 2020-12-31 Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US17/138,918 2020-12-31
US17/151,635 2021-01-18
US17/151,635 US20210408245A1 (en) 2020-06-24 2021-01-18 Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method

Publications (1)

Publication Number Publication Date
TW202312493A true TW202312493A (en) 2023-03-16

Family

ID=78962760

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111144010A TW202312493A (en) 2020-06-24 2021-06-24 Transistor structure and related manufacture method
TW110123172A TWI787882B (en) 2020-06-24 2021-06-24 Transistor structure and related manufacture method

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110123172A TWI787882B (en) 2020-06-24 2021-06-24 Transistor structure and related manufacture method

Country Status (3)

Country Link
KR (1) KR20210158760A (en)
CN (1) CN113838754A (en)
TW (2) TW202312493A (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9281378B2 (en) * 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US8921136B2 (en) * 2013-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
CN107818943B (en) * 2013-11-28 2019-03-29 中国科学院微电子研究所 Semiconductor device and its manufacturing method
US10707346B2 (en) * 2015-09-25 2020-07-07 Intel Corporation High-voltage transistor with self-aligned isolation

Also Published As

Publication number Publication date
CN113838754A (en) 2021-12-24
TW202201641A (en) 2022-01-01
TWI787882B (en) 2022-12-21
KR20210158760A (en) 2021-12-31

Similar Documents

Publication Publication Date Title
US7060546B2 (en) Ultra-thin SOI MOSFET method and structure
US5770483A (en) Multi-level transistor fabrication method with high performance drain-to-gate connection
US6020239A (en) Pillar transistor incorporating a body contact
US20240030347A1 (en) Transistor structure with metal interconnection directly connecting gate and drain/source regions
US6333247B1 (en) Two-step MOSFET gate formation for high-density devices
US10811304B2 (en) Increased isolation of diffusion breaks in FinFET devices using an angled etch
US20200328109A1 (en) Standard cell device and method of forming an interconnect structure for a standard cell device
KR20070014610A (en) Method for fabricating semiconductor device
TWI787882B (en) Transistor structure and related manufacture method
TW202240874A (en) Fabrication process of vertical-channel, silicon, field-effect transistors
EP4195291A1 (en) Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US20210407859A1 (en) Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US20210408245A1 (en) Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
CN114765171A (en) Semiconductor structure and manufacturing method thereof
TWI834355B (en) Transistor structure with metal interconnection directly connecting gate and drain/source regions
KR20090046201A (en) Vertical transistor and method of forming the same
JP2023087695A (en) Miniaturized transistor structure with controlled dimensions of source/drain and contact opening and associated fabrication method
US20230052056A1 (en) Transistor structure with metal interconnection directly connecting gate and drain/source regions
US11355401B1 (en) Field effect transistor
KR102655099B1 (en) Transistor structure and related inverter
US11075121B2 (en) Semiconductor devices and fabrication methods thereof
KR100349351B1 (en) Method of fabricating a transistor in a semiconductor device
US20240128313A1 (en) Semiconductor structure and methods for manufacturing the same
TW202318571A (en) Transistor structure with metal interconnection directly connecting gate and drain/source regions
KR100611083B1 (en) Mos transistor and method for manufacturing the same