TW202312492A - 半導體裝置、電晶體及形成半導體裝置的方法 - Google Patents

半導體裝置、電晶體及形成半導體裝置的方法 Download PDF

Info

Publication number
TW202312492A
TW202312492A TW111110931A TW111110931A TW202312492A TW 202312492 A TW202312492 A TW 202312492A TW 111110931 A TW111110931 A TW 111110931A TW 111110931 A TW111110931 A TW 111110931A TW 202312492 A TW202312492 A TW 202312492A
Authority
TW
Taiwan
Prior art keywords
metal
gate dielectric
nanostructure
fluorine
work function
Prior art date
Application number
TW111110931A
Other languages
English (en)
Other versions
TWI843997B (zh
Inventor
李欣怡
洪正隆
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202312492A publication Critical patent/TW202312492A/zh
Application granted granted Critical
Publication of TWI843997B publication Critical patent/TWI843997B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

實施例包括一種裝置及形成裝置的方法,諸如包括第一奈米結構的奈米FET電晶體。閘極介電質在第一奈米結構周圍形成。閘極電極在閘極介電質上方形成,並且閘極電極包括第一功函數金屬。在閘極電極中,由於在形成第一功函數金屬之前執行的處理製程,第一金屬殘留物在閘極介電質與第一功函數金屬之間的界面處形成。第一金屬殘留物具有與第一功函數金屬的金屬元素不同的金屬元素。

Description

閘極結構電晶體及其形成方法
半導體裝置在各種電子應用中使用,例如,個人電腦、蜂巢電話、數位攝影機、及其他電子設備。半導體裝置通常藉由下列步驟製造:在半導體基板上方相繼沉積材料的絕緣或介電層、導電層、及半導體層,並且使用微影術圖案化各種材料層以在其上形成電路部件及元件。
半導體工業藉由持續減小最小特徵大小來持續提高各種電子部件 (例如,電晶體、二極體、電阻器、電容器等) 的積體密度,如此允許將更多部件得以整合到給定區域中。然而,隨著最小特徵尺寸減小,出現應當解決的額外問題。
以下揭示內容提供許多不同實施例或實例,以便實施本揭示內容的不同特徵。下文描述部件及佈置的具體實例以簡化本案。當然,此等僅為實例且並不意欲為限制性。例如,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。此外,本案可在各個實例中重複元件符號及/或字母。此重複係出於簡便性及清晰的目的且本身並不指示所論述的各個實施例及/或構造之間的關係。
另外,為了便於描述,本文可使用空間相對性術語 (諸如「在……下方」、「在……之下」、「下部」、「在……之上」、「上部」及類似者) 來描述諸圖中所示出的一個元件或特徵與另一元件或特徵的關係。除了諸圖所描繪的定向外,空間相對性術語意欲涵蓋使用或操作中裝置的不同定向。設備可經其他方式定向 (旋轉90度或處於其他定向) 且由此可同樣地解讀本文所使用的空間相對性描述詞。
各個實施例提供了具有氟處理的閘極介電層的閘極堆疊,接著沉積功函數金屬(work function metal;WFM)層。例如,氟處理可包括在閘極介電層 (諸如高介電常數閘極介電質) 上執行氟浸泡。在氟浸泡之後,WFM層可沉積為使得閘極介電層及WFM層的界面包括氟以及氟浸泡的複合殘留物。因此,所得電晶體的平帶電壓(V FB)可以朝向WFM層的金屬的頻帶邊緣增加,所得電晶體的閾值電壓可以減小,並且可改進裝置效能。
第1圖示出了根據一些實施例的呈三維視圖的奈米FET (例如,奈米線FET、奈米片FET(nanosheet FETs;NSFET)、或類似者) 的實例。奈米FET包含在基板50 (例如,半導體基板) 上的鰭部66上方的奈米結構55 (例如,奈米片、奈米線、或類似者) ,其中奈米結構55用作奈米FET的通道區域。奈米結構55可包括p型奈米結構、n型奈米結構、或其組合。隔離區域68在相鄰鰭部66之間設置,此等鰭部可在相鄰隔離區域68之上並且從相鄰隔離區域68之間突出。儘管將隔離區域68描述/示出為與基板50隔離,如本文所使用,術語「基板」可僅指半導體基板或半導體基板及隔離區域的組合。此外,儘管將鰭部66的底部示出為單一的與基板50連續的材料,鰭部66及/或基板50的底部可包含單一材料或複數種材料。在此上下文中,鰭部66指在相鄰隔離區域68之間延伸的部分。
閘極介電質100在鰭部66的頂表面上方並且沿著奈米結構55的頂表面、側壁、及底表面。閘極電極102在閘極介電質100上方。磊晶源極/汲極區域92在閘極介電質100及閘極電極102的相對側面上的鰭部66上設置。
第1圖進一步示出了在後續圖式中使用的參考橫截面。橫截面A-A’沿著閘極電極102的縱軸並且例如在與奈米FET的磊晶源極/汲極區域92之間的電流流動方向垂直的方向上。橫截面B-B’與橫截面A-A’垂直且與奈米FET的鰭部66的縱軸平行,並且例如在奈米FET的磊晶源極/汲極區域92之間的電流流動方向上。橫截面C-C’與橫截面A-A’平行並且延伸穿過奈米FET的磊晶源極/汲極區域。為了清楚起見,後續圖式參考此等參考橫截面。額外橫截面在下文關於第22C圖及第22D圖與第28C圖及第28D圖示出,其在下文描述。
本文論述的一些實施例在使用閘極在後(gate-last)製程形成的奈米FET的上下文中論述。在其他實施例中,可使用閘極在先(gate-first)製程。此外,一些實施例預期在平面裝置中使用的態樣,平面裝置諸如平面FET或在鰭式場效電晶體(fin field-effect transistors;FinFET)。
第2圖直至第26C圖為根據一些實施例的在製造奈米FET時的中間階段的橫截面圖。第27A圖直至第27C圖為根據其他實施例的奈米FET的橫截面圖。第28A圖直至第28E圖為根據又一些實施例的奈米FET的橫截面圖。第2圖直至第5圖、第6A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、及第28A圖示出了在第1圖中示出的參考橫截面A-A’。第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第11C圖、第12B圖、第12D圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、及第28B圖示出了在第1圖中示出的參考橫截面B-B’。第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第12C圖、第13C圖、第24C圖、第25C圖、第26C圖、第27C圖、及第28E圖示出了在第1圖中示出的參考橫截面C-C’。第22C圖及第22D圖分別示出了第22B圖的水平橫截面X-X’及Y-Y’。第28C圖及第28D圖分別示出了第28B圖的水平橫截面X-X’及Y-Y’。
在第2圖中,提供了提供基板50。基板50可為半導體基板,諸如塊體半導體、絕緣體上半導體(semiconductor-on-insulator;SOI)基板、或類似者,此半導體基板可為摻雜 (例如,用p型或n型摻雜劑) 或未摻雜的。基板50可為晶圓,諸如矽晶圓。通常,SOI基板係在絕緣體層上形成的一層半導體材料。絕緣體層可為例如內埋式氧化物(buried oxide;BOX)層、氧化矽層、或類似者。絕緣體層在基板 (通常為矽或玻璃基板) 上提供。亦可使用其他基板,諸如多層或梯度基板。在一些實施例中,基板50的半導體材料可包括:矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,包括鍺矽、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或鎵銦砷磷;或其組合。
基板50具有n型區域50N及p型區域50P。n型區域50N可以用於形成n型裝置,諸如NMOS電晶體,例如,n型奈米FET,並且p型區域50P可以用於形成p型裝置,諸如PMOS電晶體,例如,p型奈米FET。n型區域50N可與p型區域50P實體分離 (如由分隔線20示出) ,並且任何數目的裝置特徵 (例如,其他主動裝置、摻雜區域、隔離結構等) 可在n型區域50N與p型區域50P之間設置。儘管示出了一個n型區域50N及一個p型區域50P,可提供任何數目的n型區域50N及p型區域50P。在一些實施例中,一或多個阱及/或抗穿通(anti-punch through;APT)層可經由一或多個適當的佈植步驟在基板50中形成。
另外,在第2圖中,多層堆疊64在基板50上方形成。多層堆疊64包括第一半導體層51A-C (統稱為第一半導體層51) 及第二半導體層53A-C (統稱為第二半導體層53) 的交替層。出於說明目的並且如下文更詳細論述,將移除第二半導體層53,並且將圖案化第一半導體層51,以在p型區域50P中形成奈米FET的通道區域。此外,將移除第一半導體層51,並且將圖案化第二半導體層53,以在n型區域50N中形成奈米FET的通道區域。儘管如此,在一些實施例中,可移除第一半導體層51,並且可圖案化第二半導體層53,以在n型區域50N中形成奈米FET的通道區域,且可移除第二半導體層53,並且可圖案化第一半導體層51,以在p型區域50P中形成奈米FET的通道區域。
在又一些實施例中,可移除第一半導體層51,並且可圖案化第二半導體層53,以在n型區域50N及p型區域50P兩者中形成奈米FET的通道區域。在其他實施例中,可移除第二半導體層53,並且可圖案化第一半導體層51,以在n型區域50N及p型區域50P兩者中形成奈米FET的通道區域。在此種實施例中,在n型區域50N及p型區域50P兩者中的通道區域可具有相同的材料組成 (例如,矽、或類似者) 並且同時形成。第26A圖、第26B圖、及第27C圖示出了從此種實施例獲得的結構,其中在p型區域50P及n型區域50N兩者中的通道區域包含例如矽。
出於說明目的,將多層堆疊64示出為包括第一半導體層51及第二半導體層53的每一者的三層。在一些實施例中,多層堆疊64可包括任何數目的第一半導體層51及第二半導體層53。多層堆疊64的每個層可使用製程磊晶生長,諸如化學氣相沉積(chemical vapor deposition;CVD)、原子層沉積(atomic layer deposition;ALD)、氣相磊晶(vapor phase epitaxy;VPE)、分子束磊晶(molecular beam epitaxy;MBE)、或類似者。在各個實施例中,第一半導體層51可由適用於p型奈米FET的第一半導體材料 (諸如鍺矽、或類似者) 形成,並且第二半導體層53可由適用於n型奈米FET的第二半導體材料 (諸如矽、碳矽、或類似者) 形成。出於說明目的,將多層堆疊64示出為具有適用於p型奈米FET的最底部半導體層。在一些實施例中,多層堆疊64可形成以使得最底部層係適用於n型奈米FET的半導體層。
第一半導體材料及第二半導體材料可為對彼此具有高蝕刻選擇性的材料。因此,第一半導體材料的第一半導體層51可移除,而不顯著移除n型區域50N中的第二半導體材料的第二半導體層53,藉此允許圖案化第二半導體層53以形成n型奈米FET的通道區域。類似地,第二半導體材料的第二半導體層53可移除,而不顯著移除p型區域50P中的第一半導體材料的第一半導體層51,藉此允許圖案化第一半導體層51以形成p型奈米FET的通道區域。在其他實施例中,在n型區域50N及p型區域50P中的通道區域可同時形成並且具有相同的材料組成,諸如矽、鍺矽、或類似者。第27A圖、第27B圖、及第27C圖示出了從此種實施例獲得的結構,其中p型區域50P及n型區域50N兩者中的通道區域包含例如矽。
現在參見第3圖,根據一些實施例,鰭部66在基板50中形成並且奈米結構55在多層堆疊64中形成。在一些實施例中,奈米結構55及鰭部66可藉由在多層堆疊64及基板50中蝕刻溝槽分別在多層堆疊64及基板50中形成。蝕刻可為任何可接受的蝕刻製程,諸如反應性離子蝕刻(reactive ion etch;RIE)、中性束蝕刻(neutral beam etch;NBE)、類似者、或其組合。蝕刻可為各向異性的。藉由蝕刻多層堆疊64形成奈米結構55可進一步定義來自第一半導體層51的第一奈米結構52A-C (統稱為第一奈米結構52) 並且定義來自第二半導體層53的第二奈米結構54A-C (統稱為第二奈米結構54)。第一奈米結構52及第二奈米結構54可進一步統稱為奈米結構55。
鰭部66及奈米結構55可藉由任何適當方法圖案化。例如,鰭部66及奈米結構55可使用一或多個光微影製程 (包括雙圖案化或多圖案化製程) 來圖案化。通常,雙圖案化或多圖案化製程結合光微影及自對準製程,從而允許產生具有例如與可另外使用單個、直接光微影製程獲得的節距相比較小的節距的圖案。例如,在一個實施例中,犧牲層在基板上方形成並且使用光微影製程圖案化。間隔件使用自對準製程在圖案化的犧牲層旁邊形成。隨後移除犧牲層,並且可隨後使用剩餘間隔件來圖案化鰭部66。
出於說明目的,第3圖將n型區域50N及p型區域50P中的鰭部66示出為具有實質上相等的寬度。在一些實施例中,n型區域50N中的鰭部66的寬度可大於或薄於p型區域50P中的鰭部66。另外,儘管在全文中將鰭部66及奈米結構55的每一者示出為具有恆定寬度,在其他實施例中,鰭部66及/或奈米結構55可具有漸縮側壁,使得鰭部66及/或奈米結構55的每一者的寬度在朝向基板50的方向上持續增加。在此種實施例中,奈米結構55的每一者可具有不同寬度及梯形形狀。
在第4圖中,淺溝槽隔離(shallow trench isolation;STI)區域68(隔離區域68) 鄰近鰭部66形成。STI區域68可藉由在基板50、鰭部66、及奈米結構55上方並且在相鄰鰭部66之間沉積絕緣材料來形成。絕緣材料可為氧化物,諸如氧化矽、氮化物、類似者、或其組合,並且可藉由高密度電漿CVD(high-density plasma CVD;HDP-CVD)、可流動CVD(flowable CVD;FCVD)、類似者、或其組合形成。可使用藉由任何可接受製程形成的其他絕緣材料。在所示出的實施例中,絕緣材料係藉由FCVD製程形成的氧化矽。一旦形成絕緣材料,就可執行退火製程。在一實施例中,形成絕緣材料,使得過量絕緣材料覆蓋奈米結構55。儘管將絕緣材料示出為單一層,一些實施例可利用多個層。例如,在一些實施例中,襯墊 (未分別示出) 可首先沿著基板50、鰭部66、及奈米結構55的表面形成。隨後,填充材料 (諸如上文論述的彼等) 可在襯墊上方形成。
移除製程隨後應用於絕緣材料,以移除奈米結構55上方的過量絕緣材料。在一些實施例中,可利用平坦化製程,諸如化學機械拋光(chemical mechanical polish;CMP)、回蝕製程、其組合、或類似者。平坦化製程暴露出奈米結構55,以使得在完成平坦化製程之後,奈米結構55的頂表面與絕緣材料齊平。
隨後凹陷絕緣材料以形成STI區域68。凹陷絕緣材料,使得在n型區域50N及p型區域50P中的鰭部66的上部從相鄰STI區域68之間突出。另外,STI區域68的頂表面可具有如所示出的平坦表面、凸起表面、凹入表面 (諸如碟形) 、或其組合。STI區域68的頂表面可藉由適當蝕刻形成為平坦、凸起、及/或凹入的。STI區域68可使用可接受的蝕刻製程凹陷,諸如對絕緣材料的材料具有選擇性的蝕刻製程 (例如,以與鰭部66及奈米結構55的材料相比較快的速率蝕刻絕緣材料的材料) 。例如,可使用氧化物移除,此氧化物移除使用例如稀釋的氫氟 (dHF) 酸。
上文關於第2圖直至第4圖描述的製程僅係可如何形成鰭部66及奈米結構55的一個實例。在一些實施例中,鰭部66及/或奈米結構55可使用遮罩及磊晶生長製程形成。例如,介電層可以在基板50的頂表面上方形成,並且溝槽可以穿過介電層蝕刻以暴露出下層結構(基板50)。磊晶結構可以在溝槽中磊晶生長,並且介電層可以凹陷為使得磊晶結構從介電層突起以形成鰭部66及/或奈米結構55。磊晶結構可包含上文論述的交替半導體材料,諸如第一半導體材料及第二半導體材料。在其中磊晶生長磊晶結構的一些實施例中,磊晶生長的材料可在生長期間原位摻雜,此可避免先前及/或後續佈植,儘管可一起使用原位及佈植摻雜。
此外,僅出於說明目的,本文將第一半導體層51 (及所得第一奈米結構52) 及第二半導體層53 (及所得第二奈米結構54) 示出並且論述為,在p型區域50P及n型區域50N中包含相同材料。因此,在一些實施例中,第一半導體層51及第二半導體層53的一者或兩者在p型區域50P及n型區域50N中可為不同材料或以不同順序形成。
另外,在第4圖中,適當阱 (未分別示出) 可在鰭部66、奈米結構55、及/或STI區域68中形成。在具有不同阱類型的實施例中,用於n型區域50N及p型區域50P的不同佈植步驟可使用光阻劑或其他遮罩 (未分別示出) 實現。例如,光阻劑可在n型區域50N及p型區域50P中的鰭部66及STI區域68上方形成。圖案化光阻劑以暴露出p型區域50P。光阻劑可以藉由使用旋塗技術形成,並且可以使用可接受的光微影技術圖案化。一旦圖案化光阻劑,n型雜質佈植就在p型區域50P中執行,並且光阻劑可用作遮罩,以實質上防止將n型雜質佈植到n型區域50N中。n型雜質可為在區域中佈植到在約10 13原子/cm 3至約10 14原子/cm 3的範圍中的濃度的磷、砷、銻、或類似者。在佈植之後,移除光阻劑,諸如藉由可接受的灰化製程。
在佈植p型區域50P之後或之前,光阻劑或其他遮罩 (未分別示出) 在p型區域50P及n型區域50N中的鰭部66、奈米結構55、及STI區域68上方形成。圖案化光阻劑以暴露出n型區域50N。光阻劑可以藉由使用旋塗技術形成並且可以使用可接受的光微影技術圖案化。一旦圖案化光阻劑,p型雜質佈植就可在n型區域50N中執行,並且光阻劑可用作遮罩以實質上防止將p型雜質佈植到p型區域50P中。p型雜質可為在區域中佈植到在約10 13原子/cm 3至約10 14原子/cm 3的範圍中的濃度的硼、氟化硼、銦、或類似者。在佈植之後,可移除光阻劑,諸如藉由可接受的灰化製程。
在n型區域50N及p型區域50P的佈植之後,可執行退火以修復佈植損壞並且活化所佈植的p型及/或n型雜質。在一些實施例中,磊晶鰭部的生長的材料可在生長期間原位摻雜,此可避免佈植,儘管原位及佈植摻雜可一起使用。
在第5圖中,虛擬介電層70在鰭部66及/或奈米結構55上形成。虛擬介電層70可例如係氧化矽、氮化矽、其組合、或類似者,並且可根據可接受的技術沉積或熱生長。虛擬閘極層72在虛擬介電層70上方形成,並且遮罩層74在虛擬閘極層72上方形成。虛擬閘極層72可在虛擬介電層70上方形成並且隨後平坦化,諸如藉由CMP。遮罩層74可在虛擬閘極層72上方沉積。虛擬閘極層72可為導電或不導電材料並且可選自包括下列材料的群組:非晶矽、多晶矽 (聚矽) 、多晶鍺矽 (聚SiGe) 、金屬氮化物、金屬矽化物、金屬氧化物、及金屬。虛擬閘極層72可藉由物理氣相沉積(physical vapor deposition;PVD)、CVD、濺射沉積、或用於沉積所選材料的其他技術來沉積。虛擬閘極層72可由其他材料製成,此等材料與蝕刻隔離區域相比具有高蝕刻選擇性。例如,遮罩層74可包括氮化矽、氮氧化矽、或類似者。在此實例中,單一虛擬閘極層72及單一遮罩層74跨n型區域50N及p型區域50P形成。注意到,僅出於說明目的,圖示了僅覆蓋鰭部66及奈米結構55的虛擬介電層70。在一些實施例中,虛擬介電層70可經沉積為使得虛擬介電層70覆蓋STI區域68,以使得虛擬介電層70在虛擬閘極層72與STI區域68之間延伸。
第6A圖直至第18B圖示出了在製造實施例裝置時的各個額外步驟。第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第12C圖、第13A圖、第13C圖、第14A圖、及第15A圖示出了在n型區域50N或p型區域50P的任一者中的特徵。在第6A圖及第6B圖中,遮罩層74 (參見第5圖) 可使用可接受的光微影及蝕刻技術圖案化以形成遮罩78。隨後可將遮罩78的圖案轉移到虛擬閘極層72及虛擬介電層70以分別形成虛擬閘極76及虛擬閘極介電質71。虛擬閘極76覆蓋鰭部66的相應通道區域。遮罩78的圖案可用於將虛擬閘極76的每一者與相鄰虛擬閘極76實體分離。虛擬閘極76亦可具有縱向方向,此縱向方向實質上與相應鰭部66的縱向方向垂直。
在第7A圖及第7B圖中,第一間隔層80及第二間隔層82分別在第6A圖及第6B圖中示出的結構上方形成。將隨後圖案化第一間隔層80及第二間隔層82以用作用於形成自對準的源極/汲極區域的間隔件。在第7A圖及第7B圖中,第一間隔層80在STI區域68的頂表面;鰭部66、奈米結構55、及遮罩78的頂表面及側壁;以及虛擬閘極76及虛擬閘極介電質71的側壁上的形成。第二間隔層82在第一間隔層80上方沉積。第一間隔層80可使用技術諸如熱氧化由氧化矽、氮化矽、氮氧化矽、或類似者形成,或藉由CVD、ALD、或類似者沉積。第二間隔層82可由具有與第一間隔層80的材料不同的蝕刻速率的材料形成,諸如氧化矽、氮化矽、氮氧化矽、或類似者,並且可藉由CVD、ALD、或類似者沉積。
在形成第一間隔層80之後並且在形成第二間隔層82之前,可執行對輕微摻雜的源極/汲極(lightly doped source/drain;LDD)區域 (未分別示出) 的佈植。在具有不同裝置類型的實施例中,與上文在第4圖中論述的佈植類似,遮罩 (諸如光阻劑) 可在n型區域50N上方形成,同時暴露出p型區域50P,並且適當類型 (例如,p型) 雜質可佈植到p型區域50P中的暴露的鰭部66及奈米結構55中。可隨後移除遮罩。隨後,遮罩 (諸如光阻劑) 可在p型區域50P上方形成,同時暴露出n型區域50N,並且適當類型的雜質 (例如,n型) 可佈植到n型區域50N中的暴露的鰭部66及奈米結構55中。可隨後移除遮罩。n型雜質可為先前論述的n型雜質的任一者,並且p型雜質可為先前論述的p型雜質的任一者。輕微摻雜的源極/汲極區域可具有從約1x10 15原子/cm 3至約1x10 19原子/cm 3的範圍中的雜質濃度。退火可用於修復佈植損壞並且活化佈植的雜質。
在第8A圖及第8B圖中,蝕刻第一間隔層80及第二間隔層82以形成第一間隔件81及第二間隔件83。如將在下文更詳細論述,第一間隔件81及第二間隔件83用於自對準的隨後形成的源極汲極區域,以及在後續處理期間保護鰭部66及/或奈米結構55的側壁。第一間隔層80及第二間隔層82可使用適當的蝕刻製程蝕刻,諸如各向同性蝕刻製程 (例如,濕式蝕刻製程) 、各向異性蝕刻製程 (例如,乾式蝕刻製程) 、或類似者。在一些實施例中,第二間隔層82的材料具有與第一間隔層80的材料不同的蝕刻速率,使得當圖案化第二間隔層82時第一間隔層80可用作蝕刻終止層並且使得當圖案化第一間隔層80時第二間隔層82可用作遮罩。例如,第二間隔層82可使用各向異性蝕刻製程蝕刻,其中第一間隔層80用作蝕刻終止層,其中第二間隔層82的剩餘部分形成第二間隔件83,如第8A圖中示出。隨後,第二間隔件83用作遮罩,同時蝕刻第一間隔層80的暴露部分,藉此形成第一間隔件81,如第8A圖中示出。
如第8A圖中示出,第一間隔件81及第二間隔件83在鰭部66及/或奈米結構55的側壁上設置。如第8B圖中示出,在一些實施例中,第二間隔層82可從鄰近遮罩78、虛擬閘極76、及虛擬閘極介電質71的第一間隔層80上方移除,並且第一間隔件81在遮罩78、虛擬閘極76、及虛擬閘極介電質71的側壁上設置。在其他實施例中,第二間隔層82的一部分可餘留在鄰近遮罩78、虛擬閘極76、及虛擬閘極介電質71的第一間隔層80上方。
注意到,以上揭示通常描述了形成間隔件及LDD區域的製程。可使用其他製程及順序。例如,可利用較少或額外間隔件,可利用不同步驟順序 (例如,第一間隔件81可在沉積第二間隔層82之前圖案化) ,可形成並且移除額外間隔件,及/或類似者。此外,n型及p型裝置可使用不同結構及步驟形成。
在第9A圖及第9B圖中,根據一些實施例,第一凹陷86在鰭部66、奈米結構55、及基板50中形成。磊晶源極/汲極區域將隨後在第一凹陷86中形成。第一凹陷86延伸穿過第一奈米結構52及第二奈米結構54,並且延伸到基板50中。如第9A圖中示出,STI區域68的頂表面可與第一凹陷86的底表面齊平。在各個實施例中,可蝕刻鰭部66,使得第一凹陷86的底表面在STI區域68的頂表面下方設置;或類似者。第一凹陷86可藉由使用各向異性蝕刻製程 (諸如RIE、NBE、或類似者) 蝕刻鰭部66、奈米結構55、及基板50形成。第一間隔件81、第二間隔件83、及遮罩78在用於形成第一凹陷86的蝕刻製程期間遮蔽鰭部66、奈米結構55、及基板50的部分。單個蝕刻製程或多個蝕刻製程可用於蝕刻奈米結構55及/或鰭部66的每一層。定時的蝕刻製程可用於在第一凹陷86到達期望深度之後,終止第一凹陷86的蝕刻。
在第10A圖及第10B圖中,藉由第一凹陷86暴露的由第一半導體材料形成的多層堆疊64的層的側壁的部分 (例如,第一奈米結構52) 經蝕刻以在n型區域50N中形成側壁凹陷88,並且藉由第一凹陷86暴露的由第二半導體材料形成的多層堆疊64的層的側壁的部分 (例如,第二奈米結構54) 經蝕刻,以在p形區域50P中形成側壁凹陷88。儘管在第10B圖中將在側壁凹陷88中的第一奈米結構52及第二奈米結構54的側壁示出為筆直的,側壁可為凹入或凸起的。側壁可使用各向同蝕刻製程 (諸如濕式蝕刻或類似者) 來蝕刻。p型區域50P可使用遮罩 (未圖示) 保護,而對第一半導體材料具有選擇性的蝕刻劑用於蝕刻第一奈米結構52,使得與n型區域50N中的第一奈米結構52相比,第二奈米結構54及基板50保持相對未蝕刻。類似地,n型區域50N可使用遮罩 (未圖示) 保護,而對第二半導體材料具有選擇性的蝕刻劑用於蝕刻第二奈米結構54,使得與p型區域50P中的第二奈米結構54相比,第一奈米結構52及基板50保持相對未蝕刻。在一實施例中,其中第一奈米結構52包括例如SiGe,並且第二奈米結構54包括例如Si或SiC,利用四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、氫氧化銨(NH 4OH)、或類似者的乾式蝕刻製程可用於蝕刻n型區域50N中的第一奈米結構52的側壁,並且利用氟化氫、另一基於氟的氣體、或類似者的乾式蝕刻製程可用於蝕刻p型區域50P中的第二奈米結構54的側壁。
在第11A圖至第11C圖中,第一內部間隔件90在側壁凹陷88中形成。第一內部間隔件90可藉由在第10A圖及第10B圖中示出的結構上方沉積內部間隔層 (未分別示出) 形成。第一內部間隔件90用作在後續形成的源極/汲極區域與閘極結構之間的隔離特徵。如將在下文更詳細論述,源極/汲極區域將在第一凹陷86中形成,而n型區域50N中的第一奈米結構52及p型區域50P中的第二奈米結構54將用對應的閘極結構替代。
內部間隔層可藉由共形沉積製程 (諸如CVD、ALD、或類似者) 來沉積。內部間隔層可包含材料諸如氮化矽或氮氧化矽,儘管可利用任何適當材料,諸如具有小於約3.5的介電常數值的低介電常數 (低k) 材料。可隨後各向異性蝕刻內部間隔層以形成第一內部間隔件90。儘管將第一內部間隔件90的外側壁示出為與n型區域50N中的第二奈米結構54的側壁齊平,並且與p型區域50P中的第一奈米結構52的側壁齊平,第一內部間隔件90的外側壁可分別延伸超出第二奈米結構54及/或第一奈米結構52的側壁或從此等側壁凹陷。
此外,儘管在第11B圖中將第一內部間隔件90的外側壁示出為筆直的,第一內部間隔件90的外側壁可為凹入或凸起的。舉例而言,第11C圖示出了實施例,其中第一奈米結構52的側壁係凹入的,第一內部間隔件90的外側壁係凹入的,並且第一內部間隔件從n型區域50N中的第二奈米結構54的側壁凹陷。亦示出了實施例,其中第二奈米結構54的側壁係凹入的,第一內部間隔件90的外側壁係凹入的,並且第一內部間隔件從p型區域50P中的第一奈米結構52的側壁凹陷。內部間隔層可藉由各向異性蝕刻製程 (諸如RIE、NBE、或類似者) 蝕刻。第一內部間隔件90可用於防止由後續蝕刻製程 (諸如用於形成閘極結構的蝕刻製程) 對後續形成的源極/汲極區域 (諸如下文關於第12A圖至第12C圖論述的磊晶源極/汲極區域92) 的損壞。
在第12A圖至第12C圖中,磊晶源極/汲極區域92在第一凹陷86中形成。在一些實施例中,磊晶源極/汲極區域92可在n型區域50N中的第二奈米結構54及p型區域50P中的第一奈米結構52上施加應力,藉此提高效能。如第12B圖中示出,磊晶源極/汲極區域92在第一凹陷86中形成,使得每個虛擬閘極76在磊晶源極/汲極區域92的相應相鄰對之間設置。在一些實施例中,第一間隔件81用於分離磊晶源極/汲極區域92與虛擬閘極層72,並且第一內部間隔件90用於分離磊晶源極/汲極區域92與奈米結構55達適當橫向距離,使得磊晶源極/汲極區域92不使所得奈米FET的後續形成的閘極短路。
n型區域50N (例如,N型金屬-氧化物-半導體區域;N-Metal-Oxide-Semiconductor;NMOS區域) 中的磊晶源極/汲極區域92可藉由遮蔽p型區域50P (例如,P型金屬-氧化物-半導體區域;P-Metal-Oxide-Semiconductor;PMOS區域) 形成。隨後,磊晶源極/汲極區域92在n型區域50N中的第一凹陷86中磊晶生長。磊晶源極/汲極區域92可包括適用於n型奈米FET的任何可接受的材料。例如,若第二奈米結構54係矽,則磊晶源極/汲極區域92可包括在第二奈米結構54上施加拉伸應變的材料,諸如矽、碳化矽、磷摻雜的碳化矽、磷化矽、或類似者。磊晶源極/汲極區域92可具有從奈米結構55的相應上表面凸起的表面並且可具有刻面。
p型區域50P (例如,PMOS區域) 中的磊晶源極/汲極區域92可藉由遮蔽n型區域50N (例如,NMOS區域) 來形成。隨後,磊晶源極/汲極區域92在p型區域50P中的第一凹陷86中磊晶生長。磊晶源極/汲極區域92可包括適用於p型奈米FET的任何可接受的材料。例如,若第一奈米結構52係鍺矽,則磊晶源極/汲極區域92可包含在第一奈米結構52上施加壓縮應變的材料,諸如鍺矽、硼摻雜的鍺矽、鍺、鍺錫、或類似者。磊晶源極/汲極區域92亦可具有從多層堆疊64的相應表面凸起的表面並且可具有刻面。
類似於先前針對形成輕微摻雜的源極/汲極區域所論述的製程,磊晶源極/汲極區域92、第一奈米結構52、第二奈米結構54、及/或基板50可用摻雜劑佈植以形成源極/汲極區域,接著退火。源極/汲極區域可具有在約1x10 19原子/cm 3與約1x10 21原子/cm 3之間的雜質濃度。用於源極/汲極區域的n型及/或p型雜質可為先前論述的雜質的任一者。在一些實施例中,磊晶源極/汲極區域92可在生長期間原位摻雜。
由於用於在n型區域50N及p型區域50P中形成磊晶源極/汲極區域92的磊晶製程,磊晶源極/汲極區域92的上表面具有從奈米結構55的側壁向外橫向擴展的刻面。在一些實施例中,如由第12A圖示出,此等刻面導致相同奈米FET的相鄰磊晶源極/汲極區域92合併。在其他實施例中,如由第12C圖示出,在完成磊晶製程之後,相鄰磊晶源極/汲極區域92保持分離。在第12A圖及第12C圖中示出的實施例中,將第一間隔件81形成到STI區域68的頂表面,藉此阻擋磊晶生長。在一些其他實施例中,第一間隔件81可覆蓋奈米結構55的側壁的部分,從而進一步阻擋磊晶生長。在一些其他實施例中,用於形成第一間隔件81的間隔件蝕刻可經調節以移除間隔件材料,允許磊晶生長的區域延伸到STI區域68的表面。
磊晶源極/汲極區域92可包含一或多個半導體材料層。例如,磊晶源極/汲極區域92可包含第一半導體材料層92A、第二半導體材料層92B、及第三半導體材料層92C。任何數目的半導體材料層可用於磊晶源極/汲極區域92。第一半導體材料層92A、第二半導體材料層92B、及第三半導體材料層92C的每一者可由不同半導體材料形成並且可摻雜到不同摻雜劑濃度。在一些實施例中,第一半導體材料層92A可具有小於第二半導體材料層92B並且大於第三半導體材料層92C的摻雜劑濃度。在實施例中,其中磊晶源極/汲極區域92包含三個半導體材料層,第一半導體材料層92A可沉積,第二半導體材料層92B可在第一半導體材料層92A上方沉積,並且第三半導體材料層92C可在第二半導體材料層92B上方沉積。
第12D圖示出了實施例,其中n型區域50N中的第一奈米結構52的側壁及p型區域50P中的第二奈米結構54的側壁係凹入的,第一內部間隔件90的外側壁係凹入的,並且第一內部間隔件90分別從第二奈米結構54及第一奈米結構52的側壁凹陷。如第12D圖中示出,磊晶源極/汲極區域92可與第一內部間隔件90接觸而形成,並且可延伸經過n型區域50N中的第二奈米結構54的側壁並且經過p型區域50P中的第一奈米結構52的側壁。另外,在實施例中,其中第一內部間隔件90從第二奈米結構54及/或第一奈米結構52的側壁凹陷,磊晶源極/汲極區域92可分別在第二奈米結構54及/或第一奈米結構52之間形成。
在第13A圖至第13C圖中,第一層間介電質(interlayer dielectric;ILD) 96分別在第6A圖、第12B圖、及第12A圖中示出的結構上方沉積 (第7A圖至第12D圖的製程不改變第6A圖中示出的橫截面)。第一ILD 96可由介電材料形成,並且可藉由任何適當方法沉積,諸如CVD、電漿增強CVD(plasma-enhanced CVD;PECVD)、或FCVD。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass;PSG)、硼矽酸鹽玻璃(boro-silicate glass;BSG)、硼摻雜的磷矽酸鹽玻璃(boron-doped phospho-silicate glass;BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass;USG)、或類似者。可使用藉由任何可接受製程形成的其他絕緣材料。在一些實施例中,接觸蝕刻終止層(contact etch stop layer;CESL) 94在第一ILD 96與磊晶源極/汲極區域92、遮罩78、及第一間隔件81之間設置。CESL 94可包含具有與覆蓋的第一ILD 96的材料不同的蝕刻速率的介電材料,諸如,氮化矽、氧化矽、氮氧化矽、或類似者。
在第14A圖及第14B圖中,可執行平坦化製程 (諸如CMP) 以使第一ILD 96的頂表面與虛擬閘極76或遮罩78的頂表面齊平。平坦化製程亦可移除虛擬閘極76上的遮罩78、以及第一間隔件81沿著遮罩78的側壁的部分。在平坦化製程之後,虛擬閘極76、第一間隔件81、及第一ILD 96的頂表面在製程變化內齊平。由此,虛擬閘極76的頂表面穿過第一ILD 96暴露出。在一些實施例中,遮罩78可餘留,在此情況下,平坦化製程使第一ILD 96的頂表面與遮罩78及第一間隔件81的頂表面的頂表面齊平。
在第15A圖及第15B圖中,虛擬閘極76、及遮罩78 (若存在) 在一或多個蝕刻步驟中移除,使得形成第二凹陷98。亦可移除在第二凹陷98中的虛擬閘極介電質71的部分。在一些實施例中,虛擬閘極76及虛擬閘極介電質71藉由各向異性乾式蝕刻製程移除。例如,蝕刻製程可包括使用反應氣體的乾式蝕刻製程,此 (等) 反應氣體以與第一ILD 96或第一間隔件81相比較快的速率選擇性蝕刻虛擬閘極76。每個第二凹陷98暴露出及/或覆蓋奈米結構55的部分,此等部分用作後續完成的奈米FET中的通道區域。用作通道區域的奈米結構55的部分在相鄰對的磊晶源極/汲極區域92之間設置。在移除期間,當蝕刻虛擬閘極76時,虛擬閘極介電質71可用作蝕刻終止層。在移除虛擬閘極76之後,可隨後移除虛擬閘極介電質71。
在第16A圖及第16B圖中,p型區域50P中的第二奈米結構54可藉由在n型區域50N上方形成遮罩 (未圖示),並且使用對第二奈米結構54的材料具有選擇性的蝕刻劑執行各向同性蝕刻製程 (諸如濕式蝕刻或類似者) 來移除,而與第二奈米結構54相比,第一奈米結構52、基板50、STI區域68保持相對未蝕刻。在實施例中,其中第二奈米結構54包括例如SiGe,並且第一奈米結構52包括例如Si或SiC,氟化氫、另一基於氟的氣體、或類似者可用於移除p型區域50P中的第二奈米結構54。
在其他實施例中,n型區域50N及p型區域50P中的通道區域可同時形成,例如藉由移除n型區域50N及p型區域50P兩者中的第一奈米結構52,或藉由移除n型區域50N及p型區域50P兩者中的第二奈米結構54。在此種實施例中,n型奈米FET及p型奈米FET的通道區域可具有相同的材料組成,諸如矽、鍺矽、或類似者。第27A圖、第27B圖、及第27C圖示出了從此種實施例獲得的結構,其中p型區域50P及n型區域50N兩者中的通道區域藉由第二奈米結構54提供並且包含例如矽。
在第17A圖直至第23B圖中,根據一些實施例,在第二凹陷98中形成用於替代閘極的閘極介電層及閘極電極。在形成閘極電極之前,閘極介電質用氟處理,使得閘極介電質與閘極介電質上的WFM層的界面包括氟及可選地來自氟浸泡的額外材料。由於氟浸泡,所得電晶體的平帶電壓(V FB)可以朝向WFM層的金屬的頻帶邊緣增加,所得電晶體的閾值電壓可以減小,並且可改進裝置效能。
在n型區域50N及p型區域50P中形成閘極介電質可同時發生,使得在每個區域中的閘極介電質由相同材料形成,並且形成閘極電極可同時發生,使得在每個區域中的閘極電極由相同材料形成。在一些實施例中,在每個區域中的閘極介電質可藉由不同製程形成,使得閘極介電質可為不同材料及/或具有不同數目的層,及/或在每個區域中的閘極電極可藉由不同材料形成,使得閘極電極可為不同材料及/或具有不同數目的層。當使用不同製程時,各種遮蔽步驟可用於遮蔽及暴露適當區域。在以下描述中,分別形成n型區域50N的閘極電極及p型區域50P的閘極電極。
第17A圖直至第22D圖示出了在p型區域50P中形成閘極介電質100及閘極電極102,並且至少當在p型區域50P中形成閘極電極102時,可遮蔽n型區域50N (例如,如下文在第18A圖直至第22D圖中描述) 。
在第17A圖及第17B圖中,閘極介電質100在p型區域50P中的第二凹陷98中共形地沉積。閘極介電質100包含一或多個介電層,諸如氧化物、金屬氧化物、類似者、或其組合。例如,在一些實施例中,閘極介電質100可包含第一閘極介電質101 (例如,包含氧化矽、或類似者) 及在第一閘極介電質101上方的第二閘極介電質103 (例如,包含金屬氧化物、或類似者) 。在一些實施例中,第二閘極介電質103包括高介電常數介電材料,並且在此等實施例中,第二閘極介電質103可具有大於約7.0的介電常數值,並且可包括金屬氧化物或鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛、及其組合的矽酸鹽。第一閘極介電質101可被稱為界面層,並且在一些實施例中第二閘極介電質103可被稱為高介電常數閘極介電質。
閘極介電質100的結構在n型區域50N及p型區域50P中可為相同或不同的。例如,在p型區域50P中形成閘極介電質100時,可遮蔽或暴露n型區域50N。在其中暴露出n型區域50N的實施例中,閘極介電質100可在n型區域50N中同時形成。閘極介電層100的形成方法可包括分子束沉積(molecular-beam deposition;MBD)、ALD、PECVD、及類似者。
在第18A圖及第18B圖中,將氟處理109應用於高介電常數閘極介電質103。在一些實施例中,氟處理109係在高介電常數閘極介電質103的表面上方流動含氟前驅物的沉積製程 (例如,ALD製程、及CVD製程、或類似者) 。在一些實施例中,含氟前驅物可為WF x、NF x、TiF x、TaF x、HfF x、或類似者,其中x係在1至6的範圍中的整數。例如,在一些實施例中,含氟前驅物可為WF 6及/或NF 3。在高介電常數閘極介電質103 (第二閘極介電質103) 係金屬氧化物的情況下,由於氟處理109,氟與高介電常數閘極介電質103的金屬氧化物的金屬的比率可在0.015至0.40的範圍中。
氟處理109可在約250°C至約475°C的範圍中的溫度下執行。當氟處理109的溫度小於250°C時,含氟前驅物可能不適當地解離並且影響第一導電材料105及/或其下層中的期望改變。當氟處理109的溫度大於475°C時,從含氟前驅物解離的氟的量可能過大而難以精確控制。在一些實施例中,氟處理109可執行達1秒至15分鐘的範圍中的持續時間。當氟處理109執行達小於1秒時,處理製程可能不足以調諧所得電晶體的閾值電壓。當氟處理109執行達大於15分鐘時,可將過量的氟引入裝置中,從而導致電容等效厚度(capacitance equivalent thickness;CET)損失 (例如,重新生長界面層 (第一閘極介電質101)) 。
在一些實施例中,氟處理109係使用單一化學物質 (例如,WF 6、NF 3、或類似者) 而不具有將觸發還原氧化反應的另一化學物質的沉積製程。由此,氟處理109不在高介電常數閘極介電質103 (第二閘極介電質103) 上沉積連續膜。然而,在實施例中,其中含氟前驅物亦包含金屬,金屬的殘留物111的離散凹穴可在高介電常數閘極介電質103的頂表面上形成,包括在第一奈米結構52之間的區域50I中。殘留物111的每個凹穴可從殘留物111的其他凹穴斷開,並且在高介電常數閘極介電質103上不形成連續膜。
在實施例中,其中在氟處理109期間使用的含氟前驅物係WF 6,殘留物111可為在高介電常數閘極介電質103上形成的鎢殘留物。殘留物111可在高介電常數閘極介電質103的暴露表面上形成,包括在第一奈米結構52之間的區域50I中。在一些實施例中,其中殘留物111係鎢殘留物並且高介電常數閘極介電質103包含HfO 2,在區域50I中鎢與鉿的比率可小於0.1,諸如在約0.005至約0.1的範圍中,或小於0.005。當在區域50I中鎢與鉿的比率大於0.1時,所得裝置可能不具有期望的閾值電壓 (例如,閾值電壓可能過高)。
在一些實施例中,其中在氟處理109期間使用的含氟前驅物係WF x、TiF x、TaF x、HfF x、或類似者,其中x係在1至6的範圍中的整數,殘留物111可能分別係在高介電常數閘極介電質103上形成的第一金屬的殘留物,包括鎢殘留物、鈦殘留物、鉭殘留物、鉿殘留物、或類似殘留物。殘留物111可在高介電常數閘極介電質103的暴露表面上形成,包括在第一奈米結構52之間的區域50I中。在一些實施例中,其中殘留物111係第一金屬的殘留物並且高介電常數閘極介電質103包含與第一金屬不同的第二金屬的氧化物或矽酸鹽,在區域50I中第一金屬與第二金屬的比率可為小於0.1,諸如在約0.005至約0.1的範圍中,或小於0.005。當在區域50I中第一金屬與第二金屬的比率大於約0.1時,所得裝置可能不具有期望的閾值電壓 (例如,閾值電壓可能過高) 。
在其他實施例中,其中含氟前驅物不包含金屬 (例如,含氟前驅物係NF 3) ,殘留物111可能不在高介電常數閘極介電質103上形成。例如,第28A圖至第28E圖示出了實施例,其中不形成殘留物111,並且在氟處理109期間使用的含氟前驅物係NF 3
在第19A圖及第19B圖中,第一導電材料105在p型區域50P中的閘極介電質100上共形地沉積。在一些實施例中,第一導電材料105係p型WFM,包含氮化鈦、氮化鉭、氮化鈦矽(TiSiN)、或類似者。第一導電材料105可藉由CVD、ALD、PECVD、PVD、或類似者沉積。第一導電材料可經沉積以圍繞第一奈米結構52的每一者。在一些實施例中,第一導電材料105僅可部分圍繞第一奈米結構52的每一者。在沉積第一導電材料105之後,開口130可保持在第一奈米結構52之間的區域50I中。
歸因於氟處理109,在第一導電材料105與高介電常數閘極介電質103之間的界面可具有高的氟濃度。然而,因為在沉積第一導電材料105之前執行氟處理109,第一導電材料105可能不具有氟或具有降低的氟濃度。例如,一些氟可從第一導電材料105與高介電常數閘極介電質103之間的界面擴散回到第一導電材料105中。
直接對高介電常數閘極介電質103執行氟處理109具有優於對覆蓋層 (諸如WFM層) 執行氟處理的一些優點,並且允許氟擴散到高介電常數閘極介電質103。當允許氟擴散穿過WFM層 (諸如第一導電材料105) 時,氟將替代第一導電材料105的膜的正常位點處的原子,此可導致不良WFM效能,例如,改變WFM層的金屬的頻帶邊緣及/或增加閾值電壓。例如,在第一導電材料105係TiN的情況下,氟原子可以替代在第一導電材料105的膜結構中的此種位點中的鈦及/或氮原子。將氟處理109應用於高介電常數閘極介電質103避免第一導電材料105的位點替代。即使在沉積第一導電材料105期間或之後氟從高介電常數閘極介電質103擴散回到第一導電材料105中,擴散可為主要間質性的,而非位點替換,例如,超過50%間質性,諸如大於75%間質性。
使用X射線光電子光譜分析,可觀察到從氟處理109獲得的各種元素。氟可在高介電常數閘極介電質103中觀察到,氟在高介電常數閘極介電質103與第一導電材料105的界面處具有峰值濃度,在高介電常數閘極介電質103的整個厚度中的濃度具有負濃度梯度。從穿過高介電常數閘極介電質103的擴散獲得的氟亦可在界面層 (第一閘極介電質101) 中觀察到。在利用含氟前驅物的實施例中,此含氟前驅物亦包括金屬,諸如WF x、TiF x、TaF x、HfF x、或類似者,其中x係在1至6的範圍中的整數,凹穴中的前驅物的金屬部分的殘留物111 (諸如上文描述者),可在X射線光電子光譜分析中在高介電常數閘極介電質103與第一導電材料105的界面處觀察到。在前驅物的金屬部分與高介電常數閘極介電質103的金屬不同,並且與第一導電材料105的金屬不同的情況下,X射線光電子光譜分析已經揭示了不僅使用氟處理109,亦藉由在殘留物111中存在氟處理109的金屬部分而使用前驅物類型。在前驅物的金屬部分與高介電常數閘極介電質103的金屬相同或與第一導電材料105的金屬相同的情況下,X射線光電子光譜分析建議藉由以上文描述的方式存在氟,而使用氟處理109。此外,在氟處於峰值濃度 (在高介電常數閘極介電質103與第一導電材料105的界面處) 的情況下,高介電常數閘極介電質103的金屬濃度的尖峰,或第一導電材料105的金屬的濃度尖峰,亦可指示在氟處理109中使用的前驅物類型。在第29圖中提供了示例X射線光電子光譜分析。
簡單地參見第29圖,如在圖的右側處指示,揭示了各種元素。應當理解,第29圖中的圖僅係實例。根據針對界面層101、高介電常數閘極介電質103、及第一導電材料105的每一者的適當層位置,已經添加垂直虛線。值得注意的是,在高介電常數閘極介電質103與第一導電材料105之間的界面處,觀察到氟及鎢作為殘留物111的峰值濃度。由此,可以觀察到,已經使用利用含有鎢及氟的前驅物的氟處理。類似分析可以針對其他金屬進行,此等金屬可以在氟處理109中使用。
再次參見第19A圖及第19B圖,當在高介電常數閘極介電質103中氟與鉿的比率小於0.015時,氟的量可能不足以調諧所得電晶體的閾值電壓。另一方面,當在高介電常數閘極介電質103中氟與鉿的比率大於0.4時,過量的氟可能已經引入高介電常數閘極介電質103中,從而導致CET損失 (例如,界面層101的重新生長) 。在一些實施例中,在高介電常數閘極介電質103中的氟的量可在約2.5%至約15%的範圍中。
由此,如上文描述,各種實施例包括氟處理的高介電常數閘極介電質103,從而在高介電常數閘極介電質103與第一導電材料105的界面處導致氟峰值濃度。因此,所得電晶體的V FB可以朝向WFM層的金屬的頻帶邊緣增加,所得裝置的閾值電壓可以減小,並且可改進裝置效能。例如,在實驗資料中,在執行氣體退火之後,應用WF 6浸泡的實施例氟處理在金屬氧化物半導體電容器上導致22 mV至24 mV的正有效功函數(effective work function;EFW)偏移。
在第20A圖及第20B圖中,第二導電材料107在第一導電材料105及殘留物111上共形地沉積。在一些實施例中,第二導電材料107係p型WFM,包含氮化鈦、氮化鉭、氮化鎢、氮化鉬、或類似者。第二導電材料107可藉由CVD、ALD、PECVD、PVD、或類似者沉積。因為第二導電材料107在氟處理109之後沉積,第二導電材料107可能不具有氟或具有與第一導電材料105以及高介電常數閘極介電質103相比較低的氟濃度。
第二導電材料107可填充在第一奈米結構52之間的區域50I的剩餘部分 (例如,填充開口130,參見第18A圖及第18B圖) 。例如,第二導電材料107可在第一導電材料105上沉積,直到其合併並且接合在一起,並且在一些實施例中,界面107S可藉由第二導電材料107的第一部分107A (例如,導電材料107A) 形成,此第一部分觸碰區域50I中的第二導電材料107的第二部分107B (例如,導電材料107B) 。
在第21A圖及第21B圖中,黏著層117在第二導電層107上方共形地沉積。在一些實施例中,黏著層117在p型區域50P中的第二導電材料107上共形地沉積。在一些實施例中,黏著層117包含氮化鈦、氮化鉭、或類似者。黏著層117可藉由CVD、ALD、PECVD、PVD、或類似者沉積。例如,黏著層117可替代地稱為膠層並且改進在第二導電材料107與覆蓋的填充金屬119之間的黏著性。
在第22A圖、第22B圖、第22C圖、及第22D圖中,沉積閘極電極102的剩餘部分以填充第二凹陷98的剩餘部分。填充金屬119可隨後在黏著層117上方沉積。在一些實施例中,填充金屬119包含藉由CVD、ALD、PECVD、PVD、或類似者沉積的鈷、釕、鋁、鎢、其組合、或類似者。所得閘極電極102針對替代閘極形成,並且可包含第一導電材料105、殘留物111 (若存在) 、第二導電材料107、黏著層117、及填充金屬119。第22C圖示出了沿著第22B圖的橫截面X-X’的水平橫截面圖 (例如,在區域50I中 (例如,參見第20A圖) ) ,而第22D圖示出了沿著第22B圖的橫截面Y-Y’的俯視圖 (例如,穿過第一奈米結構52) 。
在p型區域50P中,閘極介電質100、第一導電材料105、第二導電材料107、黏著層117、及填充金屬119可各自在第一奈米結構52的頂表面、側壁、及底表面上形成。殘留物111可在第一導電材料105與第二導電材料107之間的界面處形成,並且殘留物111的金屬元素可與第一導電材料105及/或第二導電材料107的金屬元素不同。閘極介電質100、第一導電材料105、殘留物111、第二導電材料107、黏著層117、及填充金屬119亦可在第一ILD 96 (第一層間介電質96)、CESL 94 (接觸蝕刻終止層94)、第一間隔件81、及STI區域68 (隔離區域68) 的頂表面上沉積。在填充第二凹陷98之後,可執行平坦化製程 (諸如CMP) 以移除閘極介電質100、第一導電材料105、殘留物111、第二導電材料107、黏著層117、及填充金屬119的過量部分,此等過量部分在第一ILD 96的頂表面上方。閘極電極102及閘極介電質100的材料的剩餘部分因此形成所得奈米FET的替代閘極結構。閘極電極102及閘極介電質100可統稱為「閘極結構」。
第23A圖及第23B圖示出了n型區域50N中的閘極堆疊。在n型區域50N中形成閘極堆疊可包括首先移除n型區域50N中的第一奈米結構52。第一奈米結構52可藉由在p型區域50P上方形成遮罩 (未圖示) 及使用對第一奈米結構52的材料具有選擇性的蝕刻劑執行各向同性蝕刻製程 (諸如濕式蝕刻或類似者) 來移除,而與第一奈米結構52相比,第二奈米結構54、基板50、及STI區域68保持相對未蝕刻。在實施例中,其中第一奈米結構52A-52C包括例如SiGe,並且第二奈米結構54A-54C包括例如Si或SiC,四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、氫氧化銨(NH 4OH)或類似者可用於移除n型區域50N中的第一奈米結構52。
閘極堆疊隨後在n型區域50N中的第二奈米結構54上方及周圍形成。閘極堆疊包括閘極介電質100及閘極電極127。在一些實施例中,n型區域50N及p型區域50P中的閘極介電質100可同時形成。另外,閘極電極127的至少部分可在形成閘極電極102之前或之後 (參見第22A圖及第22B圖) 形成,並且閘極電極127的至少部分可在遮蔽p型區域50P時形成。因此,閘極電極127可包含與閘極電極102不同的材料。例如,閘極電極127可包含導電層121、阻障層123、及填充金屬125。導電層121可為n型功函數金屬(WFM)層,包含n型金屬,諸如鈦鋁、碳化鈦鋁、鉭鋁、碳化鉭、其組合、或類似者。導電層121可藉由CVD、ALD、PECVD、PVD、或類似者沉積。阻障層123可包含氮化鈦、氮化鉭、碳化鎢、其組合、或類似者,並且阻障層123可進一步用作黏著層。阻障層123可藉由CVD、ALD、PECVD、PVD、或類似者沉積。填充金屬125包含藉由CVD、ALD、PECVD、PVD、或類似者沉積的鈷、釕、鋁、鎢、其組合、或類似者。填充金屬125可能或可能不具有相同的材料組成並且與填充金屬119同時沉積。
在填充第二凹陷98之後,可執行平坦化製程 (諸如CMP) 以移除閘極介電質100及閘極電極127的過量部分,此等過量部分在第一ILD 96的頂表面上方。閘極電極127及閘極介電質100的材料的剩餘部分因此形成n型區域50N的所得奈米FET的替代閘極結構。可同時或分別執行用於移除p型區域50P中的閘極電極102的過量材料及n型區域50N中的閘極電極127的過量材料的CMP製程。
在第24A圖、第24B圖、及第24C圖中,凹陷閘極結構 (包括閘極介電質100、閘極電極102、及閘極電極127) ,使得凹陷直接在閘極結構上方並且在第一間隔件81的相對部分之間形成。包含介電材料 (諸如氮化矽、氮氧化矽、或類似者) 的一或多層的閘極遮罩104在凹陷中填充,接著平坦化製程以移除在第一ILD 96上方延伸的介電材料的過量部分。隨後形成的閘極觸點 (諸如下文關於第26A圖、第26B圖、及第26C圖論述的閘極觸點114) 穿透閘極遮罩104以接觸凹陷的閘極電極102及閘極電極127的頂表面。
如由第24A圖、第24B圖、及第24C圖進一步示出,第二ILD 106 (第二層間介電質106) 在第一ILD 96 (第一層間介電質96) 上方並且在閘極遮罩104上方沉積。在一些實施例中,第二ILD 106係藉由FCVD形成的可流動膜。在一些實施例中,第二ILD 106由介電材料形成,諸如PSG、BSG、BPSG、USG、或類似者,並且可藉由任何適當方法沉積,諸如CVD、PECVD、或類似者。
在第25A圖、第25B圖、及第25C圖中,蝕刻第二ILD 106、第一ILD 96、CESL 94、及閘極遮罩104以形成第三凹陷108,從而根據閘極電極102及/或閘極電極127暴露出磊晶源極/汲極區域92及/或閘極結構的表面。第三凹陷108可藉由使用各向異性蝕刻製程 (諸如RIE、NBE、或類似者) 蝕刻來形成。在一些實施例中,第三凹陷108可使用第一蝕刻製程穿過第二ILD 106及第一ILD 96蝕刻;可使用第二蝕刻製程穿過閘極遮罩104蝕刻;以及可隨後使用第三蝕刻製程穿過CESL 94蝕刻。遮罩 (諸如光阻劑) 可在第二ILD 106上方形成及圖案化以從第一蝕刻製程及第二蝕刻製程遮蔽第二ILD 106的部分。在一些實施例中,蝕刻製程可過度蝕刻,並且由此,第三凹陷108延伸到磊晶源極/汲極區域92及/或閘極結構中,並且第三凹陷108的底部可與磊晶源極/汲極區域92及/或閘極結構齊平 (例如,處於同一水平,或距基板具有相同距離) ,或低於磊晶源極/汲極區域92及/或閘極結構 (例如,更靠近基板)。儘管第25B圖示出了由於在相同橫截面中暴露出磊晶源極/汲極區域92及閘極結構的第三凹陷108,在各個實施例中,磊晶源極/汲極區域92及閘極結構可在不同橫截面中暴露出,藉此降低短路隨後形成的觸點的風險。
在形成第三凹陷108之後,矽化物區域110在磊晶源極/汲極區域92上方形成。在一些實施例中,矽化物區域110藉由下列形成:首先沉積能夠與下層磊晶源極/汲極區域92的半導體材料 (例如,矽、鍺矽、鍺) 反應的金屬 (未圖示) 以在磊晶源極/汲極區域92的暴露部分上方形成矽化物或鍺化物區域 (諸如鎳、鈷、鈦、鉭、鉑、鎢、其他惰性金屬、其他耐火金屬、稀土金屬或其合金) ,隨後執行熱退火製程以形成矽化物區域110。隨後移除沉積的金屬的未反應部分,例如,藉由蝕刻製程。儘管矽化物區域110被稱為矽化物區域,矽化物區域110亦可為鍺化物區域、或鍺化矽區域 (例如,包含矽化物及鍺化物的區域)。在一實施例中,矽化物區域110包含TiSi,並且具有在約2 nm與約10 nm之間的範圍中的厚度。
接下來,在第26A圖、第26B圖、及第26C圖中,觸點112及114 (亦可稱為接觸插塞) 在第三凹陷108中形成。觸點112及114可各自包含一或多層,諸如阻障層、擴散層、及填充材料。例如,在一些實施例中,觸點112及觸點114各自包括阻障層及導電材料,並且電氣耦合到下層導電特徵 (例如,在示出的實施例中,閘極電極102、閘極電極127、及/或矽化物區域110) 。觸點112電氣耦合到閘極電極102及閘極電極127且可被稱為閘極觸點,並且觸點114電氣耦合到矽化物區域110且可被稱為源極/汲極觸點。阻障層可包括鈦、氮化鈦、鉭、氮化鉭、或類似者。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳、或類似者。可執行平坦化製程 (諸如CMP) 以從第二ILD 106的表面移除過量的材料。
第27A圖、第27B圖、及第27C圖示出了根據一些替代實施例的裝置的橫截面圖。第27A圖示出了第1圖中示出的參考橫截面A-A’。第27B圖示出了第1圖中示出的參考橫截面B-B’。第27C圖示出了第1圖中示出的參考橫截面C-C’。在第27A圖、第27B圖、及第27C圖中,類似元件符號指示藉由與第26A圖、第26B圖、及第26C圖的結構類似的製程形成的類似元件。然而,在第27A圖、第27B圖、及第27C圖中,n型區域50N及p型區域50P中的通道區域包含相同材料。例如,第二奈米結構54 (包含矽) 提供用於p型區域50P中的p型奈米FET及用於n型區域50N中的n型奈米FET的通道區域。第27A圖、第27B圖、及第27C圖的結構可例如藉由下列形成:從p型區域50P及n型區域50N兩者同時移除第一奈米結構52;在p型區域50P中的第二奈米結構54周圍沉積閘極介電質100及閘極電極102;以及在n型區域50N中的第二奈米結構54周圍沉積閘極介電質100及閘極電極127。
第28A圖、第28B圖、第28C圖、第28D圖、及第28E圖示出了根據一些替代實施例的裝置的橫截面圖。第28A圖示出了第1圖中示出的參考橫截面A-A’。第28B圖示出了第1圖中示出的參考橫截面B-B’。第28C圖示出了在第一奈米結構52之間的水平橫截面,例如,沿著第28B圖中的線X-X’(橫截面X-X’)。第28D圖示出了穿過奈米結構52的水平橫截面,例如,沿著第28B圖中的線Y-Y’(橫截面Y-Y’)。第28E圖示出了第1圖中示出的參考橫截面C-C’。在第28A圖、第28B圖、及第28E圖中,類似元件符號分別指示藉由與第26A圖、第26B圖、及第26C圖的結構類似的製程形成的類似元件。此外,在第28D圖中,類似元件符號指示藉由與第22D圖的結構類似的製程形成的類似元件。然而,在第28A圖、第28B圖、第28C圖、第28D圖、及第28E圖中,殘留物111不在第一導電材料105與第二導電材料107之間形成。例如,當在氟處理109 (參見第19A圖至第19B圖) 期間使用的含氟前驅物不含有金屬時,可實現此舉。例如,在其中含氟前驅物係NF 3之實施例中,可能不形成殘留物111。
各個實施例提供了具有氟處理的高介電常數閘極介電層的閘極堆疊。例如,氟處理可包括對閘極介電層 (例如,高介電常數閘極介電質) 執行氟浸泡。因此,所得電晶體的平帶電壓可以朝向WFM層的覆蓋金屬的頻帶邊緣增加,所得電晶體的閾值電壓可以減小,並且可提高裝置效能。
一個實施例係包括第一奈米結構及在第一奈米結構上方的第二奈米結構的裝置。裝置亦包括在第一奈米結構周圍的第一閘極介電質。裝置亦包括在第二奈米結構周圍的第二閘極介電質。裝置亦包括在第一閘極介電質及第二閘極介電質上方的閘極電極,其中閘極電極可包括第一功函數金屬。裝置亦包括在第二閘極介電質與第一功函數金屬之間的界面處的第一金屬殘留物,其中第一金屬殘留物具有與第一功函數金屬的金屬元素不同的金屬元素。在一實施例中,第一閘極介電質及第二閘極介電質各自可包括氟。在一實施例中,第一閘極介電質可進一步包括氧化鉿,並且其中在第一閘極介電質中氟與鉿的比率在0.015至0.4的範圍中。在一實施例中,在第一奈米結構與第二奈米結構之間的區域中第一金屬殘留物的金屬元素與鉿的比率小於0.1。在一實施例中,裝置其中第一金屬殘留物的金屬元素係鎢。在一實施例中,第二金屬殘留物具有與第一金屬殘留物相同的相同金屬元素,並且第二金屬殘留物與第一金屬殘留物斷開。在一實施例中,閘極電極進一步可包括第二功函數金屬,其中第一金屬殘留物的金屬元素與第二功函數金屬的金屬元素不同。在一實施例中,閘極電極進一步可包括:在第二功函數金屬上方的黏著層及在黏著層上方的填充金屬。
另一實施例係包括在半導體基板上方的第一奈米結構及在第一奈米結構上方的第二奈米結構的電晶體。電晶體亦包括圍繞第一奈米結構及第二奈米結構的閘極介電質,其中閘極介電質可包括鉿及氟,並且其中在閘極介電質中氟與鉿的比率係在0.015與0.4的範圍中。電晶體亦包括在閘極介電質上方的閘極電極,其中閘極電極可包括:第一p型功函數材料。電晶體亦包括在第一p型功函數材料上方的第二p型功函數金屬。電晶體亦包括在第二p型功函數材料上方的黏著層。電晶體亦包括在黏著層上方的填充金屬,其中氟的峰值濃度設置於閘極介電質與第一p型功函數材料之間的界面處。在一實施例中,金屬殘留物包括在閘極介電質與第一p型功函數材料之間的界面處。在一實施例中,金屬殘留物可包括與閘極介電質不同並且與第一p型功函數材料不同的金屬。在一實施例中,金屬殘留物係鎢。在一實施例中,第一p型功函數材料可包括氟,並且在第一p型功函數材料中的氟主要間質性地位於第一p型功函數金屬的膜中。
另一實施例係一種包括在第一奈米結構及第二奈米結構周圍沉積閘極介電質的方法,第一奈米結構設置於第二奈米結構上方。方法亦包括對閘極介電質執行氟處理。方法亦包括在閘極介電質上方沉積第一p型功函數金屬,第一p型功函數金屬設置於第一奈米結構及第二奈米結構周圍。方法亦包括在第一p型功函數金屬上方沉積第二p型功函數金屬。在一實施例中,氟處理係將閘極介電質的表面暴露於含氟前驅物的沉積製程。在一實施例中,含氟前驅物係WF x、NF x、TiF x、TaF x、或HfF x,其中x係在1至6的範圍中的整數。在一實施例中,氟處理在閘極介電質上形成金屬殘留物。在一實施例中,氟處理省略觸發與含氟前驅物的還原氧化反應的化學物質。在一實施例中,氟處理在250°C至475°C的範圍中的溫度下執行。在一實施例中,氟處理執行達1秒至15分鐘的持續時間。
上文概述若干實施例的特徵,使得熟習此項技術者可更好地理解本案的態樣。熟習此項技術者應瞭解,可輕易使用本案作為設計或修改其他製程及結構的基礎,以便執行本文所介紹的實施例的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效構造並未脫離本案的精神及範疇,且可在不脫離本案的精神及範疇的情況下產生本文的各種變化、取代及更改。
20:分隔線 50:基板 50I:區域 50N:n型區域 50P:p型區域 51A:第一半導體層 51B:第一半導體層 51C:第一半導體層 52:第一奈米結構 52A:第一奈米結構 52B:第一奈米結構 52C:第一奈米結構 53A:第二半導體層 53B:第二半導體層 53C:第二半導體層 54A:第二奈米結構 54B:第二奈米結構 54C:第二奈米結構 55:奈米結構 64:多層堆疊 66:鰭部 68:隔離區域 70:虛擬介電層 71:虛擬閘極介電質 72:虛擬閘極層 74:遮罩層 76:虛擬閘極 78:遮罩 80:第一間隔層 81:第一間隔件 82:第二間隔層 83:第二間隔件 86:第一凹陷 88:側壁凹陷 90:第一內部間隔件 92:磊晶源極/汲極區域 92A:第一半導體材料層 92B:第二半導體材料層 92C:第三半導體材料層 94:接觸蝕刻終止層 96:第一層間介電質 98:第二凹陷 100:閘極介電質 101:第一閘極介電質 102:閘極電極 103:第二閘極介電質 104:閘極遮罩 105:第一導電材料 106:第二層間介電質 107:第二導電材料 107A:第一部分 107B:第二部分 107S:界面 108:第三凹陷 109:氟處理 110:矽化物區域 111:殘留物 112:觸點 114:觸點 117:黏著層 119:填充金屬 121:導電層 123:阻障層 125:填充金屬 127:閘極電極 130:開口 A-A’:橫截面 B-B’:橫截面 C-C’:橫截面 X-X’:橫截面 Y-Y’:橫截面
當結合隨圖閱讀時,自以下詳細描述將最佳地理解本案的態樣。應注意,根據工業中的標準實務,各個特徵並未按比例繪製。事實上,出於論述清晰的目的,可任意增加或減小各個特徵的尺寸。 第1圖示出了根據一些實施例的呈三維視圖的奈米結構場效電晶體 (nanostructure field-effect transistor;奈米FET) 的實例。 第2圖、第3圖、第4圖、第5圖、第6A圖、第6B圖、第7A圖、第7B圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11A圖、第11B圖、第11C圖、第12A圖、第12B圖、第12C圖、第12D圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第15A圖、第15B圖、第16A圖、第16B圖、第17A圖、第17B圖、第18A圖、第18B圖、第19A圖、第19B圖、第20A圖、第20B圖、第21A圖、第21B圖、第22A圖、第22B圖、第22C圖、第22D圖、第23A圖、第23B圖、第24A圖、第24B圖、第24C圖、第25A圖、第25B圖、第25C圖、第26A圖、第26B圖、及第26C圖為根據一些實施例的在製造奈米FET時的中間階段的橫截面圖。 第27A圖、第27B圖、及第27C圖為根據一些實施例的奈米FET的橫截面圖。 第28A圖、第28B圖、第28C圖、第28D圖、及第28E圖為根據一些實施例的奈米FET的橫截面圖。 第29圖示出了根據X射線光電子光譜分析的元素分析圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
50:基板
50P:p型區域
50N:n型區域
52A:第一奈米結構
52B:第一奈米結構
52C:第一奈米結構
54A:第二奈米結構
54B:第二奈米結構
54C:第二奈米結構
68:STI區域
102:閘極電極
104:閘極遮罩
106:第二層間介電質
111:殘留物
112:觸點
127:閘極電極

Claims (20)

  1. 一種裝置,包含: 一第一奈米結構; 一第二奈米結構,在該第一奈米結構上方; 一第一閘極介電質,在該第一奈米結構周圍; 一第二閘極介電質,在該第二奈米結構周圍; 一閘極電極,在該第一閘極介電質及該第二閘極介電質上方,其中該閘極電極包含一第一功函數金屬;以及 一第一金屬殘留物,在該第二閘極介電質與該第一功函數金屬之間的一界面處,其中該第一金屬殘留物具有與該第一功函數金屬的一金屬元素不同的一金屬元素。
  2. 如請求項1所述之裝置,其中該第一閘極介電質及該第二閘極介電質各自包含氟。
  3. 如請求項2所述之裝置,其中該第一閘極介電質進一步包含氧化鉿,並且其中在該第一閘極介電質中氟與鉿的一比率係在0.015至0.4的一範圍中。
  4. 如請求項3所述之裝置,其中在該第一奈米結構與該第二奈米結構之間的一區域中該第一金屬殘留物的該金屬元素與鉿的一比率小於0.1。
  5. 如請求項1所述之裝置,其中該第一金屬殘留物的該金屬元素係鎢。
  6. 如請求項1所述之裝置,進一步包含在該第二閘極介電質與該第一功函數金屬之間的該界面處的一第二金屬殘留物,其中該第二金屬殘留物具有與該第一金屬殘留物相同的一相同金屬元素,並且其中該第二金屬殘留物從該第一金屬殘留物斷開。
  7. 如請求項1所述之裝置,其中該閘極電極進一步包含一第二功函數金屬,其中該第一金屬殘留物的該金屬元素與該第二功函數金屬的一金屬元素不同。
  8. 如請求項7所述之裝置,其中該閘極電極進一步包含: 一黏著層,在該第二功函數金屬上方;以及 一填充金屬,在該黏著層上方。
  9. 一種電晶體,包含: 一第一奈米結構,在一半導體基板上方; 一第二奈米結構,在該第一奈米結構上方; 一閘極介電質,圍繞該第一奈米結構及該第二奈米結構,其中該閘極介電質包含鉿及氟,並且其中在該閘極介電質中氟與鉿的一比率係在0.015與0.4的一範圍中;以及 一閘極電極,在該閘極介電質上方,其中該閘極電極包含: 一第一p型功函數材料; 一第二p型功函數金屬,在該第一p型功函數材料上方; 一黏著層,在該第二p型功函數材料上方;以及 一填充金屬,在該黏著層上方,其中氟的一峰值濃度設置於該閘極介電質與該第一p型功函數材料之間的一界面處。
  10. 如請求項9所述之電晶體,進一步包含在該閘極介電質與該第一p型功函數材料之間的該界面處的一金屬殘留物。
  11. 如請求項10所述之電晶體,其中該金屬殘留物包含與該閘極介電質不同並且與該第一p型功函數材料不同的一金屬。
  12. 如請求項10所述之電晶體,其中該金屬殘留物係鎢。
  13. 如請求項9所述之電晶體,其中該第一p型功函數材料包含氟,並且其中該第一p型功函數材料中的氟主要間質性地位於該第一p型功函數金屬的一膜中。
  14. 一種方法,包含: 在一第一奈米結構及一第二奈米結構周圍沉積一閘極介電質,該第一奈米結構設置於該第二奈米結構上方; 對該閘極介電質執行一氟處理; 在該閘極介電質上方沉積一第一p型功函數金屬,該第一p型功函數金屬設置於該第一奈米結構及該第二奈米結構周圍;以及 在該第一p型功函數金屬上方沉積一第二p型功函數金屬。
  15. 如請求項14所述之方法,其中該氟處理係將該閘極介電質的一表面暴露於一含氟前驅物的一沉積製程。
  16. 如請求項15所述之方法,其中該含氟前驅物係WF x、NF x、TiF x、TaF x、或HfF x,其中x係在1至6的一範圍中的一整數。
  17. 如請求項16所述之方法,其中該氟處理在該閘極介電質上形成一金屬殘留物。
  18. 如請求項15所述之方法,其中該氟處理省略觸發與該含氟前驅物的一還原氧化反應的一化學物質。
  19. 如請求項14所述之方法,其中該氟處理在250°C至475°C的一範圍中的一溫度下執行。
  20. 如請求項14所述之方法,其中該氟處理執行達1秒至15分鐘的一持續時間。
TW111110931A 2021-08-31 2022-03-23 半導體裝置、電晶體及形成半導體裝置的方法 TWI843997B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/462,233 2021-08-31
US17/462,233 US20230066477A1 (en) 2021-08-31 2021-08-31 Gate structures in transistors and method of forming same

Publications (2)

Publication Number Publication Date
TW202312492A true TW202312492A (zh) 2023-03-16
TWI843997B TWI843997B (zh) 2024-06-01

Family

ID=

Also Published As

Publication number Publication date
CN115528112A (zh) 2022-12-27
US20230066477A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
KR102447653B1 (ko) 트랜지스터의 게이트 구조와 그 형성 방법
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
US20240170536A1 (en) Semiconductor device and method
US20230282725A1 (en) Semiconductor Devices and Methods of Forming the Same
US20220238681A1 (en) Transistor Gates and Methods of Forming
KR102549862B1 (ko) 나노-fet 반도체 디바이스 및 형성 방법
TWI821724B (zh) 電晶體、電晶體中的閘極結構及閘極結構之形成方法
TW202312492A (zh) 半導體裝置、電晶體及形成半導體裝置的方法
TWI821740B (zh) 奈米片的氟摻入方法
TWI789779B (zh) 電晶體及形成源極/汲極區域的方法
US11404554B2 (en) Transistor gates and method of forming
US20230069421A1 (en) Semiconductor Device and Methods of Manufacture
US20230317859A1 (en) Transistor gate structures and methods of forming thereof