TW202306017A - Method and apparatus for substrate transport - Google Patents

Method and apparatus for substrate transport Download PDF

Info

Publication number
TW202306017A
TW202306017A TW111140047A TW111140047A TW202306017A TW 202306017 A TW202306017 A TW 202306017A TW 111140047 A TW111140047 A TW 111140047A TW 111140047 A TW111140047 A TW 111140047A TW 202306017 A TW202306017 A TW 202306017A
Authority
TW
Taiwan
Prior art keywords
substrate
substrate transport
arm
openings
transport arm
Prior art date
Application number
TW111140047A
Other languages
Chinese (zh)
Other versions
TWI813479B (en
Inventor
亞力山大 庫魯比雪夫
里夫 沙拉克
約瑟夫 哈利西
Original Assignee
美商布魯克斯自動機械美國公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯克斯自動機械美國公司 filed Critical 美商布魯克斯自動機械美國公司
Publication of TW202306017A publication Critical patent/TW202306017A/en
Application granted granted Critical
Publication of TWI813479B publication Critical patent/TWI813479B/en

Links

Images

Abstract

A substrate processing apparatus includes a linearly elongated substantially hexahedron shaped substrate transport chamber having linearly elongated sides of the hexahedron and at least one end wall of the hexahedron substantially orthogonal to the linearly elongated sides. A plurality of process modules are linearly arrayed along the at least one of the linearly elongated sides. A substrate transport arm is pivotally mounted within the substrate transport chamber so that a pivot axis of the substrate transport arm is mounted, fixed relative to the substrate transport chamber. The substrate transport arm has a three link - three joint SCARA configuration, of which one link is an end effector with at least one substrate holder, that is articulate to transport the substrate, and held by the at least one substrate holder, in and out of the substrate transport chamber through the end and side substrate transport openings.

Description

基材運送方法及設備Substrate delivery method and equipment

本發明的示範性實施例大致上係關於機器人系統,且更具體地係關於機器人運送設備。 [相關申請案] Exemplary embodiments of the present invention relate generally to robotic systems, and more particularly to robotic transport equipment. [Related applications]

本專利申請案與2017年2月7日提申之美國暫時申請案第62/455,874號有關並主張其權益,該案的揭露內容藉此參照而被併於本文中。This patent application is related to and claims the benefit of U.S. Provisional Application No. 62/455,874, filed February 7, 2017, the disclosure of which is hereby incorporated by reference.

生產率是用來評斷半導體製造工廠(其被稱為FAB)效率的一個方式。FAB的生產率的提高一直以來都是所要追求的且是受歡迎的。另一個被用來評斷FAB效率的方式是FAB組態的靈活性(以及處理工具以及其內的設備的組態的靈活性)。Productivity is one way to judge the efficiency of a semiconductor manufacturing plant (which is called a FAB). Improvement in productivity of FABs has long been sought and welcomed. Another measure used to judge the efficiency of a FAB is the flexibility of the FAB's configuration (and the flexibility of the configuration of the processing tool and the equipment within it).

FAB生產率的主要的因素是處理工具的生產率(基材被載入處理工具中、被處理且在處理後被取出處理工具)、以及處理模組能多有效率地安裝到一給定的FAB空間內(即,有多少處理工具能夠安裝到一給定的FAB空間中、以及有一能夠將生產率最佳化的組態)。另一方面,對於更小的運送室的要求已使得在處理工具內要用更長的處理時間來實施處理配方以及使得基材尺寸相應地加大,譬如400mm及450mm或甚至更大的基材,償試要藉由應用比例因子(scaling factor)來減輕較長的處理時間對於生產率的影響。處理基材尺寸增加很多的處理基材的影響例如是更大的處理工具構件及更長的處理時間。例如,需要具有更長的伸距(reach)的運送設備來處理較大的基材。亦需要具有更大的覆蓋區(footprint)之更大的處理室、運送室及負載鎖定室來處理更大的基材。具有較大的處理工具構件的傳統處理工具100的一個例子被例示於圖1中且包括一運送室114、一被設置在該運送室114內的基材運送手臂150、耦合至該運送室114的負載鎖定室110,112及耦合至該運送室114的處理模組120,122,124,126,128,130。在此處,三個處理模組被耦合至該運送室的每一側,其中該基材運送手臂150包括一上臂連桿152、一前臂連桿154及末端作用器156,158。圖1顯示一具有傳統運送臂150的傳統運送室114,該運送臂具有三連桿型態(該等連桿的一者是一末端作用器156),外加另一末端作用器158且顯示出此傳統方式的限制。例如,示於圖1中的該傳統型態在長度和寬度比例(或長寬比)上實質地類似於圖1A所示之傳統六面體形狀平面形式處理工具100’的長度和寬度比例,其在處理模組容量和效率上有一適度的提高以補償處理時間。The main factors in FAB productivity are the productivity of the processing tool (substrates are loaded into the processing tool, processed and removed from the processing tool after processing), and how efficiently processing modules can be installed in a given FAB space within (ie, how many processing tools can fit into a given FAB space, and a configuration that optimizes productivity). On the other hand, the requirement for smaller transport chambers has led to longer processing times in processing tools to implement processing recipes and a corresponding increase in substrate size, such as 400mm and 450mm or even larger substrates , trying to mitigate the impact of longer processing times on productivity by applying a scaling factor. The effects of processing substrates with substantially increased processing substrate size are, for example, larger processing tool components and longer processing times. For example, handling equipment with longer reach is required to handle larger substrates. Larger process chambers, transfer chambers, and load lock chambers with larger footprints are also required to process larger substrates. An example of a conventional process tool 100 having larger process tool components is illustrated in FIG. 1 and includes a transport chamber 114, a substrate transport arm 150 disposed within the transport chamber 114, coupled to the transport chamber 114 Load lock chambers 110, 112 and process modules 120, 122, 124, 126, 128, 130 coupled to the transport chamber 114. Here, three processing modules are coupled to each side of the transport chamber, where the substrate transport arm 150 includes an upper arm linkage 152 , a forearm linkage 154 and end effectors 156 , 158 . Figure 1 shows a conventional transport chamber 114 with a conventional transport arm 150 having a three-link configuration (one of the links is an end effector 156), plus another end effector 158 and shown limitations of this traditional approach. For example, the conventional form shown in FIG. 1 is substantially similar in length and width ratio (or aspect ratio) to that of the conventional hexahedron-shaped planar form processing tool 100' shown in FIG. 1A, It has a modest increase in processing module capacity and efficiency to compensate for processing time.

處理模組和負載鎖定室的尺寸上的增加,例如,會增加每一基材的處理時間。在一或多個處理模組/負載鎖定室的每一基材的處理時間的增加會造成處理工具中在基材的處理配方上用來實施後續基材處理的其它處理模組的閒置時間變長的結果,這很清楚地被認為對於處理工具生產率是不利的影響。此不利的影響可以很自然地藉由增加處理模組的數量(如上所述地,這用傳統運送室是達不到的)以及增加在該處理工具的一給定的裝載/卸載操作的任何給定的時間點的每一處理工具內的基材數量來予以改善。因此,一具有最小的覆蓋區及大量的處理模組(或處理模組與處理工具覆蓋區的高密度比)的處理工具以及相應的實施構件組態,同時在該處理工具內一所想要的基材位置具有更好的基材的定位特性的處理工具是所想要的。Increases in the size of processing modules and load lock chambers, for example, increase processing time per substrate. An increase in the processing time per substrate in one or more processing modules/load lock chambers results in a change in the idle time of other processing modules in the processing tool that are used to perform subsequent substrate processing on the substrate's processing recipe. Longer results, this is clearly considered to have a detrimental effect on process tool productivity. This detrimental effect can naturally be achieved by increasing the number of process modules (which, as mentioned above, cannot be achieved with conventional transport chambers) and by increasing any time spent on a given load/unload operation of the process tool. Improvements can be made by the number of substrates per process tool at a given point in time. Thus, a processing tool with a minimal footprint and a large number of process modules (or a high density ratio of process modules to process tool footprint) and corresponding implementation component configurations, while within the process tool a desired A processing tool with better substrate positioning characteristics is desirable.

本發明的一個態樣提供一種基材處理設備,其包含: 一直線式細長的實質六面體形狀的基材運送室,其具有該六面體的直線式細長的側面以及與該等直線式細長的側面實質地正交的該六面體的至少一端壁;該至少一端壁具有一端部基材運送開口,該等直線式細長的側面的至少一者具有一直線陣列的側面基材運送開口,該等末端及側面基材運送開口的至少一者被安排來讓一基材穿過它被運送進出該基材運送室; 多個處理模組,其沿著該等直線式細長的側面的至少一者被直線地設置且透過相對應的側面基材運送開口分別和該基材運送室相連通;及 一基材運送手臂,其被可樞轉地安裝在該基材運送室內,使得該基材運送手臂的樞轉軸相對於該基材運送室被固定不動地安裝,該基材運送手臂具有三連桿-三關節SCARA型態,其中的一個連桿是一帶有至少一基材固持器的末端作用器,它是以關節連接的(articulate),用以將該至少一基材固持器所固持的該基材經由該等末端及側面基材運送開口運送進出該基材運送室,使得該末端作用器是該等末端及側面基材運送開口的每一者所共用的; 其中該六面體具有一側面長度對寬度的長寬比,其為一大的長寬比,且該寬度相對於該基材運送手臂的覆蓋區而言是緊湊的。 One aspect of the present invention provides a substrate processing equipment, which comprises: a linearly elongated substantially hexahedron-shaped substrate transport chamber having linearly elongated sides of the hexahedron and at least one end wall of the hexahedron substantially orthogonal to the linearly elongated sides; The at least one end wall has an end substrate delivery opening, at least one of the linearly elongated sides has a linear array of side substrate delivery openings, at least one of the end and side substrate delivery openings is arranged to allow a substrate is transported through it into and out of the substrate transport chamber; a plurality of processing modules, which are arranged linearly along at least one of the linear elongated sides and respectively communicate with the substrate delivery chamber through the corresponding side substrate delivery openings; and a substrate transport arm, which is pivotally mounted in the substrate transport chamber such that the pivot axis of the substrate transport arm is fixedly mounted relative to the substrate transport chamber, the substrate transport arm has three linkages Rod - a three-joint SCARA type in which one of the links is an end-effector with at least one substrate holder articulated to hold the at least one substrate holder the substrate is transported into and out of the substrate transport chamber through the end and side substrate transport openings such that the end effector is common to each of the end and side substrate transport openings; Wherein the hexahedron has a side length-to-width aspect ratio that is large and the width is compact relative to the footprint of the substrate transport arm.

參考圖2A-2E,被揭露的實施例的態樣提供一種基材處理工具200,其具有一直線式處理工具組態且其可為了提高基材處理工具的生產率以及提高效率而進行調整,其中,相較於傳統的基材處理工具(譬如上文所描述者),該基材處理工具200對於一給定的空間(譬如,該基材處理工具200的寬度W1)具有更高的處理模組密度。描述於本文中之被揭露的實施例的態樣提供模組化的基材處理工具200,使得耦合至該運送模組210的處理模組PM的數量可透過運送室210的模組化單純地藉由增加該運送室的長度L來實現,而無需增加該運送室210的寬度W。此外,描述於本文中的該模組化的運送室210可被容納在圖1所示的傳統基材處理工具100的既有空間(譬如,寬度)內,該傳統基材處理工具在該處理工具的一端具有一雙生的負載鎖定室型態,它和具有六面體形狀平面/八面體形狀運送室的傳統處理工具相似,其長度與寬度的長寬比約為1:1或小於2:1。Referring to FIGS. 2A-2E , aspects of the disclosed embodiments provide a substrate processing tool 200 having an in-line processing tool configuration that can be adjusted for increased productivity and increased efficiency of the substrate processing tool, wherein, The substrate processing tool 200 has higher processing modules for a given space (eg, the width W1 of the substrate processing tool 200 ) than conventional substrate processing tools (such as those described above). density. Aspects of the disclosed embodiments described herein provide a modular substrate processing tool 200 such that the number of process modules PM coupled to the transport module 210 can be simplified simply by the modularization of the transport chamber 210 This is achieved by increasing the length L of the transport chamber without increasing the width W of the transport chamber 210 . Additionally, the modular transport chamber 210 described herein can be accommodated within the existing space (eg, width) of the conventional substrate processing tool 100 shown in FIG. One end of the tool has a twin load lock chamber pattern similar to conventional processing tools with a hexahedral shaped planar/octahedral shaped delivery chamber with a length to width aspect ratio of about 1:1 or less :1.

在一態樣中,該基材處理工具200包括一前端201、一後端202及任何適合的控制器299,用來用下文所述的方式控制該基材處理工具200的操作。在一態樣中,控制器299可以是任何適合的控制架構(例如,群集式架構控制)的一部分。該控制系統可以是一具有主控制器(其在一態樣中可以是控制器110)的閉迴圈式控制器、群集控制器及自主式遙控器(譬如,揭露在2011年3月8日授予之名稱為"Scalable Motion Control System"的美國專利第7,904,182號中的控制器,其揭露內容藉此參照而被併於本文中)。在其它態樣中,任何適合的控制器及/或控制系統都可被使用。In one aspect, the substrate processing tool 200 includes a front end 201, a rear end 202 and any suitable controller 299 for controlling the operation of the substrate processing tool 200 in the manner described below. In one aspect, controller 299 may be part of any suitable control architecture (eg, cluster architecture control). The control system may be a closed-loop controller with a master controller (which in one aspect may be controller 110), cluster controller, and autonomous remote controller (for example, disclosed in March 8, 2011 No. 7,904,182 issued to the controller entitled "Scalable Motion Control System," the disclosure of which is hereby incorporated by reference). In other aspects, any suitable controller and/or control system may be used.

在一態樣中,該前端201可以是大氣壓前端,其包括一設備前端模組(EFEM)290、裝載埠292A-292C及一或多個負載鎖定室LL1,LL2。在一態樣中,該設備前端模組290包括一運送室291,該一或多個裝載埠292A-292C係耦合至該運送室。該等裝載埠292A-292C被建構來固持基材匣盒/載具C,基材S被固持在該等基材匣盒/載具內,用以經由該等裝載埠292A-292C載入該基材處理工具200或從基材處理工具200移出。該一或多個負載鎖定室LL1,LL2被耦合至該運送室291,用來將基材S運送於該運送室291和後端202之間。In one aspect, the front end 201 may be an atmospheric front end that includes an equipment front end module (EFEM) 290, load ports 292A-292C, and one or more load lock chambers LL1, LL2. In one aspect, the FEM 290 includes a transport chamber 291 to which the one or more loadports 292A- 292C are coupled. The load ports 292A-292C are configured to hold a substrate cassette/carrier C within which a substrate S is held for loading into the substrate via the load ports 292A-292C. The substrate processing tool 200 is moved or removed from the substrate processing tool 200 . The one or more load lock chambers LL1 , LL2 are coupled to the transport chamber 291 for transporting the substrate S between the transport chamber 291 and the rear end 202 .

該後端202可以是真空後端。應指出的是,當使用於本文中時,真空一詞可表示基材被處理的環境是高真空(譬如,10 -5托耳或更低)。在一態樣中,該後端202包括一直線式細長的實質六面體形狀運送室210,其具有直線式細長的側面210S1,210S2和延伸在側面210S1,210S2之間的端壁210E1,210E2。在一態樣中,側面210S1,210S2有一長度L且端壁210E1,210E2有一寬度W,使得該六面體形狀的運送室210有側面長度L對寬度W的長寬比(其為大的長寬比),且該寬度W相對於一設置在該運送室210內的基材運送手臂250的覆蓋區FP(即,在該基材運送手臂處在完全收縮的型態下該基材運送手臂的最小擺動半徑)而言是緊湊的(compact)。該寬度W相對於該基材運送手臂250的覆蓋區FP而言是緊湊的,因為只有足夠的最小間隙被提供於側面210S1,210S2和覆蓋區FP之間以允許該基材運送手臂250如本文中所述地操作。在一態樣中,該運送室210的長寬比大於2:1,且對於該基材運送手臂的一預定的最大伸距而言,該基材運送手臂的覆蓋區是緊湊的;而在其它態樣中,該長寬比約為3:1,且對於該基材運送手臂的一預定的最大伸距而言,該基材運送手臂的覆蓋區是緊湊的。 The backend 202 may be a vacuum backend. It should be noted that, as used herein, the term vacuum may indicate that the environment in which the substrate is processed is a high vacuum (eg, 10 −5 Torr or lower). In one aspect, the rear end 202 includes a rectilinearly elongated substantially hexahedron-shaped delivery chamber 210 having rectilinearly elongated sides 210S1 , 210S2 and end walls 210E1 , 210E2 extending between the sides 210S1 , 210S2. In one aspect, the sides 210S1, 210S2 have a length L and the end walls 210E1, 210E2 have a width W, such that the hexahedron-shaped transport chamber 210 has an aspect ratio of side length L to width W (which is a large length). width ratio), and the width W is relative to a footprint FP of a substrate transport arm 250 disposed in the transport chamber 210 (that is, the substrate transport arm is in a fully retracted configuration) The minimum swing radius) is compact (compact). The width W is compact relative to the footprint FP of the substrate transport arm 250 because only enough minimum clearance is provided between the sides 210S1, 210S2 and the footprint FP to allow the substrate transport arm 250 to operate as described herein. operate as described in. In one aspect, the transport chamber 210 has an aspect ratio greater than 2:1, and the footprint of the substrate transport arm is compact for a predetermined maximum reach of the substrate transport arm; and in In other aspects, the aspect ratio is about 3:1, and the footprint of the substrate transfer arm is compact for a predetermined maximum reach of the substrate transfer arm.

在一態樣中,一來自於側面基材運送開口270A1-270A6,270B1-270B6的直線陣列(其被設置在與該六面體形狀基材運送室210的和該至少一端壁210E1,210E2相對的(opposite)另一端壁210E1,210E2鄰近處)的側面基材運送開口270A1-270A6,270B1-270B6被定向為使得一穿過鄰近該相對的端壁210E1,210E2的側面基材運送開口270A1-270A6,270B1-270B6的基材固持器運動的相應軸線(axis)270A1X-270A6X,270B1X-270B6X(參見圖6)係實質正交(orthogonal)於穿過該至少一端壁250E1,250E2的端部基材運送開口260A,260B的基材固持器運動的另一軸線260AX,260BX。例如,該六面體形狀基材運送室210的該至少一端壁210E1,210E2係實質正交於該等直線式細長的側面210S1,210S2。該至少一端壁210E1,210E2具有至少一端部基材運送開口260A,260B。該等直線式細長的側面210S1,210S2的至少一者具有一直線陣列的側面基材運送開口270A1-270A6,270B1-270B6。在一態樣中,和該基材運送室210的該至少一直線式細長的側面210S1,210S2相對的另一直線式細長的側面210S1,210S2具有至少一另一側面基材運送開口270A1-270A6,270B1-270B6,且該基材運送手臂250被建構來將基材S(它是被一基材運送手臂250,250A1,250A2的末端作用器250E,250E1,250E2的至少一基材固持器250EH固持)經由該端部、側面、及另一側面基材運送開口260A,260B、270A1-270A6,270B1-270B6運送進出該基材運送室,使得末端作用器250E,250E1,250E2是分別設置在該基材運送室210的該端壁210E1,210E2以及該直線式細長的側面210S1,210S2和直線式細長的相反的側面210S1,210S2上的該端部、側面、及另一側面基材運送開口260A,260B、270A1-270A6,270B1-270B6的每一者所共用的。在一態樣中,該等端部基材運送開口260A,260B及側面基材運送開口270A1-270A6,270B1-270B6的每一開口被配置成用來將一基材S經由這些開口傳遞進出該運送室210。在一態樣中,穿過每一側面基材運送開口270A1-270A6,270B1-270B6的基材固持器運動的一相應軸線270A1X-270A6X,270B1X-270B6X和分別穿過每一側面基材運送開口270A1-270A6,270B1-270B6的基材固持器運動的軸線係彼此實質平行地延伸。在一態樣中,該基材運送室210包括一緩衝站BS,它鄰近開口260A,260B,270A1-270A6,270B1-270B6的至少一者,基材在該基材運送室210內的運送期間被暫存於該緩衝站。In one aspect, a linear array of side substrate transport openings 270A1-270A6, 270B1-270B6 (which are disposed opposite the hexahedron-shaped substrate transport chamber 210 and the at least one end wall 210E1, 210E2 The side substrate delivery openings 270A1-270A6, 270B1-270B6 of (opposite) the other end wall 210E1, 210E2 adjacent) are oriented so that a side substrate delivery opening 270A1-270A1- The respective axes of motion of the substrate holders of 270A6, 270B1-270B6 (axis) 270A1X-270A6X, 270B1X-270B6X (see FIG. 6) are substantially orthogonal to the end bases passing through the at least one end wall 250E1, 250E2. Another axis of substrate holder movement 260AX, 260BX for the substrate delivery openings 260A, 260B. For example, the at least one end wall 210E1 , 210E2 of the hexahedron-shaped substrate transport chamber 210 is substantially perpendicular to the linear elongated side surfaces 210S1 , 210S2 . The at least one end wall 210E1 , 210E2 has at least one end substrate delivery opening 260A, 260B. At least one of the linear elongated sides 210S1, 210S2 has a linear array of side substrate delivery openings 270A1-270A6, 270B1-270B6. In one aspect, the other linear elongated side 210S1, 210S2 opposite to the at least one linear elongated side 210S1, 210S2 of the substrate transport chamber 210 has at least one other side substrate transport opening 270A1-270A6, 270B1 - 270B6, and the substrate delivery arm 250 is configured to hold the substrate S (which is held by at least one substrate holder 250EH of an end effector 250E, 250E1, 250E2 of a substrate delivery arm 250, 250A1, 250A2) The substrate transport openings 260A, 260B, 270A1-270A6, 270B1-270B6 are transported in and out of the substrate transport chamber through the end, the side, and the other side, so that the end effectors 250E, 250E1, 250E2 are respectively disposed on the substrate The end wall 210E1, 210E2 of the transport chamber 210 and the end, side, and other side substrate transport openings 260A, 260B on the linearly elongated side 210S1, 210S2 and the linearly elongated opposite side 210S1, 210S2 , 270A1-270A6, and each of 270B1-270B6 are common. In one aspect, each of the end substrate transfer openings 260A, 260B and side substrate transfer openings 270A1-270A6, 270B1-270B6 are configured to transfer a substrate S into and out of the substrate S through the openings. Transport room 210 . In one aspect, a respective axis of substrate holder motion 270A1X-270A6X, 270B1X-270B6X passes through each side substrate delivery opening 270A1-270A6, 270B1-270B6 and passes through each side substrate delivery opening, respectively. The axes of motion of the substrate holders of 270A1-270A6, 270B1-270B6 extend substantially parallel to each other. In one aspect, the substrate transport chamber 210 includes a buffer station BS adjacent to at least one of the openings 260A, 260B, 270A1-270A6, 270B1-270B6 during the transport of substrates within the substrate transport chamber 210. is temporarily stored in the buffer.

在一態樣中,至少一端壁210E1,210E2被作成用來接納並肩的、兩個並排的負載鎖定室LL1,LL2或其它處理模組PM的大小(參見圖7、9A、9B及11),它們被彼此鄰近地放置在一共同的高度或平面上(如,圖2F中所示的基材運送平面TP1,它為了舉例的目的只顯示出端部開口)且共同面向各自的端壁210E1,210E2。應被理解的是,雖然該基材運送室210在圖式中被顯示為在端壁210E1,210E2的一者或兩者上具有兩個端部開口260A,260B,但在其它態樣中,可以只有一個端部開口被設置在端壁210E1,210E2的一者或兩者上,使得只有一個負載鎖定室或處理模組被耦合至各別的端壁210E1,210E2。類似地,側面210S1,210S2被建構來接納並肩的、兩個並排的處理模組PM或負載鎖定室LL1,LL2,它們被彼此鄰近地放置在一共同的高度或平面上(如,基材運送平面TP1)且共同面向各自的側面210S1,210S2。在其它態樣中,負載鎖定室LL1,LL2及/或處理模組PM可一個疊一個地被疊置在個別的端壁210E1,210E2或側面210S1,210S2上的不同的高度或平面上(如,基材運送平面TP1,TP2),用以形成開口260A,260B,260A’,260B’,270A,270B的任何(具有任何適當的大小的)適當的網格(grid)(參見圖2E,它為了舉例的目的只示出端部開口),用來將處理模組PM或負載鎖定室LL1,LL2連接至運送室210。在一態樣中,處理模組PM是串接的處理模組TPM(如,在一共同的外殼內且耦接至該基材運送室的兩個並排的開口的基材固持站PMH1,PMH2);而在其它態樣中,處理模組可以是單一處理模組SPM(如,在一共同的外殼內且耦接至該基材運送室的單一開口—參見圖2A)或耦接至一共同的基材運送室210的個別開口的單一模組或串接的模組的組合(參見圖2A)。In one aspect, at least one end wall 210E1, 210E2 is sized to receive side-by-side, two side-by-side load lock chambers LL1, LL2 or other process modules PM (see FIGS. 7, 9A, 9B, and 11), They are placed adjacent to each other at a common height or plane (e.g., the substrate transport plane TP1 shown in FIG. 210E2. It should be understood that while the substrate transport chamber 210 is shown in the drawings as having two end openings 260A, 260B on one or both of the end walls 210E1, 210E2, in other aspects, Only one end opening may be provided on one or both of the end walls 210E1 , 210E2 such that only one load lock chamber or process module is coupled to the respective end wall 210E1 , 210E2. Similarly, sides 210S1, 210S2 are configured to receive side-by-side, two side-by-side process modules PM or load lock chambers LL1, LL2 that are placed adjacent to each other on a common level or plane (e.g., substrate handling plane TP1) and face the respective sides 210S1, 210S2 together. In other aspects, load lock chambers LL1, LL2 and/or process modules PM may be stacked one upon the other at different heights or planes on respective end walls 210E1, 210E2 or sides 210S1, 210S2 (e.g. , substrate transport plane TP1, TP2), any suitable grid (grid) (with any suitable size) for forming openings 260A, 260B, 260A', 260B', 270A, 270B (see FIG. 2E, it Only open ends are shown for example purposes) for connecting process modules PM or load lock chambers LL1 , LL2 to the transport chamber 210 . In one aspect, the process module PM is a cascaded process module TPM (e.g., substrate holding stations PMH1, PMH2 within a common housing and coupled to two side-by-side openings of the substrate transport chamber. ); while in other aspects, the processing modules can be a single processing module SPM (eg, within a common housing and coupled to a single opening of the substrate transport chamber—see FIG. 2A ) or coupled to a A single module or a combination of cascaded modules for the individual openings of the common substrate transport chamber 210 (see FIG. 2A ).

在一態樣中,該基材處理工具200包括沿著該等直線式細長的側面210S1,210S2的至少一者配置並經由相應的側面基材運送開口270A1-270A6,270B1-270B6分別和該基材運送室210聯通的多個處理模組PM。在一態樣中,該處理模組PM的直線陣列提供至少六個沿著至少一直線式細長的側面210S1,210S2分布在實質相同的高度的處理模組基材固持站PMH,PHM1,PMH2,且每一基材固持站是用該基材運送手臂250,250A,250B的一共用的末端作用器250E,250E1,250E2經由相應的側面基材運送開口270A1-270A6,270B1-270B6進出。雖然三個處理模組PM被例示在該基材運送室210的每一側面210S1,210S2上(圖2A中的單一處理模組SPM例外),但每一側面210S1,210S2上可以有多於三個處理模組PM或少於三個處理模組PM,用來提供任何適合的基材固持站於每一側面210S1,210S2上。在一態樣中,該等側面開口270A1-270A6,270B1-270B6和處理模組PM可被設置在不同的高度用以用一種和本文中參照圖2E以及端壁210E1,210E2的開口260A,260B,260A’,260B’描述的方式實質類似的方式來形成開口與處理模組的網格(grids)(該運送設備245包括Z軸線驅動器,用來將末端作用器250E,250E1,250E2升高及降低至不同的高度TP1,TP2)。在一態樣中,處理模組PM可透過沉積、蝕刻或其它種類的處理來在該基材上操作,用以在該基材上形成電子電路或其它所想要的結構。典型的處理包括但不侷限於使用真空的薄膜處理,譬如電漿蝕刻或其它蝕刻處理、化學氣相沉積(CVD)、電漿氣相沉積(PVD)、佈植(譬如,離子佈植)、計量、快速熱處理(RTP)、乾式剝離原子層沉積(ALD)、氧化/擴散、氮化物的形成、真空微影術、磊晶術(EPI)、打線機(wire bonder)及蒸發或其它使用真空壓力的薄膜處理。In one aspect, the substrate processing tool 200 includes disposed along at least one of the linearly elongated sides 210S1, 210S2 and communicates with the substrate through corresponding side substrate transport openings 270A1-270A6, 270B1-270B6, respectively. A plurality of processing modules PM communicated with the material transport chamber 210. In one aspect, the linear array of process modules PM provides at least six process module substrate holding stations PMH, PHM1, PMH2 distributed at substantially the same height along at least one linearly elongated side 210S1, 210S2, and Each substrate holding station is accessed by a common end effector 250E, 250E1, 250E2 of the substrate transfer arm 250, 250A, 250B through a corresponding side substrate transfer opening 270A1-270A6, 270B1-270B6. Although three process modules PM are illustrated on each side 210S1, 210S2 of the substrate transport chamber 210 (with the exception of a single process module SPM in FIG. Process modules PM or less than three process modules PM are used to provide any suitable substrate holding stations on each side 210S1, 210S2. In one aspect, the side openings 270A1-270A6, 270B1-270B6 and the process module PM can be arranged at different heights for use with the same openings 260A, 260B as described herein with reference to FIG. 2E and end walls 210E1, 210E2. , 260A', 260B' describe a substantially similar manner to form openings and processing module grids (grids) (the transport device 245 includes a Z-axis drive for raising the end effectors 250E, 250E1, 250E2 and lowered to different heights TP1, TP2). In one aspect, the processing module PM operates on the substrate by deposition, etching, or other types of processing to form electronic circuits or other desired structures on the substrate. Typical processes include, but are not limited to, thin film processing using vacuum, such as plasma etching or other etching processes, chemical vapor deposition (CVD), plasma vapor deposition (PVD), implantation (e.g., ion implantation), Metrology, rapid thermal processing (RTP), dry exfoliation atomic layer deposition (ALD), oxidation/diffusion, nitride formation, vacuum lithography, epitaxy (EPI), wire bonder and evaporation or other use of vacuum Pressure film handling.

參考圖2A、2B及2C,如上文所述,該基材處理工具200具有模組化型態。在一態樣中,前端201可以是該基材處理工具200的一個模組(如,前端模組200M1),使得具有一運送室291、負載鎖定埠292A-292C和負載鎖定室LL1,LL2的任何適當的前端可經由在該基材運送室210的一或多個端壁210E1,210E2上的端部開口260A,260B被耦合至該基材運送室210。在一態樣中,該基材運送室210形成該基材處理工具的另一個模組,其中該基材運送室210包括一共用的或核心模組200M2及一或多個室端部模組或插入模組200M3,200M4,200M5,200M6,200M7,200M8。在一態樣中,該核心模組200M2包括一框架200F2且該至少一基材運送設備245用任何適合的方式被安裝至該框架200F1。每一插入模組200M3,200M4,200M5,200M6,200M7,200M8亦包括一各自的框架200F3,200F4,200F5,200F6,200F7,200F8,它們在被結合至該核心模組200M2的框架200F2時形成該基材運送室210的框架200F。2A, 2B and 2C, as mentioned above, the substrate processing tool 200 has a modular form. In one aspect, the front end 201 can be a module of the substrate processing tool 200 (e.g., front end module 200M1) such that there is a transfer chamber 291, load lock ports 292A-292C, and load lock chambers LL1, LL2. Any suitable front end may be coupled to the substrate transport chamber 210 via end openings 260A, 260B on one or more end walls 210E1 , 210E2 of the substrate transport chamber 210 . In one aspect, the substrate transfer chamber 210 forms another module of the substrate processing tool, wherein the substrate transfer chamber 210 includes a common or core module 200M2 and one or more end-of-chamber modules or Insert modules 200M3, 200M4, 200M5, 200M6, 200M7, 200M8. In one aspect, the core module 200M2 includes a frame 200F2 and the at least one substrate handling device 245 is mounted to the frame 200F1 in any suitable manner. Each plug-in module 200M3, 200M4, 200M5, 200M6, 200M7, 200M8 also includes a respective frame 200F3, 200F4, 200F5, 200F6, 200F7, 200F8 which, when joined to the frame 200F2 of the core module 200M2, form the The frame 200F of the substrate transport chamber 210 .

在一態樣中,插入模組200M3,200M4,200M5,200M6,200M7,200M8的每一者具有不同的型態(configuration),使得它們可被選擇來連接至該核心模組200M2以提供該基材運送室210的直線式細長的側面210S1,210S2有一可選擇的可變式長度L,其中該基材運送室的側面210S1,210S2可在不同的長度之間作選擇並界定該基材運送室的一選擇性的可變式型態。例如,插入模組200M3包括側面210M3S1,210M3S2,每一側面210M3S1,210M3S2具有一長度L1且包括例如該等側面開口270A1-270A6,270B1-270B6中的兩個開口(其在圖2D中被標示為開口270A及270B),而該插入模組200M3的端壁210M3E1沒有任何可供末端作用器250E,250E1,250E2穿過的開口。插入模組200M5實質類似於插入模組200M3,但是插入模組200M5的端壁210M5E包括開口260A,260B。相類似地,插入模組200M6包括側面210M6S1,210M6S2,每一側面210M6S1,210M6S2具有一長度L2且包括例如開口270A及270B中的一者,而該插入模組200M6的端壁210M6E1沒有任何可供末端作用器250E,250E1,250E2穿過的開口。插入模組200M4實質類似於插入模組200M6,但是插入模組200M4的端壁210M4E包括開口260A,260B。插入模組200M8包括側面210M8S1,210M8S2,每一側面210M8S1,210M8S2具有一長度L3且不包括任何側面開口,而該插入模組200M8的端壁210M8E1沒有任何可供末端作用器250E,250E1,250E2穿過的開口。插入模組200M7實質類似於插入模組200M8,但是插入模組200M7的端壁210M7E包括開口260A,260B。插入模組200M3,200M4,200M5,200M6,200M7,200M8被用任何適合的方式(譬如,界面上的螺栓BLT)耦合至該核心模組200M2,任何適合的密封件200SL被設置在每一插入模組200M3,200M4,200M5,200M6,200M7,200M8和核心模組200M2的各個端壁200M2E1,200M2E2之間。In one aspect, each of the plug-in modules 200M3, 200M4, 200M5, 200M6, 200M7, 200M8 has a different configuration such that they can be selected to connect to the core module 200M2 to provide the base The linear elongated sides 210S1, 210S2 of the substrate transport chamber 210 have a selectable variable length L, wherein the sides 210S1, 210S2 of the substrate transport chamber can be selected between different lengths and define the substrate transport chamber An optional variable type for . For example, the plug-in module 200M3 includes sides 210M3S1, 210M3S2, each side 210M3S1, 210M3S2 has a length L1 and includes, for example, two of the side openings 270A1-270A6, 270B1-270B6 (labeled as openings 270A and 270B), and the end wall 210M3E1 of the insert module 200M3 does not have any openings through which the end effectors 250E, 250E1, 250E2 can pass. Insertion module 200M5 is substantially similar to insertion module 200M3, but end wall 210M5E of insertion module 200M5 includes openings 260A, 260B. Similarly, the plug-in module 200M6 includes sides 210M6S1, 210M6S2, each side 210M6S1, 210M6S2 has a length L2 and includes, for example, one of the openings 270A and 270B, and the end wall 210M6E1 of the plug-in module 200M6 does not have any Openings through which end effectors 250E, 250E1, 250E2 pass. Insertion module 200M4 is substantially similar to insertion module 200M6, but end wall 210M4E of insertion module 200M4 includes openings 260A, 260B. The insert module 200M8 includes sides 210M8S1, 210M8S2, each side 210M8S1, 210M8S2 has a length L3 and does not include any side openings, and the end wall 210M8E1 of the insert module 200M8 does not have any end effectors 250E, 250E1, 250E2 to penetrate. open mouth. Insertion module 200M7 is substantially similar to insertion module 200M8, but end wall 210M7E of insertion module 200M7 includes openings 260A, 260B. Insert modules 200M3, 200M4, 200M5, 200M6, 200M7, 200M8 are coupled to the core module 200M2 in any suitable manner (e.g., bolts BLT on the interface), any suitable seal 200SL is provided on each insert module Between each end wall 200M2E1, 200M2E2 of the groups 200M3, 200M4, 200M5, 200M6, 200M7, 200M8 and the core module 200M2.

在此態樣中,插入模組200M3,200M5的長度L1大於插入模組200M4,200M6的長度L2;且插入模組200M4,200M6的長度L2大於插入模組200M7,200M8的長度L3。此外,雖然插入模組被顯示為沒有側面開口、每一側面上有一個側面開口270A,270B、及每一側面上有兩個側面開口270A,270B、有或沒有端部開口260A,260B,但在其它態樣中,該等插入模組可具有任何適合數量的側面開口270A,270B以及任何適當的長度以提供該基材運送室210可變的長度以及任何適當數量的側面開口270A,270B及設置在該基材運送室210的端壁210E1,210E2上的端部開口260A,260B。例如,參考圖7,8,9A,9B,10,11及12,該基材運送室210被顯示為具有可選擇的可變式型態,其中該型態可在該側面長度L對寬度W(參見圖2A)的長寬比從大的長寬比(譬如,3:1)改變至單一(unity)長寬比(如,1:1)的結構之間進行選擇,其中該基材運送手臂250是每一個可選擇的基材運送室210的型態所共用的。In this aspect, the length L1 of the insertion modules 200M3 and 200M5 is greater than the length L2 of the insertion modules 200M4 and 200M6; and the length L2 of the insertion modules 200M4 and 200M6 is greater than the length L3 of the insertion modules 200M7 and 200M8. Additionally, although the insert module is shown without side openings, with one side opening 270A, 270B on each side, and with two side openings 270A, 270B on each side, with or without end openings 260A, 260B, In other aspects, the insert modules can have any suitable number of side openings 270A, 270B and any suitable length to provide variable lengths of the substrate transport chamber 210 and any suitable number of side openings 270A, 270B and any suitable length. End openings 260A, 260B are provided on the end walls 210E1 , 210E2 of the substrate transport chamber 210 . For example, referring to FIGS. 7, 8, 9A, 9B, 10, 11, and 12, the substrate transport chamber 210 is shown as having a selectable variable profile, wherein the profile can be in the side length L versus width W (See Figure 2A) Choose between structures in which the aspect ratio changes from a large aspect ratio (e.g., 3:1) to a single (unity) aspect ratio (e.g., 1:1) structure in which the substrate transports Arm 250 is common to each optional substrate transport chamber 210 type.

如圖7中可見到的,該基材運送室210包括該核心模組200M2以及兩個耦合至該核心模組200M2的每一端部200M2E1,200M2E2的插入模組200M5。在此態樣中,該等插入模組200M5被選取來在該基材運送室210的每一端壁210E1,210E2上提供端部開口260A,260B的同時提供該基材運送室210一3:1的長度L對寬度W的長寬比。例示於圖8中的該基材運送室210的型態亦包括被選取的插入模組200M5,200M6,使得該基材運送室210具有3:1的長度L對寬度W的長寬比;但在此態樣中,只有該基材運送室的一個端壁210E1包括端部開口260A,260B,而端壁210E2不包括任何開口。在此態樣中,插入模組200M5被耦合至該核心模組200M2的第一端200ME1且插入模組200M6被耦合至該核心模組200M2的第二端200M2E2。As can be seen in FIG. 7 , the substrate transport chamber 210 includes the core module 200M2 and two insert modules 200M5 coupled to each end 200M2E1 , 200M2E2 of the core module 200M2. In this aspect, the insert modules 200M5 are selected to provide end openings 260A, 260B on each end wall 210E1, 210E2 of the substrate transport chamber 210 while providing the substrate transport chamber 210-3:1 The aspect ratio of length L to width W. The configuration of the substrate transport chamber 210 illustrated in FIG. 8 also includes insert modules 200M5, 200M6 selected such that the substrate transport chamber 210 has an aspect ratio of length L to width W of 3:1; but In this aspect, only one end wall 210E1 of the substrate transport chamber includes end openings 260A, 260B, while end wall 210E2 does not include any openings. In this aspect, the add-in module 200M5 is coupled to the first end 200ME1 of the core module 200M2 and the add-in module 200M6 is coupled to the second end 200M2E2 of the core module 200M2.

如圖9A及9B中可看到的,該基材運送室210包括該核心模組200M2和兩個插入模組200M4,其被選取用以提供該基材運送室210一2:1的長度L對寬度W的長寬比。在此處,該等插入模組200M4中的一者被耦合至該核心模組200M2的第一端200M2E1而另一插入模組200M4則被耦合至該核心模組200M2的第二端200M2E2,用以提供該2:1的長寬比,同時亦在該基材運送室210的每一端壁210E1,210E2提供該基材運送室210端部開口260A,260B。雖然未示於圖中,但耦合至該核心模組200M2的第二端200M2E2的插入模組200M4可用插入模組200M6來取代,使得端部開口260A,260B以實質類似於圖8所示的方式只被提供在該基材運送室210的端壁210E1。As can be seen in FIGS. 9A and 9B , the substrate transport chamber 210 includes the core module 200M2 and two insert modules 200M4 selected to provide the substrate transport chamber 210 with a length L of 2:1. Aspect ratio to width W. Here, one of the plug-in modules 200M4 is coupled to the first end 200M2E1 of the core module 200M2 and the other plug-in module 200M4 is coupled to the second end 200M2E2 of the core module 200M2 for To provide the 2:1 aspect ratio, end openings 260A, 260B of the substrate transport chamber 210 are also provided at each end wall 210E1 , 210E2 of the substrate transport chamber 210 . Although not shown, the plug-in module 200M4 coupled to the second end 200M2E2 of the core module 200M2 may be replaced with a plug-in module 200M6 such that the end openings 260A, 260B are formed in a manner substantially similar to that shown in FIG. is provided only at the end wall 210E1 of the substrate transport chamber 210 .

例示於圖10中的該基材運送室210的型態亦包括插入模組200M3,200M7,它們被選取以使得該基材運送室210具有一2:1的長度L對寬度W的長寬比;但在此態樣中,只有該基材運送室的一個端壁210E2包括端部開口260A,260B,而端壁210E2不包括任何開口。在此態樣中,插入模組200M3被耦合至該核心模組200M2的第二端200M2E2,使得該核心模組200M2和該插入模組200M3提供該基材運送室210的每一側面210S1,210S2四個側面開口270A,270B。該插入模組200M7被耦合至該核心模組200M2的第一端200M2E1,使得前端模組200M1的負載鎖定室LL1,LL2可被耦合至該基材運送室210,其中該插入模組200M7只包括端部開口260A,260B。雖然未示於圖中,但耦合至該核心模組200M2的第二端200M2E2的插入模組200M6可用插入模組200M5來取代,使得端部開口260A,260B以實質類似於圖7、9A、9B所示的方式只被提供在該基材運送室210的兩個端壁210E1,210E2。The configuration of the substrate transport chamber 210 illustrated in FIG. 10 also includes insert modules 200M3, 200M7 selected such that the substrate transport chamber 210 has an aspect ratio of length L to width W of 2:1. but in this aspect, only one end wall 210E2 of the substrate transport chamber includes end openings 260A, 260B, and the end wall 210E2 does not include any openings. In this aspect, an insert module 200M3 is coupled to the second end 200M2E2 of the core module 200M2 such that the core module 200M2 and the insert module 200M3 provide each side 210S1 , 210S2 of the substrate transport chamber 210 Four side openings 270A, 270B. The plug-in module 200M7 is coupled to the first end 200M2E1 of the core module 200M2 such that the load lock chambers LL1, LL2 of the front-end module 200M1 can be coupled to the substrate transport chamber 210, wherein the plug-in module 200M7 includes only End openings 260A, 260B. Although not shown, the plug-in module 200M6 coupled to the second end 200M2E2 of the core module 200M2 may be replaced with a plug-in module 200M5 such that the end openings 260A, 260B are substantially similar to FIGS. 7, 9A, 9B. The manner shown is provided only at the two end walls 210E1 , 210E2 of the substrate transport chamber 210 .

例示於圖11中的該基材運送室210的型態包括兩個插入模組200M7,其被選取使得該基材運送室210具有一1:1的長度L對寬度W的長寬比(如,單一的長寬比)。在此態樣中,該運送室的兩個端壁210E1,210E2包括端部開口260A,260B。在此態樣中,該等插入模組200M7中的一者被耦合至該核心模組200M2的第二端200M2E2,而另一插入模組200M7則被耦合至該核心模組200M2的第一端200M2E1,使得只有該核心模組200M2提供該基材運送室210的每一側面210S1,210S2兩個側面開口270A,270B。在此態樣中,該等插入模組200M7被耦合至該核心模組200M2,使得前端模組200M1的負載鎖定室LL1,LL2可被耦合至該基材運送室210以及使得處理模組PM可被耦合至該基材運送室210的第二端200E2,其中該等插入模組200M7只包括端部開口260A,260B。在一如圖12所示的態樣中,耦合至該核心模組200M2的第二端200M2E2的該插入模組200M7可用插入模組200M8來取代,它係用來對該核心模組200M2的第二端200M2E2加蓋,而不提供任何的側面開口或端部開口,使得在只在該基材運送室210的端壁210E1上提供端部開口260A,260B的同時,該基材運送室可保持該1:1的長度L對寬度W的長寬比。在一如圖12A所示的態樣中,插入模組200M7可被耦合至該核心模組200M2的端部200M2E1,200M2E2,其中一處理模組PM可被設置在該基材運送室210的一或多個側面210S1,210S2及/或第二端210E2(一或多個負載鎖定室被耦合至該基材運送室210的第一端210E1)。雖然基材運送室210的示範性型態已被示於圖7、8、9A、9B、10、11及12中,但應被瞭解的是,任何數量的核心模組200M2以及任何數量的插入模組200M可用任何適當的模式加以結合以提供該基材運送室210具有任何適當數量的側面開口270A,70B及端部開口260A,260B之任何適當的長度L對寬度W的長寬比。The configuration of the substrate transport chamber 210 illustrated in FIG. 11 includes two insert modules 200M7 selected such that the substrate transport chamber 210 has a 1:1 aspect ratio of length L to width W (eg, , a single aspect ratio). In this aspect, the two end walls 210E1 , 210E2 of the transport chamber include end openings 260A, 260B. In this aspect, one of the add-in modules 200M7 is coupled to the second end 200M2E2 of the core module 200M2, while the other add-in module 200M7 is coupled to the first end of the core module 200M2 200M2E1, so that only the core module 200M2 provides two side openings 270A, 270B on each side 210S1, 210S2 of the substrate transport chamber 210. In this aspect, the plug-in modules 200M7 are coupled to the core module 200M2 so that the load lock chambers LL1, LL2 of the front-end module 200M1 can be coupled to the substrate transport chamber 210 and the process module PM can be is coupled to the second end 200E2 of the substrate transport chamber 210, wherein the insert modules 200M7 include only end openings 260A, 260B. In an aspect as shown in FIG. 12, the plug-in module 200M7 coupled to the second end 200M2E2 of the core module 200M2 can be replaced with a plug-in module 200M8, which is used for the second terminal of the core module 200M2. Both ends 200M2E2 are capped without providing any side openings or end openings, so that while end openings 260A, 260B are provided only on the end wall 210E1 of the substrate transport chamber 210, the substrate transport chamber can remain The 1:1 aspect ratio of length L to width W. In an aspect as shown in FIG. 12A , a plug-in module 200M7 can be coupled to the ends 200M2E1 , 200M2E2 of the core module 200M2, wherein a process module PM can be disposed in one of the substrate transport chambers 210. or a plurality of sides 210S1 , 210S2 and/or a second end 210E2 (one or more load lock chambers are coupled to the first end 210E1 of the substrate transfer chamber 210 ). While exemplary configurations of substrate transport chambers 210 have been shown in FIGS. Modules 200M may be combined in any suitable pattern to provide any suitable length L to width W aspect ratio of the substrate transport chamber 210 having any suitable number of side openings 270A, 70B and end openings 260A, 260B.

再次參考圖2A及2E,在一態樣中,至少一基材運送設備245被至少部分地設置在該運送室210內。在一態樣中,每一基材運送設備245包括一基材運送手臂250,它被可樞轉地安裝在該運送室210內,使得該基材運送手臂250的一樞轉軸(如,肩部軸線)SX相對於該運送室210被固定不動地安裝,使得該樞轉軸SX不會橫越該基材運送室210的長度L或寬度W。在一態樣中,相較於將該基材運送手臂250安裝至一線性平移器,該樞轉軸SX的固定不動的安裝是有利的,因為該樞轉軸SX的固定不動的安裝將產生在該運送室210內的微粒減至最少並限制或消除隔離滑移特徵的任何密封界面,用以實施該樞轉關節SX的定位。再者,與用樞轉連桿(其上安裝了該運送手臂)所構成的傳統以關節連接的手臂相反地,描述於本文中的以關節連接的運送臂250在一緊湊的覆蓋區上提供長的伸距,用以能夠在一端壁210E1(如,與其相連接的負載鎖定室LL1,LL2)、另一端壁210E1(如,與其相連接的負載鎖定室或處理模組)和沿著該大的長寬比的運送室210的側面210S1,210S2設置於端壁之間的處理模組PM之間解決(傳統手臂所表現出來的)下降效應(droop effect);提供該基材運送手臂250和該長的伸距相對應之實質不受侷限的手臂活動性(這將於下文中描述);以及提供用於長的伸距(譬如,在側面開口270A1,270A6,270B1,270B6及端部開口260A,260B)的高精確度基材定位的樞轉剛性。Referring again to FIGS. 2A and 2E , in one aspect, at least one substrate transport device 245 is disposed at least partially within the transport chamber 210 . In one aspect, each substrate transport apparatus 245 includes a substrate transport arm 250 that is pivotally mounted within the transport chamber 210 such that a pivot axis of the substrate transport arm 250 (e.g., shoulder axis) SX is fixedly mounted relative to the transport chamber 210 such that the pivot axis SX does not traverse the length L or width W of the substrate transport chamber 210. In one aspect, the stationary mounting of the pivot axis SX is advantageous compared to mounting the substrate transport arm 250 to a linear translator, because the stationary mounting of the pivot axis SX will result in Particles within the transport chamber 210 are minimized and any sealing interface isolating the sliding features used to effectuate the positioning of the pivot joint SX is limited or eliminated. Furthermore, as opposed to conventional articulating arms constructed with pivoting links on which the transport arm is mounted, the articulating transport arm 250 described herein provides Long reach, in order to can in one end wall 210E1 (for example, the load lock chamber LL1, LL2 that is connected with it), the other end wall 210E1 (for example, the load lock chamber or processing module that is connected with it) and along this The sides 210S1, 210S2 of the transport chamber 210 with large aspect ratio are arranged between the processing modules PM between the end walls to solve the droop effect (shown by conventional arms); provide the substrate transport arm 250 Corresponding to this long reach is substantially unrestricted arm mobility (which will be described below); Pivot rigidity for high precision substrate positioning of openings 260A, 260B).

在一態樣中,該基材運送手臂250具有三連桿-三關節SCARA(選擇順應性關節機械手臂)型態。例如,該基材運送手臂250包括第一手臂連桿或上臂250UA、第二手臂連桿或前臂250FA及至少一第三手臂連桿或至少一末端作用器250E,250E1,250E2,其中至少一末端作用器250E,250E1,250E2包括至少一基材固持器250EH(它的運動控制實施該基材固持器250EH在該基材運送手臂250的整個運動範圍內的完整的運送運動)。在一參考圖2A的態樣中,該基材運送手臂250包括單一末端作用器250E,其具有單一基材固持器250EH。在一參考圖5的態樣中,該基材運送手臂250A包括單一末端作用器250E1,其具有多於一個的基材固持器250EH。在參考圖5的態樣中,該末端作用器250E1被設置了兩個基材固持器250EH,但在其它態樣中任何適當數量的基材固持器都可被設置,使得以並排配置被設置的基材可從並排的基材固持站PMH1,PMH2被實質地同時揀取及放置。例如,該末端作用器250E1的基材固持器250EH被配置成使得末端作用器250E1用一個共同的末端作用器運動來將該多於一個的基材固持器250EH實質同時地伸展或縮回穿過被直線地安排的側面基材運送開口270A1-270A6,270B1-270B6(或被直線地安排在端壁210E1,210E2的一者或多者上的開口260A,260B)。在一態樣中,該基材運送手臂250B包括多個末端作用器,譬如末端作用器250E,250E2,其中該等末端作用器250E,250E2附屬於該基材運送手臂250B的一共同的前臂連250FA,使得末端作用器250E,250E2繞著一共同的轉動軸線(如,腕部軸線WX)相對於該前臂250FA樞轉,且兩個末端作用器250E,250E2是每一末端及側面基材運送開口260A,260B,270A1-270A2,270B1-270B2所共用。當該基材運送手臂250B包括多於一個的末端作用器250E,250E2時,該等末端作用器250E,250E2提供該基材運送手臂250B一供每一末端及側面基材運送開口260A,260B,270A1-270A2,270B1-270B2共用的快速交換末端作用器。在一態樣中,每一末端作用器250E,250E2被驅動區段300A,300B,300C,300D的各別自由度獨立地轉動地驅動,而在其它態樣中,末端作用器250E,250E2以一種實質類似於描述在2016年7月26日授予的美國專利第9,401,294號中的方式(該專利的內容藉此參照被併於本文中)(譬如,末端作用器250E,250E2的一者被任何適合的反向傳動驅動器驅動)被驅動區段300A,300B,300C,300D的一共同的自由度差別地驅動。In one aspect, the substrate delivery arm 250 has a three-link-three-joint SCARA (Selectively Compliant Articulated Robotic Arm) type. For example, the substrate transfer arm 250 includes a first arm link or upper arm 250UA, a second arm link or forearm 250FA and at least one third arm link or at least one end effector 250E, 250E1, 250E2, at least one of which is The effectors 250E, 250E1 , 250E2 include at least one substrate holder 250EH (whose motion control implements the complete transport motion of the substrate holder 250EH over the entire range of motion of the substrate transport arm 250). In an aspect with reference to FIG. 2A, the substrate delivery arm 250 includes a single end effector 250E having a single substrate holder 250EH. In an aspect with reference to FIG. 5, the substrate delivery arm 250A includes a single end effector 250E1 having more than one substrate holder 250EH. In the aspect with reference to Figure 5, the end effector 250E1 is provided with two substrate holders 250EH, but in other aspects any suitable number of substrate holders may be provided such that they are arranged in a side-by-side configuration The substrates can be picked and placed substantially simultaneously from the side-by-side substrate holding stations PMH1, PMH2. For example, the substrate holders 250EH of the end effector 250E1 are configured such that the end effectors 250E1 extend or retract the more than one substrate holders 250EH substantially simultaneously with a common end effector motion Linearly arranged side substrate delivery openings 270A1 - 270A6 , 270B1 - 270B6 (or openings 260A, 260B linearly arranged on one or more of the end walls 210E1 , 210E2 ). In one aspect, the substrate transfer arm 250B includes a plurality of end effectors, such as end effectors 250E, 250E2, wherein the end effectors 250E, 250E2 are attached to a common forearm link of the substrate transfer arm 250B. 250FA such that the end effectors 250E, 250E2 pivot relative to the forearm 250FA about a common axis of rotation (e.g., wrist axis WX), and the two end effectors 250E, 250E2 are each end and side substrate transport Openings 260A, 260B, 270A1-270A2, 270B1-270B2 are shared. When the substrate transfer arm 250B includes more than one end effector 250E, 250E2, the end effectors 250E, 250E2 provide the substrate transfer arm 250B with a substrate transfer opening 260A, 260B for each end and side, 270A1-270A2, 270B1-270B2 common rapid exchange end effector. In one aspect, each end effector 250E, 250E2 is independently rotationally driven by a respective degree of freedom of the drive section 300A, 300B, 300C, 300D, while in other aspects, the end effector 250E, 250E2 is independently driven by a An approach substantially similar to that described in U.S. Patent No. 9,401,294, issued July 26, 2016 (the contents of which are hereby incorporated by reference) (e.g., one of the end effectors 250E, 250E2 replaced by any Suitable counter drive drives) are differentially driven by a common degree of freedom of drive sections 300A, 300B, 300C, 300D.

參考圖4,在一態樣中,末端作用器250E,250E1,250E2以及上臂250UA及前臂250FA的每一者可被用任何適當的傳動器的任何適合的驅動區段300A,300B,300C,300D(其被描述於下文中,驅動區段300A被例示於圖4中作為一個例子)驅動。例如,在一態樣中,基材運送手臂250,250A,250B包括與揭露於2015年5月14日的美國專利公開案第2015/0128749號以及1997年11月4日授予的美國專利第5,682,795號;1998年7月14日授予的美國專利第5,778,730號;1998年8月18日授予的美國專利第5,794,487號;1999年6月1日授予的美國專利第5,908,281號;及2002年8月6日授予的美國專利第6,428,266號中的分叉帶式傳動器(split band transmission)實質類似的分叉帶式傳動器。例如,參考用於前臂250FA的驅動傳動器400(其應被理解為,用於末端作用器的驅動傳動器係實質類似的),一肩部滑輪410可被安裝至該驅動區動300A繞著肩部軸線SX轉動,使得該驅動區段300A的驅動軸(drive shaft)驅動該肩部滑輪410的轉動。一手肘滑輪411被可轉動地安裝在手肘軸線EX,使得該手肘滑輪411和該前臂250FA一起如同一個單元般地繞著該手肘軸線EX轉動。具有任何適當高度的驅動帶400A,400B在相反的方向上部分地纏繞在滑輪410,411周圍,使得驅動帶400A,400B兩者在該基材運送手臂250的操作期間皆處於張緊狀態,用以至少為該基材運送手臂250的關節EX,WX提供剛性。Referring to FIG. 4 , in one aspect, the end effectors 250E, 250E1 , 250E2 and each of the upper arm 250UA and forearm 250FA may be driven by any suitable drive section 300A, 300B, 300C, 300D using any suitable actuator. (It is described below, and the driving section 300A is illustrated in FIG. 4 as an example) driving. For example, in one aspect, substrate delivery arms 250, 250A, 250B include those disclosed in U.S. Patent Publication No. 2015/0128749, disclosed on May 14, 2015, and U.S. Patent No. 5,682,795, issued on November 4, 1997. U.S. Patent No. 5,778,730, issued July 14, 1998; U.S. Patent No. 5,794,487, issued August 18, 1998; U.S. Patent No. 5,908,281, issued June 1, 1999; and August 6, 2002 A substantially similar split band transmission is the split band transmission of US Patent No. 6,428,266 issued on . For example, referring to the drive transmission 400 for the forearm 250FA (it should be understood that the drive transmission for the end effector is substantially similar), a shoulder pulley 410 may be mounted to the drive transmission 300A around The shoulder axis SX rotates so that the drive shaft of the drive section 300A drives the rotation of the shoulder pulley 410 . An elbow pulley 411 is rotatably mounted on the elbow axis EX such that the elbow pulley 411 and the forearm 250FA rotate around the elbow axis EX together as a unit. Drive belts 400A, 400B of any suitable height are partially wrapped around pulleys 410, 411 in opposite directions so that both drive belts 400A, 400B are under tension during operation of the substrate transport arm 250, with At least the joints EX, WX of the arm 250 provide rigidity to the substrate.

再次參考圖2A及2E,在一態樣中,該上臂250UA具有一從關節中心SX到關節中心EX的第一長度AL1;該前臂250FA具有一從關節中心EX到關節中心WX的第二長度AL2;及該末端作用器250E具有一從關節中心WX到該基材固持器250EH的基材固持參考基準DD的第三長度AL3。在一態樣裝,該第一長度AL1、第二長度AL2及第三長度AL3的一者或多者與該第一長度AL1、第二長度AL2及第三長度AL3的其它的一者或多者不同(即,該運送臂250不等長的手臂連桿)。在一態樣中,長度AL2比長度AL1及AL3長。2A and 2E, in one aspect, the upper arm 250UA has a first length AL1 from the joint center SX to the joint center EX; the forearm 250FA has a second length AL2 from the joint center EX to the joint center WX and the end effector 250E has a third length AL3 from the joint center WX to the substrate holding reference datum DD of the substrate holder 250EH. In one aspect, one or more of the first length AL1, second length AL2 and third length AL3 and the other one or more of the first length AL1, second length AL2 and third length AL3 or different (ie, the delivery arm 250 is not equal in length to the arm linkage). In one aspect, length AL2 is longer than lengths AL1 and AL3.

該上臂250UA的第一端250UAE1在樞轉關節SX處被可轉動地例如耦接至任何適合的驅動區段,譬如描述於本文中的驅動區段300A,300B,300C,300D(參見圖3A-3D),用以提供該基材運送手臂250至少兩個自由度。如圖3A、3B、3C及3D中所見,該等驅動區段300A,300B,300C,300D的每一驅動軸380S,380AS,380BS,388(該等驅動軸的集合形成一驅動心軸)和與其相耦接的基材運送手臂250,250A,250B的肩部軸線SX是同軸線的。在一態樣中,該基材運送手臂250包括三個自由度,而在其它態樣中,該基材運送手臂具有四個或更多個自由度。該前臂250FA的第一端在樞轉關節(如,手肘關節)EX處被可轉動地耦接至該上臂250UA的第二端250UAE2。該至少一末端作用器250E的第一端在樞轉關節(如,腕部關節)WX處被耦接至該前臂250FA的第二端,該基材運送手臂250的第二端包括用於固持基材S的基材固持器250E。在此處,該基材運送手臂250是以關節結合的,用來將被該至少一基材固持器250EH固持的基材S經由末端及側面基材運送開口260A,260B,270A1-270A6,270B1-270B6運送進出該運送室210,使得該基材運送手臂250為末端及側面基材運送開口260A,260B,270A1-270A6,270B1-270B6所共用。The first end 250UAE1 of the upper arm 250UA is rotatably coupled at pivot joint SX, for example, to any suitable drive section, such as the drive sections 300A, 300B, 300C, 300D described herein (see FIGS. 3D) to provide at least two degrees of freedom for the substrate delivery arm 250 . 3A, 3B, 3C, and 3D, each drive shaft 380S, 380AS, 380BS, 388 of the drive sections 300A, 300B, 300C, 300D (collection of the drive shafts forms a drive spindle) and The shoulder axes SX of the substrate transport arms 250, 250A, 250B coupled thereto are coaxial. In one aspect, the substrate transport arm 250 includes three degrees of freedom, while in other aspects, the substrate transport arm has four or more degrees of freedom. The first end of the forearm 250FA is rotatably coupled to the second end 250UAE2 of the upper arm 250UA at a pivot joint (eg, elbow joint) EX. A first end of the at least one end effector 250E is coupled at a pivot joint (eg, wrist joint) WX to a second end of the forearm 250FA, the second end of the substrate transfer arm 250 includes a Substrate holder 250E for substrate S. Here, the substrate delivery arm 250 is articulated for moving the substrate S held by the at least one substrate holder 250EH through the end and side substrate delivery openings 260A, 260B, 270A1-270A6, 270B1. - 270B6 transports in and out of the transport chamber 210 such that the substrate transport arm 250 is shared by the end and side substrate transport openings 260A, 260B, 270A1-270A6, 270B1-270B6.

亦參考圖3A,3B,3C,3D,在一態樣中,該運送設備245包括至少一驅動區段300A,300B,300C,300D以及至少一有該至少一運送臂250,250A,250B的運送臂部分。該至少一運送臂250,250A,250B可在任何適合的連接處CNX以任何適合的方式被耦接至該等驅動區段300A-300D的驅動軸,使得該驅動軸的轉動如本文中所述地實施該至少一運送臂250,250A,250B的運動。在一實施例中,該至少一運送臂250,250A,250B可和數個不同的可互換的運送臂250,250A,250B互換,用以在連接處CNX與驅動區段交換,其中每一可互換的運送臂250,250A,250B具有不同的下降特徵(drop characteristics)及一與其相關聯之相應的下降距離暫存器,它描述該相關聯的運送臂250,250A,250B的手臂下降距離,使得該驅動區段可使用一在Z方向上的補償手臂運動用與描述在例如2017年1月26日提申之名稱為"Method and Apparatus for Substrate Transport Apparatus Position Compensation"的美國專利申請案第62/450,818號中所描述的方式實質類似的方式來補償該下降,該申請案的揭露內容藉此參照被併於本文中。3A, 3B, 3C, 3D, in one aspect, the transport device 245 includes at least one drive section 300A, 300B, 300C, 300D and at least one transport arm 250, 250A, 250B. arm part. The at least one transport arm 250, 250A, 250B may be coupled to the drive shafts of the drive sections 300A-300D at any suitable junction CNX in any suitable manner such that the drive shafts rotate as described herein The movement of the at least one transport arm 250, 250A, 250B is implemented in a smooth manner. In one embodiment, the at least one transport arm 250, 250A, 250B is interchangeable with a plurality of different interchangeable transport arms 250, 250A, 250B for exchanging at the junction CNX with the drive section, each of which can Interchangeable delivery arms 250, 250A, 250B have different drop characteristics and a corresponding drop distance register associated therewith, which describes the arm drop distance of the associated delivery arm 250, 250A, 250B, This enables the drive section to use a compensating arm motion in the Z direction as described, for example, in U.S. Patent Application No. 62 entitled "Method and Apparatus for Substrate Transport Apparatus Position Compensation" filed on January 26, 2017 /450,818, the disclosure of which is hereby incorporated herein by reference.

該至少一驅動區段300A,300B,300C,300D被安裝至該處理設備200的任何式當的框架200F,譬如安裝至核心模組200M2的框架200F2。在一態樣中,該至少一驅動區段300A,300B,300C可包括一共同的驅動區段,其包括一框架300F,它容納一Z軸驅動器370和一轉動驅動區段382的一者或多者。該框架300F的內部300FI可用下文中將描述的任何適合的方式加以密封。在一態樣中,該Z軸驅動器370可以是任何適合的驅動器,其被建構來將該至少一運送臂250,250A,250B沿著Z軸線移動。在一態樣中,該Z軸驅動器可以是一螺旋式驅動器,但在其它態樣中,該驅動器可以是任何適合的直線式驅動器,譬如直線作動器、壓電馬達等等。該轉動驅動區段382可被建構成任何適合的驅動區段,譬如,例如一諧合(harmonic)驅動區段。例如,該轉動驅動區段382可包括任何適當數量之同軸配置的諧合驅動馬達380(如,圖3A中所見者),其中該驅動區段382包括三個同軸地配置的諧合驅動馬達380,380A,380B。在其它態樣中,驅動區段382的驅動器可被並排地及/或同軸地設置。在一態樣中,該轉動驅動區段382可包括例如和該同軸驅動系統中任何適當數量的驅動軸380S,380AS,380BS相對應之任何適當數量的諧合驅動馬達380,380A,380B。該諧合驅動馬達380可具有高負載量之輸出軸承,使得一鐵流體密封件(ferrofluidic seal)376,377的組成構件可至少部分地被該諧合驅動馬達380在該運送設備245的所想要的轉動運動T以及伸展運動R期間用足夠的穩定性以及足夠的間隙加以定心(centered)並支撐。應指出的是,該鐵流體密封件376,377可包括數個部件,其形成一將於下文中描述的實質同心的同軸密封件。在此例子中,該轉動驅動區段382包括一殼體381,其容納一或多個驅動馬達380,其實質類似於描述在美國專利第6,845,250號;第5,899,658號;第5,813,823號;及第5,720,590號中的驅動馬達,該等專利的揭露內容藉此參照而被併於本文中。該鐵流體密封件376,377可以是被允許的(toleranced),用以將每一驅動軸380S,380AS,380BS密封在該驅動軸組件內。在一態樣中,鐵流體密封件可以不被提供。例如,該驅動區段382可包括具有定子(它與運送臂在其內操作的環境被實質地密封隔離)的驅動器,而轉子和驅動軸則分享運送臂在其內操作的該環境。不具有鐵流體密封件且可被應用在被揭露的實施例的諸態樣中的驅動區段的適當例子包括由Brooks Automation公司所提供的MagnaTran ®7及MagnaTran ®8機器人驅動區段,其具有一將於下文中描述的密封件配置。應指出的是,驅動軸380S,380AS,380BS亦可具有一中空結構(例如,有一沿著該驅動軸的中心縱長地延伸的孔),用以允許電線或任何其它適當的東西穿過該驅動組件,用以例如連接至安裝至該驅動器300A,300B,300C的另一驅動區段,如2016年7月7日提申之美國專利申請案第15/110,130號(它在2016年11月10日被公開為US2016/0325440號)中所描述者(該申請案的揭露內容藉此參照而被併於本文中)、任何適合的位置編碼器、控制器、及/或該至少一運送臂250,250A,250B。可被理解的是,驅動器300A,300B,300C的每一驅動把達可包括任何適合的編碼器,其被建構來偵測個別馬達的位置,用以決定每一運送臂250,250A,250B的末端作用器250E,250E1,250E2的位置。 The at least one drive section 300A, 300B, 300C, 300D is mounted to any suitable frame 200F of the processing device 200, such as the frame 200F2 mounted to the core module 200M2. In one aspect, the at least one drive section 300A, 300B, 300C can include a common drive section that includes a frame 300F that houses one or both of a Z-axis drive 370 and a rotational drive section 382 many. The interior 300FI of the frame 300F may be sealed in any suitable manner as will be described hereinafter. In one aspect, the Z-axis drive 370 can be any suitable drive configured to move the at least one transport arm 250, 250A, 250B along the Z-axis. In one aspect, the Z-axis drive can be a screw drive, but in other aspects, the drive can be any suitable linear drive, such as linear actuators, piezoelectric motors, and the like. The rotational drive section 382 may be configured as any suitable drive section, such as, for example, a harmonic drive section. For example, the rotational drive section 382 may include any suitable number of coaxially arranged coherent drive motors 380 (as seen in FIG. 3A ), where the drive section 382 includes three coaxially arranged coherent drive motors 380 , 380A, 380B. In other aspects, the drivers of drive section 382 may be arranged side-by-side and/or coaxially. In one aspect, the rotational drive section 382 may include any suitable number of coordinated drive motors 380, 380A, 380B, eg, corresponding to any suitable number of drive shafts 380S, 380AS, 380BS in the coaxial drive system. The harmonic drive motor 380 can have high capacity output bearings so that a ferrofluidic seal (ferrofluidic seal) 376, 377 components can be at least partially controlled by the harmonic drive motor 380 in the desired position of the transport device 245. Centered and supported with sufficient stability and sufficient clearance during the desired rotational movement T and extension movement R. It should be noted that the ferrofluidic seals 376, 377 may comprise several components that form a substantially concentric coaxial seal as will be described below. In this example, the rotary drive section 382 includes a housing 381 that houses one or more drive motors 380 substantially similar to those described in U.S. Patent Nos. 6,845,250; 5,899,658; 5,813,823; The drive motors in the patents, the disclosures of which are hereby incorporated by reference. The ferrofluid seals 376, 377 may be tolerated to seal each drive shaft 380S, 380AS, 380BS within the drive shaft assembly. In one aspect, ferrofluidic seals may not be provided. For example, the drive section 382 may include a drive having a stator that is substantially hermetically sealed from the environment within which the transport arm operates, while the rotor and drive shaft share the environment within which the transport arm operates. Suitable examples of drive sections that do not have ferrofluid seals and that can be employed in aspects of the disclosed embodiments include the MagnaTran ® 7 and MagnaTran ® 8 robotic drive sections provided by Brooks Automation, which have A seal configuration will be described below. It should be noted that the drive shaft 380S, 380AS, 380BS may also have a hollow structure (e.g., a hole extending lengthwise along the center of the drive shaft) to allow wires or any other suitable material to pass through the shaft. Drive assembly for connection, for example, to another drive section mounted to the drive 300A, 300B, 300C, such as U.S. Patent Application No. 15/110,130 filed July 7, 2016 (which was filed in November 2016 Published as US2016/0325440 on 10th (the disclosure of which application is hereby incorporated by reference), any suitable position encoder, controller, and/or the at least one transport arm 250, 250A, 250B. It will be appreciated that each drive handle of the actuators 300A, 300B, 300C may include any suitable encoder configured to detect the position of an individual motor for determining the position of each transport arm 250, 250A, 250B. Location of end effectors 250E, 250E1, 250E2.

在一態樣中,該殼體381可被安裝至一耦接至該Z軸驅動器370的匣盒,使得該Z軸驅動器370沿著Z軸線移動該匣盒(以及位於其上的該殼體381)。可被理解的是,為了要將該至少一運送臂250,250A,250B在其內操作的被控制的氛圍密封起來用以與驅動器300A,300B,300C的內部(其係在大氣壓力ATM環境下操作)隔離,該驅動器可包括鐵流體密封件376,377的一者或多者以及一伸縮軟管式密封件。該伸縮軟管式密封件的一端可被耦接至該匣盒且另一端被耦接至該框架300FI的任何適當的部分,使得該框架300F的內部300FI與該被控制的氛圍(該至少一運送臂250,250A,250B在該氛圍內操作)隔離。In one aspect, the housing 381 can be mounted to a cassette coupled to the Z-axis drive 370 such that the Z-axis drive 370 moves the cassette (and the housing thereon) along the Z-axis. 381). It will be appreciated that in order to seal the controlled atmosphere within which the at least one transport arm 250, 250A, 250B operates, from the interior of the drive 300A, 300B, 300C (which is in an atmospheric pressure ATM environment) operation) isolation, the driver may include one or more of ferrofluidic seals 376, 377 and a bellows type seal. The bellows seal can be coupled to the cassette at one end and to any suitable portion of the frame 300FI at the other end so that the interior 300FI of the frame 300F is separated from the controlled atmosphere (the at least one The transport arms 250, 250A, 250B operate in this atmosphere) isolated.

在其它態樣中,一具有定子的驅動器可被設置在該匣盒上,其中該等定子是在沒有鐵流體密封件下被密封起來用以和該等運送臂在其內操作的該氛圍隔離,譬如由Brooks Automation公司所提供的MagnaTran ®7及MagnaTran ®8機器人驅動區段。例如,參考圖3A,3B,3C,3D,該轉動驅動區段382被建構成使得該等馬達定子被密封起來用以和該等機器人手臂在其內操作的該氛圍隔離,同時該等馬達轉子共用該等機器人手臂在其內操作的環境。 In other aspects, a drive having stators may be provided on the cassette, wherein the stators are sealed without ferrofluidic seals from the atmosphere in which the transport arms operate , such as the MagnaTran ® 7 and MagnaTran ® 8 robot-driven sections provided by Brooks Automation. For example, referring to FIGS. 3A, 3B, 3C, 3D, the rotational drive section 382 is constructed such that the motor stators are sealed from the atmosphere in which the robotic arms operate, while the motor rotors share the environment in which the robotic arms operate.

圖3B顯示一具有第一驅動馬達380’和第二驅動馬達380A’的同軸驅動器。該第一驅動馬達380’具有定子380S’和轉子380R’,其中該轉子380R’被耦合至驅動軸380S。一容器密封件(can seal)380CS可被設置在該定子380S’和該轉子380R’之間且以任何適合的方式被連接至該殼體381用以將該定子380S’密封起來用以和該等機器人手臂在其內操作的該環境隔離。相類似地,該馬達380A’包括定子380AS’和轉子380AR’,其中該轉子380AR’被耦合至驅動軸380AS。一容器密封件380ACS可被設置在該定子380AS’和該轉子380AR’之間。該容器密封件380ACS可以任何適合的方式被連接至該殼體381用以將該定子380AS’密封起來用以和該等機器人手臂在其內操作的該環境隔離。可被瞭解的是,任何適合的編碼器/感測器368A,368B可被提供,用來決定該驅動軸(以及該驅動軸所操作的手臂)的位置。Figure 3B shows an in-line drive having a first drive motor 380' and a second drive motor 380A'. The first drive motor 380' has a stator 380S' and a rotor 380R', wherein the rotor 380R' is coupled to a drive shaft 380S. A can seal 380CS may be disposed between the stator 380S' and the rotor 380R' and connected to the housing 381 in any suitable manner for sealing the stator 380S' against the This environment in which the robotic arm operates is isolated. Similarly, the motor 380A' includes a stator 380AS' and a rotor 380AR', wherein the rotor 380AR' is coupled to a drive shaft 380AS. A container seal 380ACS may be disposed between the stator 380AS' and the rotor 380AR'. The container seal 380ACS may be connected to the housing 381 in any suitable manner to seal the stator 380AS' from the environment in which the robotic arms operate. It will be appreciated that any suitable encoder/sensor 368A, 368B may be provided for determining the position of the drive shaft (and the arm on which the drive shaft operates).

參考圖3C,一三軸式轉動驅動區段382被示出。該三軸式轉動驅動區段可實質地類似於上文中參考圖3B所描述的同軸驅動區段,但在此態樣中有三個馬達380’,380A’,380B’,每一馬達具有耦合至個別的驅動軸380A,380AS,380BS的轉子380R’,380AR’,380BR’。每一馬達亦包括一個別的定子380S’,380AS’,380BS’,其被個別的容器密封件380CS,380ACS,380BCS以與機器人手臂在其內操作的環境隔離。可被瞭解的是,任何適合的編碼器/感測器可如上文中參考圖3C所描述地被提供,用以決定該驅動軸(以及該驅動軸所操作的手臂)的位置。亦參考圖3D,一具有實質類似於上文中所描述的三軸式轉動驅動區段的多軸式轉動驅動區段382的驅動器300D被示出,其具有四個驅動軸380S,380AS,380BS,388以及四個個別的馬達380’,380A’,380B’,388M,其中馬達38M包括一定子388S、一轉子388R及一容器密封件388SC,它們實質類似於上文中所描述者。在一態樣中,四個自由度的驅動器300D(不包括Z軸驅動器)可被提供,譬如當該基材運送手臂(譬如,基材運送手臂250B)被設置快速交換末端作用器且每個末端作用器相對於其它末端作用器被獨立地轉動的時候。在一態樣中,三個自由度的驅動器300C(不包括Z軸驅動器)可被提供,譬如當該基材運送手臂(譬如,基材運送手臂250B)被設置快速交換末端作用器(其用不同於上文所述的方式被耦合)的時候。可被瞭解的是,在一態樣中,圖3B,3C及3D中所示的馬達的驅動軸可能不允許電線饋送穿過,而在其它態樣中任何適合的密封件可被設置,使得電線可以例如穿過圖3B,3C及3D中所示的馬達的中空驅動軸。Referring to FIG. 3C, a three-axis rotational drive section 382 is shown. The three-axis rotational drive section may be substantially similar to the coaxial drive section described above with reference to FIG. Individual drive shafts 380A, 380AS, 380BS and rotors 380R', 380AR', 380BR'. Each motor also includes a respective stator 380S', 380AS', 380BS' which is isolated from the environment within which the robotic arm operates by respective container seals 380CS, 380ACS, 380BCS. It will be appreciated that any suitable encoder/sensor may be provided as described above with reference to FIG. 3C to determine the position of the drive shaft (and the arm the drive shaft operates). Referring also to FIG. 3D , a drive 300D having a multi-axis rotary drive section 382 substantially similar to the three-axis rotary drive section described above is shown having four drive shafts 380S, 380AS, 380BS, 388 and four individual motors 380', 380A', 380B', 388M, wherein motor 38M includes a stator 388S, a rotor 388R and a container seal 388SC, substantially similar to those described above. In one aspect, a four degree of freedom drive 300D (excluding the Z-axis drive) may be provided, such as when the substrate transport arm (eg, substrate transport arm 250B) is configured to rapidly exchange end effectors and each When an end effector is rotated independently of other end effectors. In one aspect, a three-degree-of-freedom drive 300C (excluding the Z-axis drive) may be provided, such as when the substrate transport arm (e.g., substrate transport arm 250B) is provided with a rapid exchange end effector (which uses are coupled in a manner different from that described above). It will be appreciated that in one aspect the drive shafts of the motors shown in Figures 3B, 3C and 3D may not allow the wire feed through, while in other aspects any suitable seal may be provided such that The electrical wires may, for example, pass through the hollow drive shaft of the motors shown in Figures 3B, 3C and 3D.

在一參考圖2A,2G及2H的態樣中,為了要補償手臂下降(如,除了補償上文所描述的下降暫存器所實施的Z運動之外或取代該暫存器所實施的Z運動)及/或為了要減輕因為該基材運送手臂250的重量而施加在該至少一驅動區段300A,300B,300C,300D上的任何彎折力矩,該上臂250UA的第一端250UAE1包括一平衡配重(ballast)件247(其為了舉例的目的以代表性的型態被示意地顯示於圖式中),它該樞轉軸SX延伸在一和該基材運送手臂的伸展方向實質相反的方向上,且具有一根據在該樞轉軸SX上(如,該驅動心軸上)的基材運送手臂力矩的平衡、及/或根據安裝該基材運送手臂250的緊湊的覆蓋區內的適配性所決定的組態和重量。在一態樣中,該平衡配重件247被固定不動地安裝至該基材運送手臂250的一框架(譬如,該上臂250UA的框架250UAF)的一相對於該樞轉軸SX固定不動的位置,如圖2G中所示;而在其它態樣中,該平衡配重件247被可移動地安裝至該基材運送手臂250的框架(譬如,該上臂250UA的框架250UAF),用以被設置在該框架上朝向或遠離該樞轉軸SX(如,沿著該上臂250UA的縱長軸線LAX的方向296)的不同位置處。在其它態樣中,該平衡配重件247可被安裝至該基材運送設備245的任何適合的位置,譬如和運送臂連桿250US,250FA,250E,250E1,250E2無關聯的位置。例如,該平衡配重件247可用任何適合的方式(譬如,藉由將該平衡配重件247安裝至該等驅動軸的一者或多者或藉由將該平衡配重件247安裝至一樞軸247PA(其例如被安裝至該驅動區段的驅動軸380S,380AS,380BS,388的一者,如圖2I所示)而被固定不動地或可移動地安裝至驅動區段300A,300B,300C,300D的框架或殼體。在此例子中,和上臂250UA一樣但與上臂250UA相獨立地,該樞軸247PA被例示為安裝至驅動軸280S,但如上文所述地,該樞軸247PA可被安裝至驅動區段300A,300B,300C,300D的驅動軸380S,380AS,380BS,388的任一者。In an aspect with reference to FIGS. 2A , 2G and 2H, to compensate for arm descent (e.g., in addition to or instead of the Z movement implemented by the register for the descent described above) movement) and/or in order to reduce any bending moment exerted on the at least one drive section 300A, 300B, 300C, 300D due to the weight of the substrate transport arm 250, the first end 250UAE1 of the upper arm 250UA includes a A balance weight (ballast) member 247 (shown schematically in the drawings in representative form for purposes of example) with its pivot axis SX extending in a direction substantially opposite to the direction of extension of the substrate transport arm direction, and have a balance according to the moment of the substrate transport arm on the pivot axis SX (e.g., on the drive spindle), and/or according to the compact footprint in which the substrate transport arm 250 is installed. Configuration and weight determined by compatibility. In one aspect, the counterweight 247 is fixedly mounted to a frame of the substrate transport arm 250 (eg, frame 250UAF of the upper arm 250UA) at a fixed position relative to the pivot axis SX, As shown in FIG. 2G; and in other aspects, the balance weight 247 is movably mounted to the frame of the substrate delivery arm 250 (eg, the frame 250UAF of the upper arm 250UA) for being disposed on At various locations on the frame toward or away from the pivot axis SX (eg, along the direction 296 of the longitudinal axis LAX of the upper arm 250UA). In other aspects, the balance weight 247 can be installed at any suitable location on the substrate transport device 245 , such as a position not associated with the transport arm linkages 250US, 250FA, 250E, 250E1, 250E2. For example, the balance weight 247 may be used in any suitable manner (eg, by mounting the balance weight 247 to one or more of the drive shafts or by mounting the balance weight 247 to a Pivot 247PA (which is mounted, for example, to one of the drive section's drive shafts 380S, 380AS, 380BS, 388 as shown in FIG. 2I ) is fixedly or movably mounted to drive sections 300A, 300B , 300C, the frame or housing of 300D. In this example, the pivot 247PA is illustrated as being mounted to the drive shaft 280S, like but independently of the upper arm 250UA, but as described above, the pivot 247PA may be mounted to any of drive shafts 380S, 380AS, 380BS, 388 of drive sections 300A, 300B, 300C, 300D.

在一態樣中,該平衡配重件247是一活動的重量,它可相對於框架(譬如,該上臂250UA的框架250UAF)遠離及朝向該樞轉軸SX移動於方向296上,與該基材運送手臂250的伸展及收縮互補。例如,當該基材運送手臂250伸展時,該平衡配重件247在方向296上移動遠離該樞轉軸SX且當該基材運送手臂250被收縮時,該平衡配重件247在方向296上朝向該樞轉軸SX移動。在一態樣中,該平衡配重件247被可操作地耦合至該基材運送手臂250並實施該基材運送手臂250的關節運動的該驅動區段300A,300B,300C,300D的至少一驅動軸用任何適合的方式相對於該基材運送手臂框架(譬如,該上臂250UA的框架250UAF)移動。例如,該平衡配重件247可被安裝在該上臂250UA內(或該樞軸247PA內)的任何適合的滑件247SL上,該滑件247SL係被驅動區段300A,300B,300C,300D用任何適合的方式(譬如,透過一皮帶和滑輪驅動器或任何其它適合的驅動傳動器)作動。在一態樣中,該驅動區段300A,300B,300C,300D的至少一驅動軸實施該平衡配重件247在方向296上遠離及朝向該樞轉軸的移動並實施該基材運送手臂250的伸展及收縮,使得該至少一驅動軸是該平衡配重件246的運動和該基材運送手臂250的伸展與收縮所共用的驅動軸。例如,亦參考圖3A-3D,外驅動軸380S可被耦合至該上臂250UA,用來將該上臂250UA繞著該肩部軸線SX轉動。該中間驅動軸380AS可被耦合至該前臂250FA(譬如,透過本文中描述的皮帶和滑輪配置),用來將該前臂250FA繞著肘部軸線EX轉動。該內驅動軸380BS,388可被耦合至末端作用器250E,250E1,250E2(譬如,透過描述於本文中的皮帶和滑輪配置),用來將末端作用器250E,250E1,250E2繞著腕部軸線WX轉動。該中間驅動軸380AS亦可用任何適合的方式(譬如,透過一包括該肩部滑輪410和另一個設置在該上臂250UA上相對於該肩部軸線SX與該肘部滑輪411相反的滑輪412的皮帶與滑輪配置而被耦合至該平衡配重件246。皮帶400A’,400B’可連接滑輪410,412,且平衡配重件246可用任何適合的方式被耦合至皮帶400A’,400B’中的一者,用以沿著任何適合的直線滑件247SL移動於方向296上。可被瞭解的是,滑輪410和滑輪411間的滑輪尺寸比可以不同於滑輪410和滑輪412間的滑輪尺寸比,使得該平衡配重件246的移動被校準為臂的伸展/收縮(如,該肩部滑輪410可包括該皮帶400A,400B與之相耦合的第一直徑及該皮帶400A’,400B’與之相耦合的第二直徑,其中該第一及第二直徑各自對應於滑輪411,412的一者)。在其它態樣中,該平衡配重件246可和上臂250UA、前臂250UA及末端作用器250E,250E1,250E2的任何一者一樣用任何適合的方式被耦合至驅動區段300A,300B,300C,300D的任何適合的驅動軸380S,380AS,380BS,388,使得該平衡配重件246移動於方向296上。In one aspect, the counterweight 247 is a movable weight that can move in direction 296 away from and toward the pivot axis SX relative to a frame (e.g., frame 250UAF of the upper arm 250UA), in contact with the substrate The extension and contraction of the transport arm 250 are complementary. For example, when the substrate transport arm 250 is extended, the counterweight 247 moves in direction 296 away from the pivot axis SX and when the substrate transport arm 250 is retracted, the counterweight 247 moves in direction 296 Movement towards this pivot axis SX. In one aspect, the balance weight 247 is operatively coupled to the substrate transport arm 250 and implements at least one of the drive sections 300A, 300B, 300C, 300D of the substrate transport arm 250 articulation. The drive shaft moves relative to the substrate transport arm frame (eg, frame 250UAF of the upper arm 250UA) in any suitable manner. For example, the counterweight 247 may be mounted on any suitable slide 247SL within the upper arm 250UA (or within the pivot 247PA) for the driven sections 300A, 300B, 300C, 300D. Actuated by any suitable means (for example, via a belt and pulley drive or any other suitable drive transmission). In one aspect, at least one drive shaft of the drive sections 300A, 300B, 300C, 300D implements movement of the counterweight 247 in direction 296 away from and toward the pivot axis and implements movement of the substrate transport arm 250. expanding and contracting such that the at least one drive shaft is the common drive shaft for the movement of the balance weight 246 and the expansion and contraction of the substrate transport arm 250 . For example, referring also to FIGS. 3A-3D , an outer drive shaft 380S may be coupled to the upper arm 250UA for rotating the upper arm 250UA about the shoulder axis SX. The intermediate drive shaft 380AS can be coupled to the forearm 250FA (eg, via the belt and pulley arrangement described herein) for rotating the forearm 250FA about the elbow axis EX. The inner drive shaft 380BS, 388 can be coupled to the end effectors 250E, 250E1, 250E2 (e.g., via a belt and pulley arrangement as described herein) for rotating the end effectors 250E, 250E1, 250E2 about the wrist axis. WX turns. The intermediate drive shaft 380AS can also be used in any suitable manner (for example, through a belt comprising the shoulder pulley 410 and another pulley 412 disposed on the upper arm 250UA opposite to the elbow pulley 411 with respect to the shoulder axis SX). configured with pulleys to be coupled to the balance weight 246. The belts 400A', 400B' may be connected to the pulleys 410, 412, and the balance weight 246 may be coupled to one of the belts 400A', 400B' in any suitable manner. Alternatively, to move along any suitable linear slide 247SL in direction 296. It will be appreciated that the pulley size ratio between pulley 410 and pulley 411 may be different than the pulley size ratio between pulley 410 and pulley 412 such that Movement of the balance weight 246 is calibrated to arm extension/contraction (e.g., the shoulder pulley 410 may include a first diameter to which the belts 400A, 400B are coupled and the belts 400A', 400B' to be coupled coupling, wherein the first and second diameters each correspond to one of the pulleys 411, 412). In other aspects, the balance weight 246 can be coupled with the upper arm 250UA, the forearm 250UA, and the end effector 250E , any one of 250E1, 250E2 is coupled in any suitable manner to any suitable drive shaft 380S, 380AS, 380BS, 388 of drive section 300A, 300B, 300C, 300D such that the balance weight 246 moves between Directions 296 on.

參考圖2G,該平衡配重件247具具有一平衡配重部分247A,247B,247C,它可從多個不同的可互換的平衡配重部分247A,247B,247C中選取。在一態樣中,該等可互換的平衡配重部分247A,247B,247C的選擇係取決於該基材運送室210的長度L與寬度W的長寬比。在其它態樣中,該等可互換的平衡配重部分247A,247B,247C的選擇亦取決於包括在該基材運送手臂250內的末端作用器250E,250E1,250E2的種類(如,單一基材固持器末端作用器(譬如,末端作用器250E,250E2)或並排式基材固持器末端作用器(譬如,末端作用器250E1))或數量。例如,針對一被建構成具有六個側面開口的運送室210(如,圖2A中所示者)而被選擇的平衡配重部分247A,247B,247C比針對一被建構成具有四個側面開口的運送室210(如,圖9A中所示者)而被選擇的平衡配重部分247A,247B,247C重。類似地,針對一被建構成具有四個側面開口的運送室210(如,圖9A中所示者)而被選擇的平衡配重部分247A,247B,247C比針對一被建構成具有兩個側面開口的運送室210(如,圖11中所示者)而被選擇的平衡配重部分247A,247B,247C重。在一態樣中,當該基材運送室210具有一1:1的長度L與寬W的長寬比時,究不需要設置配重(如,該配重部分實質上不會添加任何平衡重量(counter weight)到該基材運送手臂250上)。可被瞭解的是,該等平衡配重部分247A,247B,247C可如所需地例如根據該基材運送室210的長寬比及/或包括在該基材運送手臂250內的末端作用器而被添加至該基材運送手臂250或從基材運送手臂250被拿走。Referring to FIG. 2G, the balance weight member 247 has a balance weight portion 247A, 247B, 247C, which can be selected from a plurality of different interchangeable balance weight portions 247A, 247B, 247C. In one aspect, the selection of the interchangeable balance weight portions 247A, 247B, 247C depends on the aspect ratio of the length L to the width W of the substrate transport chamber 210 . In other aspects, the selection of the interchangeable balance weight sections 247A, 247B, 247C also depends on the type of end effectors 250E, 250E1, 250E2 included in the substrate transport arm 250 (e.g., single base substrate holder end effectors (eg, end effectors 250E, 250E2) or side-by-side substrate holder end effectors (eg, end effector 250E1)) or number. For example, the balance weight sections 247A, 247B, 247C are selected for a transport chamber 210 (eg, as shown in FIG. 2A ) constructed with six side openings than for a transport chamber constructed with four side openings. The balance weight sections 247A, 247B, 247C are selected for the transport chamber 210 (eg, shown in FIG. 9A ). Similarly, the balance weight sections 247A, 247B, 247C are selected for a transport chamber 210 (eg, as shown in FIG. 9A ) constructed with four side openings than for a transport chamber constructed with two sides Open transport chamber 210 (eg, as shown in FIG. 11 ) is weighted by selected counterweight portions 247A, 247B, 247C. In one aspect, when the substrate transport chamber 210 has an aspect ratio of length L to width W of 1:1, no counterweight is required at all (e.g., the counterweight portion does not substantially add any balance weight (counter weight) onto the substrate delivery arm 250). It will be appreciated that the counterweight portions 247A, 247B, 247C can be adjusted as desired, for example depending on the aspect ratio of the substrate transport chamber 210 and/or the end effectors included in the substrate transport arm 250 are added to or removed from the substrate transport arm 250 .

現參考圖2A,2G,2H及13A-17,該基材處理工具200的示範性操作將被描述。在一態樣中,該基材運送室210被提供(圖17的方塊1700)且該等多個處理模組PM如上文所述地沿著該基材運送室的側面210S1,210S2的至少一者被排成直線陣列(圖17的方塊1710)。在一態樣中,該等處理模組PM及/或負載鎖定室LL1,LL2亦在該基材運送室210的端壁210E1,210E2上被排成陣列。在一態樣中,驅動區段300A,300B,300C,300D被提供且被連接至該基材運送室210(圖17的方塊1705),其中該驅動區段包括至少兩個自由度且該驅動區段300A,300B,300C,300D的每一驅動軸380S,380AS,380BS,388和該驅動區段300A,300B,300C,300D的其它驅動軸380S,380AS,380BS,388一起繞著一共同軸線(譬如,肩部軸線SX)轉動。在一態樣中,該基材運送手臂250被提供(圖17的方塊1720)且被可樞轉地安裝在該基材運送室210內,使得該運送臂的一樞轉軸(譬如,肩部軸線SX)如上文所述地相對於該基材運送室被固定不動地安裝。如上文中所描述的,在一態樣中,該運送臂250的該肩部軸線SX是和驅動區段300A,300B,300C,300D的其它驅動軸380S,380AS,380BS,388共用的軸線。Referring now to Figures 2A, 2G, 2H and 13A-17, an exemplary operation of the substrate processing tool 200 will be described. In one aspect, the substrate transport chamber 210 is provided (block 1700 of FIG. 17 ) and the plurality of process modules PM are as described above along at least one of the sides 210S1, 210S2 of the substrate transport chamber. or are arranged in a linear array (block 1710 of FIG. 17). In one aspect, the process modules PM and/or load lock chambers LL1 , LL2 are also arranged in an array on the end walls 210E1 , 210E2 of the substrate transport chamber 210 . In one aspect, drive sections 300A, 300B, 300C, 300D are provided and connected to the substrate transport chamber 210 (block 1705 of FIG. 17 ), wherein the drive sections include at least two degrees of freedom and the drive Each drive shaft 380S, 380AS, 380BS, 388 of a section 300A, 300B, 300C, 300D and the other drive shafts 380S, 380AS, 380BS, 388 of that drive section 300A, 300B, 300C, 300D revolve around a common axis (eg, shoulder axis SX) rotation. In one aspect, the substrate transport arm 250 is provided (block 1720 of FIG. 17 ) and is pivotally mounted within the substrate transport chamber 210 such that a pivot axis of the transport arm (e.g., shoulder The axis SX) is fixedly mounted relative to the substrate transport chamber as described above. As described above, in one aspect, the shoulder axis SX of the transport arm 250 is a common axis with the other drive shafts 380S, 380AS, 380BS, 388 of the drive sections 300A, 300B, 300C, 300D.

在一態樣中,該基材運送手臂250被關節地連接用以將末端作用器250E,250E1,250E2的該至少一基材固持器250EH所固持的基材經由末端及側面基材運送開口260A,260B,270A1-270A6,270B1-270B6運送進出該基材運送示210,使得末端作用器250E,250E1,250E2是末端及側面基材運送開口260A,260B,270A1-270A6,270B1-270B6的每一者所共用。在一態樣中,當該平衡配重件247是活動的時,該手臂的關節運動包括了依賴該基材運送手臂250的伸展來將該平衡配重件247移動於方向296上。In one aspect, the substrate delivery arm 250 is articulated for moving a substrate held by the at least one substrate holder 250EH of an end effector 250E, 250E1, 250E2 through the end and side substrate delivery opening 260A. , 260B, 270A1-270A6, 270B1-270B6 are transported in and out of the substrate transporter 210 such that the end effectors 250E, 250E1, 250E2 are each of the end and side substrate transport openings 260A, 260B, 270A1-270A6, 270B1-270B6 shared by. In one aspect, when the counterweight 247 is active, articulation of the arm includes moving the counterweight 247 in direction 296 in dependence on extension of the substrate transport arm 250 .

在一態樣中,如上文所述,穿過側面基材運送開口270A1-270A6,270B1-270B6的基材固持器運動的軸線270A1X-270A6X,270B1X-270B6X係實質正交於穿過該至少一端壁250E1,250E2的端部基材運送開口260A,260B的基材固持器運動的另一軸線260A,260BX。亦如上文所述地,一些運動的軸線(譬如,270A1X,270A6X,270B1X,270B6X)和該基材運送室的端壁210E1,210E2相鄰。被驅動區段300A,300B,300C,300D所驅動的該基材運送手臂250的關節讓基材運送手臂250被提供活動性,用以將末端作用器250E,250E1,250E2繞著由運動的軸線260AX,260BX和運動的軸線270A1X,270A6X,270B1X,270B6X所界定之實質地正交的角落轉動。In one aspect, as described above, the axes 270A1X-270A6X, 270B1X-270B6X of substrate holder movement through the side substrate transport openings 270A1-270A6, 270B1-270B6 are substantially orthogonal to the axes passing through the at least one end. Another axis of substrate holder movement 260A, 260BX of the end substrate delivery openings 260A, 260B of the walls 250E1, 250E2. As also described above, some axes of motion (eg, 270A1X, 270A6X, 270B1X, 270B6X) are adjacent to end walls 210E1 , 210E2 of the substrate transport chamber. The joints of the substrate transport arm 250 driven by the drive sections 300A, 300B, 300C, 300D allow the substrate transport arm 250 to be provided with mobility for moving the end effectors 250E, 250E1, 250E2 about the axis of motion. Substantially orthogonal corner rotations defined by 260AX, 260BX and axes of motion 270A1X, 270A6X, 270B1X, 270B6X.

參考圖13A,13B,當該基材運送手臂250被伸展及收縮進入每一端部開口260A,260B時末端作用器250E,250E1,250E2的一示範性活動性被示。在此處,在該基材運送手臂250的收縮型態中,藉著該肩部軸線SX相對於該基材運送室210被固定不動地安裝且讓驅動該運送臂的驅動軸和該肩部軸線SX同軸地設置,該末端作用器被提供一大於270度但小於360度之相對於該基材運送手臂250的腕軸線WX的轉動運動範圍1300(參見圖13B)。當該基材運送手臂250被伸展使得末端作用器250E伸展穿過端部開口260B時,該末端作用器250E(以及末端作用器250E2)保持該大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖13C)。相類似地,當該基材運送手臂250被伸展使得末端作用器250E伸展穿過端部開口260A時,該末端作用器250E(以及末端作用器250E2)保持該大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖13D)。可被瞭解的是,在手臂運動的整個伸距(reach)和位置內,該基材運送手臂250運動的完整範圍可在沒有限制下用包括末端作用器250E,250E1,250E2的獨立關節的該分叉帶式傳動器400來實施以進行快速交換,這和傳統的基材處理系統(譬如,圖1所示的傳統基材處理系統100)相反,傳統的處理基材系統具有傳統的直線型細長的基材運送室以及使用長的手臂連桿,這造成具有帶式傳動器的末端作用器的活動性降低,且因為其運送室114的長度被增長來在該運送室114的每一側面上容納多於三個處理模組(每一處理模組具有單一基材固持站),所以額外的手臂連桿被增加至其基材運送手臂150,該等額外的連桿因為該基材運送手臂的重量的增加而加大了作用在該基材運送手臂驅動系統上的力矩。該基材運送手臂150的增加的重量以及將手臂連桿耦合在一起的關節之間的誤對準共同造成了基材運送手臂150的下降或下垂的增加,這會導致該基材運送手臂150的基材放置及/或揀取精確度的降低。雖然端部開口260A,260B被例示在基材運送室210的端壁210E1上,但應被理解的是,末端作用器250E,250E1,250E2伸展進入位在端壁210E2上的端部開口260A,260B(如圖7所示)是實質類似的。Referring to Figures 13A, 13B, an exemplary movement of the end effectors 250E, 250E1, 250E2 is shown as the substrate transport arm 250 is extended and retracted into each end opening 260A, 260B. Here, in the retracted configuration of the substrate transport arm 250, the shoulder axis SX is immovably mounted relative to the substrate transport chamber 210 and allows the drive shaft driving the transport arm and the shoulder Coaxially disposed with axis SX, the end effector is provided with a range of rotational motion 1300 greater than 270 degrees but less than 360 degrees relative to the wrist axis WX of the substrate transfer arm 250 (see FIG. 13B ). When the substrate transport arm 250 is extended such that end effector 250E extends through end opening 260B, the end effector 250E (and end effector 250E2) maintains the angle greater than 270 degrees but less than 360 degrees relative to the wrist axis. Rotational range of motion of WX 1300 (see FIG. 13C ). Similarly, when the substrate transport arm 250 is extended such that the end effector 250E extends through the end opening 260A, the end effector 250E (and end effector 250E2) maintains the relative angle greater than 270 degrees but less than 360 degrees. Rotational range of motion 1300 about the wrist axis WX (see FIG. 13D ). It will be appreciated that the full range of motion of the substrate delivery arm 250 can be used without limitation with the full range of motion of the individual joints including the end effectors 250E, 250E1, 250E2, throughout the reach and position of the arm motion. A bifurcated belt drive 400 is implemented for rapid exchange, as opposed to conventional substrate processing systems (eg, conventional substrate processing system 100 shown in FIG. 1 ), which have conventional linear The elongated substrate transport chamber and the use of long arm linkages, which results in reduced mobility of the end effector with the belt drive, and because the length of the transport chamber 114 is increased to be on each side of the transport chamber 114 To accommodate more than three processing modules (each processing module has a single substrate holding station), so additional arm linkages are added to its substrate transport arm 150, these additional linkages because the substrate transport The increased weight of the arm increases the torque on the substrate transport arm drive system. The increased weight of the substrate transport arm 150 and the misalignment between the joints coupling the arm linkages together cause an increase in the drop or sag of the substrate transport arm 150, which can cause the substrate transport arm 150 to lose weight. Reduced substrate placement and/or picking accuracy. While end openings 260A, 260B are illustrated on end wall 210E1 of substrate transport chamber 210, it should be understood that end effectors 250E, 250E1, 250E2 extend into end openings 260A on end wall 210E2, 260B (shown in Figure 7) is substantially similar.

參考圖14A-14C,當該基材運送手臂250被伸展進入該核心處理模組200M2的每一側面開口270A3,270A4,270B3,270B4(或如圖11及12中的1比1長寬比的運送室210的端部開口260A,260B)時,末端作用器250E,250E1,250E2的一示範的活動性被示出。在此處,當該基材運送手臂250被伸展使得末端作用器250E伸展穿過側面開口270B3或270B4時,末端作用器250E(以及末端作用器250E2)保持大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖14B)。相類似地,當該基材運送手臂250被伸展使得末端作用器250E伸展穿過側面開口270A3或270A4時,末端作用器250E(以及末端作用器250E2)保持大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖14C)。雖然側面開口270A3,270B3被例示在圖14B及14C中,但應被理解的是,末端作用器250E,250E1,250E2伸展進入側面開口270A4,270B4是實質類似的。14A-14C, when the substrate delivery arm 250 is extended into each side opening 270A3, 270A4, 270B3, 270B4 of the core processing module 200M2 (or 1:1 aspect ratio as shown in FIGS. 11 and 12 An exemplary mobility of the end effectors 250E, 250E1 , 250E2 is shown when the end openings 260A, 260B of the transport chamber 210 are transported. Here, when the substrate transport arm 250 is extended such that end effector 250E extends through side opening 270B3 or 270B4, end effector 250E (and end effector 250E2) remains greater than 270 degrees but less than 360 degrees relative to The range of rotational motion 1300 of the wrist axis WX (see FIG. 14B ). Similarly, when the substrate transport arm 250 is extended such that end effector 250E extends through side opening 270A3 or 270A4, end effector 250E (and end effector 250E2) remains greater than 270 degrees but less than 360 degrees relative to The range of rotational motion 1300 of the wrist axis WX (see FIG. 14C ). While side openings 270A3, 270B3 are illustrated in Figures 14B and 14C, it should be understood that the extension of end effectors 250E, 250E1, 250E2 into side openings 270A4, 270B4 is substantially similar.

參考圖15A-15C,當該基材運送手臂250被伸展進入側面開口270A2,270A5,270B2,270B5(或者和圖9A,9B中具有長度L與寬度W的長寬比為2:1的運送室210的端壁210E1,210E2相鄰的側面開口270A2,270A5,270B2,270B5)的每一者時,末端作用器250E,250E1,250E2的一示範的活動性被示出。在此處,當該基材運送手臂250被伸展使得末端作用器250E伸展穿過側面開口270B2時,末端作用器250E(以及末端作用器250E2)保持大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖15B)。相類似地,當該基材運送手臂250被伸展使得末端作用器250E伸展穿過側面開口270A2時,末端作用器250E(以及末端作用器250E2)保持大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖15C)。雖然側面開口270A2,270B2被例示在圖15B及15C中,但應被理解的是,末端作用器250E,250E1,250E2伸展進入側面開口270A5,270B5是實質類似的。Referring to FIGS. 15A-15C , when the substrate transport arm 250 is extended into the side openings 270A2, 270A5, 270B2, 270B5 (or as in FIGS. An exemplary mobility of end effectors 250E, 250E1, 250E2 is shown when end walls 210E1, 210E2 of end wall 210 are adjacent to each of side openings 270A2, 270A5, 270B2, 270B5). Here, when the substrate transfer arm 250 is extended such that end effector 250E extends through side opening 270B2, end effector 250E (and end effector 250E2) remains greater than 270 degrees but less than 360 degrees relative to the arm. Rotational range of motion 1300 of axis WX (see FIG. 15B ). Similarly, when the substrate transport arm 250 is extended such that end effector 250E extends through side opening 270A2, end effector 250E (and end effector 250E2) remains greater than 270 degrees but less than 360 degrees relative to the arm. Rotational range of motion 1300 of axis WX (see FIG. 15C ). While side openings 270A2, 270B2 are illustrated in Figures 15B and 15C, it should be understood that the extension of end effectors 250E, 250E1, 250E2 into side openings 270A5, 270B5 is substantially similar.

參考圖16A-16C,當該基材運送手臂250被伸展進入與具有長度L與寬度W的長寬比為3:1的運送室210的端壁210E1,210E2相鄰的側面開口270A1,270A6,270B1,270B6的每一者時,末端作用器250E,250E1,250E2的一示範的活動性被示出。在此處,當該基材運送手臂250被伸展使得末端作用器250E伸展穿過側面開口270B1時,末端作用器250E(以及末端作用器250E2)保持大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖16B)。相類似地,當該基材運送手臂250被伸展使得末端作用器250E伸展穿過側面開口270A1時,末端作用器250E(以及末端作用器250E2)保持大於270度但小於360度之相對於該腕軸線WX的轉動運動範圍1300(參見圖16C)。雖然側面開口270A1,270B1被例示在圖16B及16C中,但應被理解的是,末端作用器250E,250E1,250E2伸展進入側面開口270A6,270B6是實質類似的。Referring to FIGS. 16A-16C , when the substrate transport arm 250 is extended into the side openings 270A1 , 270A6 adjacent to the end walls 210E1 , 210E2 of the transport chamber 210 having an aspect ratio of length L to width W of 3:1, An exemplary mobility of end effectors 250E, 250E1 , 250E2 is shown for each of 270B1 , 270B6 . Here, when the substrate transfer arm 250 is extended such that end effector 250E extends through side opening 270B1, end effector 250E (and end effector 250E2) remains greater than 270 degrees but less than 360 degrees relative to the arm. Range of rotational movement 1300 of axis WX (see FIG. 16B ). Similarly, when the substrate transport arm 250 is extended such that end effector 250E extends through side opening 270A1, end effector 250E (and end effector 250E2) remains greater than 270 degrees but less than 360 degrees relative to the arm. Rotational range of motion 1300 of axis WX (see FIG. 16C ). While side openings 270A1 , 270B1 are illustrated in FIGS. 16B and 16C , it should be understood that the extension of end effectors 250E , 250E1 , 250E2 into side openings 270A6 , 270B6 is substantially similar.

雖然圖13A-16C已參照包括一或多個末端作用器250E,250E2的基材運送手臂250被描述,但應被瞭解的是,末端作用器250E2的多個基材固持器250EH的運動範圍1300係實質類似於上文所述。亦可被瞭解的是,該被揭露的實施例的態樣提供該基材運送手臂250實質不受限制的活動性,其包括末端作用器250E,250E1,250E2的一運動範圍1300,它給予該基材運送手臂到達由實質正交的運動的軸線270A1X-270A6X,270B1X-270B6X及260AX,260BX界定之實質正交的角落的附近的能力,不論該等運動的軸線是否鄰近該基材運送室210的端壁210E1,210E2。在一態樣中,末端作用器250E,250E1,250E2的該運動範圍1300是在該肩部軸線SX相對於該基材運送室210是不動的或是被固定的、該等驅動區段300A,300B,300C,300D的驅動心軸和該肩部軸線SX及/或驅動該基材運送手臂250連桿(如,前臂250FA及末端作用器250E,250E1,250E2)的轉動的驅動帶傳動器提供帶式傳動器400(圖4)同軸的情形下被提供,其中該驅動帶傳動器提供拉緊張力於滑輪410,411的兩側,不論滑輪轉動的方向為何(如,這提高該基材運送手臂250的剛性)。在一態樣中,在轉動末端作用器250E,250E1,250E2以補償該上臂250UA及前臂250FA驅動軸(如,驅動軸280A,280AS)的轉動,用以在實施該基材運送手臂250的伸展(同時將末端作用器250E,250E1,250E2保持在一預定的方向(譬如,沿著各自的運動的軸線270A1X-270A6X,270B1X-270B6X,260AX,260BX)之後,末端作用器250E,250E1,250E2的該運動範圍1300可超過用於將末端作用器250E,250E1,250E2沿著個別的運動的軸線270A1X-270A6X,270B1X-270B6X,260AX,260BX伸展穿過開口270A1-270A6,270B1-270B6,260A,260B的運動範圍(譬如,鄰近一端壁210E1,210E2或端壁210E1,210E2之間的任何地方)。While FIGS. 13A-16C have been described with reference to a substrate transport arm 250 comprising one or more end effectors 250E, 250E2, it should be appreciated that the range of motion 1300 of the plurality of substrate holders 250EH of the end effector 250E2 The system is substantially similar to that described above. It will also be appreciated that aspects of the disclosed embodiments provide substantially unrestricted mobility of the substrate transport arm 250, including a range of motion 1300 of the end effectors 250E, 250E1, 250E2, which imparts the Ability of Substrate Transport Arms to Reach Proximity to Substantially Orthogonal Corners Defined by Substantially Orthogonal Axes of Motion 270A1X-270A6X, 270B1X-270B6X, and 260AX, 260BX, Whether or Not Adjacent to the Substrate Transport Chamber 210 The end walls 210E1, 210E2. In one aspect, the range of motion 1300 of the end effectors 250E, 250E1, 250E2 is stationary or fixed relative to the substrate transport chamber 210 at the shoulder axis SX, the drive sections 300A, Drive spindles 300B, 300C, 300D and the shoulder axis SX and/or drive belt drives that drive rotation of the substrate transport arm 250 linkage (e.g., forearm 250FA and end effectors 250E, 250E1, 250E2) provide A coaxial belt drive 400 (FIG. 4) is provided where the driven belt drive provides tension on either side of the pulleys 410, 411 regardless of the direction of pulley rotation (e.g., this improves the substrate transport Rigidity of the arm 250). In one aspect, rotation of the end effectors 250E, 250E1, 250E2 to compensate for rotation of the upper arm 250UA and forearm 250FA drive shafts (e.g., drive shafts 280A, 280AS) is used to implement extension of the substrate transfer arm 250. (Whilst keeping the end effectors 250E, 250E1, 250E2 in a predetermined direction (e.g., along respective axes of motion 270A1X-270A6X, 270B1X-270B6X, 260AX, 260BX), the end effectors 250E, 250E1, 250E2 The range of motion 1300 may exceed the axis 270A1X-270A6X, 270B1X-270B6X, 260AX, 260BX for extending the end effectors 250E, 250E1, 250E2 along the respective axes of motion through the openings 270A1-270A6, 270B1-270B6, 260A, 260B range of motion (eg, adjacent to one of the end walls 210E1, 210E2 or anywhere between the end walls 210E1, 210E2).

依據該被揭露的實施例的一或多個態樣,一種基材處理設備包含:According to one or more aspects of the disclosed embodiments, a substrate processing apparatus includes:

一直線式細長的實質六面體形狀的基材運送室,其具有該六面體的直線式細長的側面以及與該等直線式細長的側面實質地正交的該六面體的至少一端壁;該至少一端壁具有一端部基材運送開口,該等直線式細長的側面的至少一者具有一直線陣列的側面基材運送開口,該等端部及側面基材運送開口的每一者被安排來讓一基材穿過它被運送進出該基材運送室;a linearly elongated substantially hexahedron-shaped substrate transport chamber having linearly elongated sides of the hexahedron and at least one end wall of the hexahedron substantially orthogonal to the linearly elongated sides; The at least one end wall has an end substrate delivery opening, at least one of the linearly elongated sides has a linear array of side substrate delivery openings, each of the end and side substrate delivery openings is arranged to transporting a substrate therethrough into and out of the substrate transport chamber;

多個處理模組,其沿著該等直線式細長的側面的至少一者被直線地設置且透過相對應的側面基材運送開口分別和該基材運送室相連通;及a plurality of processing modules, which are arranged linearly along at least one of the linear elongated sides and respectively communicate with the substrate delivery chamber through the corresponding side substrate delivery openings; and

一基材運送手臂,其被可樞轉地安裝在該基材運送室內,使得該基材運送手臂的樞轉軸相對於該基材運送室被固定不動地安裝,該基材運送手臂具有三連桿-三關節SCARA型態,其中的一個連桿是一帶有至少一基材固持器的末端作用器,它是以關節連接的(articulate),用以將該至少一基材固持器所固持的該基材經由該等末端及側面基材運送開口運送進出該基材運送室,使得該末端作用器是該等末端及側面基材運送開口的每一者所共用的;a substrate transport arm, which is pivotally mounted in the substrate transport chamber such that the pivot axis of the substrate transport arm is fixedly mounted relative to the substrate transport chamber, the substrate transport arm has three linkages Rod - a three-joint SCARA type in which one of the links is an end-effector with at least one substrate holder articulated to hold the at least one substrate holder the substrate is transported into and out of the substrate transport chamber through the end and side substrate transport openings such that the end effector is common to each of the end and side substrate transport openings;

其中該六面體具有一側面長度對寬度的長寬比,其為一大的長寬比,且該寬度相對於該基材運送手臂的覆蓋區而言是緊湊的。Wherein the hexahedron has a side length-to-width aspect ratio that is large and the width is compact relative to the footprint of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該長寬比大於2:1,且對於該基材運送手臂的一預定的最大伸距(reach)而言,該基材運送手臂的覆蓋區是緊湊的。According to one or more aspects of the disclosed embodiments, the aspect ratio is greater than 2:1, and for a predetermined maximum reach of the substrate transport arm, the The footprint is compact.

依據該被揭露的實施例的一或多個態樣,該長寬比約3:1,且對於該基材運送手臂的一預定的最大伸距而言,該基材運送手臂的覆蓋區是緊湊的。According to one or more aspects of the disclosed embodiments, the aspect ratio is about 3:1, and for a predetermined maximum reach of the substrate transport arm, the footprint of the substrate transport arm is Compact.

依據該被揭露的實施例的一或多個態樣,該端壁被作成可接受沿著邊被放置成在一共同高度上彼此大致相鄰且共同面向該端壁的兩個並排的負載鎖定室或其它處理模組的尺寸。In accordance with one or more aspects of the disclosed embodiment, the end wall is configured to accept two side-by-side load-lock load locks positioned substantially adjacent to each other at a common height along the sides and facing the end wall together. Chamber or other process module dimensions.

依據該被揭露的實施例的一或多個態樣,該SCARA手臂具有三個自由度及不同長度的連桿,且該樞轉軸界定該SCARA手臂的肩部關節。According to one or more aspects of the disclosed embodiments, the SCARA arm has three degrees of freedom and links of different lengths, and the pivot axis defines a shoulder joint of the SCARA arm.

依據該被揭露的實施例的一或多個態樣,該處理模組直線陣列提供至少六個處理模組基材固持站,其沿著該至少一直線式細長的側面被分布在一實質相同的高度,且該等基材固持站的每一者係用該基材運送手臂的該共同的末端作用器經由相對應的側面運送開口進出。According to one or more aspects of the disclosed embodiments, the linear array of process modules provides at least six process module substrate holding stations distributed along the at least one linear elongated side in a substantially identical height, and each of the substrate holding stations is accessed through a corresponding side transport opening with the common end effector of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,其包含至少一負載鎖定室或其它處理模組,其透過該端部基材運送開口和該基材運送室相連通。According to one or more aspects of the disclosed embodiments, it includes at least one load lock or other processing module in communication with the substrate transfer chamber through the end substrate transfer opening.

依據該被揭露的實施例的一或多個態樣,和該基材運送室的該至少一直線式細長的側面相對的該等直線式細長的側面的另一者具有至少一另一側面基材運送開口,且該基材運送手臂被建構來將被該至少一基材固持器所固持的基材經由該端部基材運送開口、該側面基材運送開口、及該另一側面基材運送開口運送進出該基材運送室,使得該末端作用器是分別設置在該基材運送室的該端壁、該直線式細長的側面及該相對的直線式細長的側面上的該端部基材運送開口、該側面基材運送開口、及該另一側面基材運送開口的每一者所共用。According to one or more aspects of the disclosed embodiments, the other of the linearly elongated sides opposite the at least one linearly elongated side of the substrate transport chamber has at least one other side substrate a transport opening, and the substrate transport arm is configured to transport the substrate held by the at least one substrate holder through the end substrate transport opening, the side substrate transport opening, and the other side substrate openings are transported into and out of the substrate transport chamber such that the end effector is the end substrate respectively disposed on the end wall, the linear elongated side, and the opposing linear elongated side of the substrate transport chamber Each of the delivery opening, the side substrate delivery opening, and the other side substrate delivery opening are common.

依據該被揭露的實施例的一或多個態樣,該基材運送室的該相對的直線式細長的側面具有多於一個的該另一側面基材運送開口,其沿著該相反側面被設置成直線陣列,及其中該末端作用器是該等另一側面基材運送開口的每一者所共用。According to one or more aspects of the disclosed embodiments, the opposite linearly elongated side of the substrate transfer chamber has more than one substrate transfer opening on the other side that is positioned along the opposite side arranged in a linear array, and wherein the end effector is common to each of the other side substrate delivery openings.

依據該被揭露的實施例的一或多個態樣,其包含一驅動區段,其連接至該基材運送室且具有一驅動心軸,該驅動心軸包含同軸的驅動軸,其被可操作地耦合至該基材運送手臂並界定至少兩個自由度、實施該基材運送手臂的關節運動(articulation),且該驅動心軸被設置成使得它的轉動軸線和該樞轉軸實質重合。According to one or more aspects of the disclosed embodiments, it includes a drive section coupled to the substrate transfer chamber and having a drive spindle comprising a coaxial drive shaft that is driven by Operatively coupled to the substrate transport arm and defining at least two degrees of freedom, articulation of the substrate transport arm is effected, and the drive spindle is positioned such that its axis of rotation and the pivot axis are substantially coincident.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂具有一平衡配重件,其被設置在該基材運送手臂上,用以從該樞轉軸延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有一根據在該驅動心軸上的基材運送手臂下降力矩的平衡所界定的型態和重量。In accordance with one or more aspects of the disclosed embodiment, the substrate transport arm has a counterweight disposed on the substrate transport arm to extend from the pivot axis between one and the base. The direction of extension of the material transport arm is substantially opposite to the direction of extension, and has a shape and weight defined according to the balance of the material transport arm lowering moment on the drive spindle.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的緊湊的覆蓋區,且該平衡配重件的該型態和重量係根據可裝配在該基材運送手臂的該緊湊的覆蓋區內來進一步界定。According to one or more aspects of the disclosed embodiment, the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm, and the counterweight Form factor and weight are further defined in terms of what can fit within the compact footprint of the substrate transfer arm.

依據該被揭露的實施例的一或多個態樣,該末端作用器的該至少一基材固持器包含多於一個的基材固持器,其被設置在該末端作用器上且被安排成使得該末端作用器用一共同的末端作用器運動將該多於一個的基材固持器實質同時地伸展或收縮穿過多於一個的該等被排成直線陣列的側面基材運送開口。According to one or more aspects of the disclosed embodiments, the at least one substrate holder of the end effector comprises more than one substrate holder disposed on the end effector and arranged to The end effector is caused to extend or retract the more than one substrate holder substantially simultaneously through more than one of the side substrate transport openings arranged in a linear array with a common end effector motion.

依據該被揭露的實施例的一或多個態樣,該末端作用器是第一末端作用器,且該基材運送手臂具有第二末端作用器,它和該第一末端作用器附屬於該基材運送手臂的一共用的前臂,使得該第一和該第二末端作用器相對於該前臂繞著一共同的轉動軸線樞轉,其中該第二末端作用器是該等端部基材運送開口和側面基材運送開口的每一者所共用的。According to one or more aspects of the disclosed embodiments, the end effector is a first end effector and the substrate transfer arm has a second end effector attached to the first end effector a common forearm of the substrate transport arm such that the first and the second end effectors pivot about a common axis of rotation relative to the forearm, wherein the second end effector is the end substrate transport common to each of the opening and the side substrate delivery opening.

依據該被揭露的實施例的一或多個態樣,該第一和該第二末端作用器提供該等端部基材運送開口和側面基材運送開口的每一者所共用的一快速交換末端作用器給該基材運送手臂。According to one or more aspects of the disclosed embodiment, the first and the second end effectors provide a rapid exchange common to each of the end substrate transfer openings and side substrate transfer openings The end effector delivers an arm to the substrate.

依據該被揭露的實施例的一或多個態樣,該等該直線式細長的側面具有可選擇性地改變的長度,其中該基材運送室的側面可在不同的長度間作選擇並界定該基材運送室的一可選擇性地改變的型態。According to one or more aspects of the disclosed embodiments, the linearly elongated sides have selectively variable lengths, wherein the sides of the substrate transport chamber are selectable between different lengths and define A selectively alterable configuration of the substrate transport chamber.

依據該被揭露的實施例的一或多個態樣,該基材運送室的該可選擇性地改變的型態可在該側面長度對寬度的長寬比從大的長寬比變化至1:1的長寬比的型態之間作選擇,且其中該基材運送手臂是該基材運送室的每一種可選擇的型態所共有的。According to one or more aspects of the disclosed embodiments, the selectively alterable configuration of the substrate transport chamber can vary in the side length-to-width aspect ratio from a large aspect ratio to 1 : 1 aspect ratio for selection, and wherein the substrate transport arm is common to each selectable form of the substrate transport chamber.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的緊湊的覆蓋區,且具有一平衡配重件,其被設置在該基材運送手臂上,用以從該樞轉軸延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有一根據在該驅轉軸上的基材運送手臂下降力矩的平衡以及根據可裝配在該基材運送手臂的該緊湊的覆蓋區內所界定的型態和重量。According to one or more aspects of the disclosed embodiments, the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm and has a counterweight, which is arranged on the substrate transport arm to extend from the pivot axis in a direction substantially opposite to the direction in which the substrate transport arm extends and has a substrate transport arm descending from the drive shaft The balance of moment and shape and weight can be defined in terms of the compact footprint that can fit within the substrate transfer arm.

依據該被揭露的實施例的一或多個態樣,該配重件被固定不動地安裝至該基材運送手臂的框架的一相對於該樞轉軸固定的位置。According to one or more aspects of the disclosed embodiment, the counterweight is fixedly mounted to the frame of the substrate transfer arm at a fixed position relative to the pivot axis.

依據該被揭露的實施例的一或多個態樣,該配重件被可移動地安裝至該基材運送手臂的框架,用以被朝向及遠離該樞轉軸地設置在該框架上的不同位置。In accordance with one or more aspects of the disclosed embodiment, the counterweight is movably mounted to the frame of the substrate transport arm for different weights disposed on the frame toward and away from the pivot axis. Location.

依據該被揭露的實施例的一或多個態樣,該配重件被可移動地安裝至該基材運送手臂的框架,用以相對於該框架遠離及朝向該樞轉軸地移動,以增補該基材運送手臂的伸展及收縮。In accordance with one or more aspects of the disclosed embodiment, the counterweight is movably mounted to the frame of the substrate transport arm for movement relative to the frame away from and toward the pivot axis to augment The substrate conveys the extension and contraction of the arm.

依據該被揭露的實施例的一或多個態樣,該配重件被一驅動區段的至少一驅動軸相對於該基材運送手臂框架移動,該驅動區段被可操作地耦合至該基材運送手臂並實施該基材運送手臂的關節運動。According to one or more aspects of the disclosed embodiment, the counterweight is moved relative to the substrate transport arm frame by at least one drive shaft of a drive section operatively coupled to the The substrate transport arm performs the articulation of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該至少一驅動軸實施該配重件遠離及朝向該樞轉軸的運動及實施該基材運送手臂的伸展和收縮,使得該至少一驅動軸是用於該配重件的運動以及該基材運送手臂的伸展和收縮的一共用的驅動軸。According to one or more aspects of the disclosed embodiment, the at least one drive shaft effects movement of the counterweight away from and toward the pivot axis and extension and retraction of the substrate transport arm such that the at least one drive shaft The shaft is a common drive shaft for the movement of the counterweight and the extension and retraction of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該配重件具有一配重部分,其可選自於數個可互換的不同的配重部分且該選擇係根據該基材運送室的該長寬比。According to one or more aspects of the disclosed embodiment, the weight has a weight section that can be selected from several different weight sections that are interchangeable and the selection is based on the substrate transport chamber of the aspect ratio.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂包括一實施該基材運送手臂的關節運動的分叉帶式傳動系統。According to one or more aspects of the disclosed embodiments, the substrate transport arm includes a bifurcated belt drive system that implements articulation of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂是三個自由度的運送臂。According to one or more aspects of the disclosed embodiments, the substrate transport arm is a three degrees of freedom transport arm.

依據該被揭露的實施例的一或多個態樣,一種基材運送設備包含:According to one or more aspects of the disclosed embodiments, a substrate handling device includes:

一直線式細長的實質六面體形狀的基材運送室,其具有該六面體的直線式細長的側面以及該六面體的至少一端壁,其具有一端部基材運送開口,該六面體的直線式細長的側面的至少一者具有一直線陣列的側面基材運送開口,該等端部及側面基材運送開口的每一者被安排來讓一基材穿過它被運送進出該基材運送室;A linearly elongated substantially hexahedron-shaped substrate transport chamber having linearly elongated sides of the hexahedron and at least one end wall of the hexahedron having an end substrate transport opening, the hexahedron At least one of the linearly elongated sides of has a linear array of side substrate transport openings, each of the end portions and the side substrate transport openings being arranged to allow a substrate to be transported in and out of the substrate therethrough delivery room;

一連接至該基材運送室且具有一驅動心軸的驅動區段,其包含同軸的驅動軸,其界定繞著一共同的軸線轉動的至少兩個自由度;及a drive section coupled to the substrate transport chamber and having a drive spindle comprising coaxial drive shafts defining at least two degrees of freedom of rotation about a common axis; and

一基材運送手臂,其被可樞轉地安裝在該基材運送室內,使得該基材運送手臂的樞轉軸相對於該基材運送室被固定不動地安裝,其與該驅動心軸的該共同的軸線實質地重合,該基材運送手臂具有三連桿-三關節SCARA型態,其中的一個連桿是帶有一基材固持器的末端作用器,其被可操作地耦合至該驅動心軸,使得該基材運送手臂是以關節連接的,其具有由該等同軸的驅動軸實施的該至少兩個自由度,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室;a substrate transport arm pivotally mounted within the substrate transport chamber such that the pivot axis of the substrate transport arm is immovably mounted relative to the substrate transport chamber and is coupled to the drive spindle common axes substantially coincident, the substrate transport arm has a three-link-three-joint SCARA configuration in which one link is an end effector with a substrate holder operatively coupled to the drive hub Shaft such that the substrate transport arm is articulated with the at least two degrees of freedom implemented by the coaxial drive shafts for passing the substrate on the substrate holder through the ends and side substrate transport openings for transporting in and out of the substrate transport chamber;

其中該基材運送手臂具有一平衡配重件,其被設置在該基材運送手臂上,用以從該驅動心軸的共同軸線延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有一根據在該驅動心軸上的基材運送手臂下降力矩的平衡所界定的型態和重量。wherein the substrate transport arm has a counterweight disposed on the substrate transport arm to extend from the common axis of the drive spindles in a direction substantially opposite to the direction in which the substrate transport arm extends and have a shape and weight defined by the balance of substrate transport arm lowering moments on the drive spindle.

依據該被揭露的實施例的一或多個態樣,該直線陣列的側面基材運送開口中的一被設置在與該六面體形狀的基材運送室的該至少一端壁相對的另一端相鄰的側面基材運送開口被定向為使得一相應於穿過鄰近該相對端的該側面基材運送開口的基材固持器運動的軸線與穿過該至少一端壁的該端部基材運送開口的基材固持器運動的另一軸線係實質地正交。According to one or more aspects of the disclosed embodiment, one of the linear array of side substrate transport openings is disposed at the other end opposite the at least one end wall of the hexahedron-shaped substrate transport chamber. Adjacent side substrate delivery openings are oriented such that an axis corresponding to movement of the substrate holder through the side substrate delivery opening adjacent the opposite end and the end substrate delivery opening through the at least one end wall The other axis of motion of the substrate holder is substantially orthogonal.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂是以關節連接的,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室,使得該末端作用器是該等端部基材運送開口和側面基材運送開口的每一者所共用的。According to one or more aspects of the disclosed embodiments, the substrate transport arm is articulated for the substrate on the substrate holder through the end and side substrate transport openings Transporting into and out of the substrate transport chamber such that the end effector is common to each of the end and side substrate transport openings.

依據該被揭露的實施例的一或多個態樣,該等側面基材運送開口的每一者具有穿過每一側面基材運送開口之基材固持器運動的相應的軸線,該直線陣列的側面基材運送開口的每一該基材運動的軸線係彼此實質平行地分別延伸穿過每一基材運送開口。According to one or more aspects of the disclosed embodiments, each of the side substrate transport openings has a respective axis of substrate holder movement through each side substrate transport opening, the linear array Each of the substrate movement axes of the side substrate delivery openings extends substantially parallel to each other through each substrate delivery opening, respectively.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的緊湊的覆蓋區,且該六面體具有一側面長度對寬度的長寬比,其為一大的長寬比,且該寬度相對於該基材運送手臂的覆蓋區而言是緊湊的。According to one or more aspects of the disclosed embodiments, the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm, and the hexahedron has a side The aspect ratio of length to width, which is a large aspect ratio, and the width is compact relative to the footprint of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該六面體的該至少一端壁與該六面體的該等直線式細長的側面係實質地正交。According to one or more aspects of the disclosed embodiment, the at least one end wall of the hexahedron is substantially orthogonal to the rectilinear elongated sides of the hexahedron.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂包括一實施該基材運送手臂的關節運動的分叉帶式傳動系統。According to one or more aspects of the disclosed embodiments, the substrate transport arm includes a bifurcated belt drive system that implements articulation of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該等同軸的驅動軸提供該基材運送手臂三個自由度。According to one or more aspects of the disclosed embodiments, the coaxial drive shafts provide the substrate transport arm with three degrees of freedom.

依據該被揭露的實施例的一或多個態樣,一種方法包含:According to one or more aspects of the disclosed embodiments, a method includes:

提供一直線式細長的實質六面體形狀的基材運送室,其具有該六面體的直線式細長的側面以及與該等直線式細長的側面實質地正交的該六面體的至少一端壁;該至少一端壁具有一端部基材運送開口,該等直線式細長的側面的至少一者具有一直線陣列的側面基材運送開口,該等端部及側面基材運送開口的每一者被安排來讓一基材穿過它被運送進出該基材運送室;providing a linearly elongated substantially hexahedron-shaped substrate transport chamber having linearly elongated sides of the hexahedron and at least one end wall of the hexahedron substantially orthogonal to the linearly elongated sides the at least one end wall has an end substrate delivery opening, at least one of the linear elongated sides has a linear array of side substrate delivery openings, each of the end and side substrate delivery openings is arranged to allow a substrate to be transported into and out of the substrate transport chamber through it;

提供多個處理模組,其沿著該等直線式細長的側面的至少一者被直線地設置且透過相對應的側面基材運送開口分別和該基材運送室相連通;providing a plurality of processing modules, which are arranged linearly along at least one of the linear elongated sides and respectively communicate with the substrate transport chamber through the corresponding side substrate transport openings;

提供一基材運送手臂,其被可樞轉地安裝在該基材運送室內,使得該基材運送手臂的樞轉軸相對於該基材運送室被固定不動地安裝,該基材運送手臂具有三連桿-三關節SCARA型態,其中的一個連桿是一帶有至少一基材固持器的末端作用器;及A substrate transport arm is provided pivotally mounted within the substrate transport chamber such that the pivot axis of the substrate transport arm is fixedly mounted relative to the substrate transport chamber, the substrate transport arm having three Links - a three-joint SCARA type in which one link is an end effector with at least one substrate holder; and

關節連接該基材運送手臂,用以將該至少一基材固持器所固持的該基材經由該等端部及側面基材運送開口運送進出該基材運送室,使得該末端作用器是該等端部及側面基材運送開口的每一者所共用的;articulating the substrate transport arm for transporting the substrate held by the at least one substrate holder into and out of the substrate transport chamber through the end and side substrate transport openings such that the end effector is the common to each of the end and side substrate delivery openings;

其中該六面體具有一側面長度對寬度的長寬比,其為一大的長寬比,且該寬度相對於該基材運送手臂的覆蓋區而言是緊湊的。Wherein the hexahedron has a side length-to-width aspect ratio that is large and the width is compact relative to the footprint of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該長寬比大於2:1,且對於該基材運送手臂的一預定的最大伸距(reach)而言,該基材運送手臂的覆蓋區是緊湊的。According to one or more aspects of the disclosed embodiments, the aspect ratio is greater than 2:1, and for a predetermined maximum reach of the substrate transport arm, the The footprint is compact.

依據該被揭露的實施例的一或多個態樣,該長寬比約3:1,且對於該基材運送手臂的一預定的最大伸距而言,該基材運送手臂的覆蓋區是緊湊的。According to one or more aspects of the disclosed embodiments, the aspect ratio is about 3:1, and for a predetermined maximum reach of the substrate transport arm, the footprint of the substrate transport arm is Compact.

依據該被揭露的實施例的一或多個態樣,該端壁被作成可接受沿著邊被放置成在一共同高度上彼此大致相鄰且共同面向該端壁的兩個並排的負載鎖定室或其它處理模組的尺寸。In accordance with one or more aspects of the disclosed embodiment, the end wall is configured to accept two side-by-side load locks positioned substantially adjacent to each other at a common height along the sides and facing the end wall together. Chamber or other process module dimensions.

依據該被揭露的實施例的一或多個態樣,更包含提供該SCARA手臂三個自由度及不同長度的連桿,且該樞轉軸界定該SCARA手臂的肩部關節。According to one or more aspects of the disclosed embodiment, further comprising providing the SCARA arm with three degrees of freedom and links of different lengths, and the pivot axis defining the shoulder joint of the SCARA arm.

依據該被揭露的實施例的一或多個態樣,該處理模組直線陣列提供至少六個處理模組基材固持站,其沿著該至少一直線式細長的側面被分布在一實質相同的高度,該方法進一步包含用該基材運送手臂的該共同的末端作用器經由相對應的側面運送開口來進出該等基材固持站的每一者。According to one or more aspects of the disclosed embodiments, the linear array of process modules provides at least six process module substrate holding stations distributed along the at least one linear elongated side in a substantially identical Highly, the method further includes entering and exiting each of the substrate holding stations with the common end effector of the substrate transport arm through corresponding side transport openings.

依據該被揭露的實施例的一或多個態樣,至少一負載鎖定室或其它處理模組係透過該端部基材運送開口和該基材運送室相連通。According to one or more aspects of the disclosed embodiments, at least one load lock or other processing module communicates with the substrate transfer chamber through the end substrate transfer opening.

依據該被揭露的實施例的一或多個態樣,和該基材運送室的該至少一直線式細長的側面相對的該等直線式細長的側面的另一者具有至少一另一側面基材運送開口,且該方法進一步包含將被該至少一基材固持器所固持的基材用該基材運送手臂經由該端部基材運送開口、該側面基材運送開口、及該另一側面基材運送開口運送進出該基材運送室,使得該末端作用器是分別設置在該基材運送室的該端壁、該直線式細長的側面及該相對的直線式細長的側面上的該端部基材運送開口、該側面基材運送開口、及該另一側面基材運送開口的每一者所共用。According to one or more aspects of the disclosed embodiments, the other of the linearly elongated sides opposite the at least one linearly elongated side of the substrate transport chamber has at least one other side substrate the transfer opening, and the method further comprises passing the substrate held by the at least one substrate holder through the end substrate transfer opening, the side substrate transfer opening, and the other side substrate with the substrate transfer arm The substrate transport opening is transported into and out of the substrate transport chamber, so that the end effector is respectively disposed on the end wall, the linear elongated side and the opposite linear elongated side of the substrate transport chamber. Each of the substrate delivery opening, the side substrate delivery opening, and the other side substrate delivery opening are common.

依據該被揭露的實施例的一或多個態樣,該基材運送室的該相對的直線式細長的側面具有多於一個的該另一側面基材運送開口,其沿著該相反側面被設置成直線陣列,及其中該末端作用器是該等另一側面基材運送開口的每一者所共用。According to one or more aspects of the disclosed embodiments, the opposite linearly elongated side of the substrate transfer chamber has more than one substrate transfer opening on the other side that is positioned along the opposite side arranged in a linear array, and wherein the end effector is common to each of the other side substrate delivery openings.

依據該被揭露的實施例的一或多個態樣,一驅動區段,其連接至該基材運送室且具有一驅動心軸,該驅動心軸包含同軸的驅動軸,其被可操作地耦合至該基材運送手臂並界定至少兩個自由度,該方法進一步包含用該驅動區段來實施該基材運送手臂的關節運動,其中該驅動心軸被設置成使得它的轉動軸線和該樞轉軸實質重合。According to one or more aspects of the disclosed embodiments, a drive section is coupled to the substrate transport chamber and has a drive spindle comprising coaxial drive shafts operatively coupled to the substrate transport arm and defining at least two degrees of freedom, the method further comprising articulating the substrate transport arm with the drive section, wherein the drive spindle is configured such that its axis of rotation and the The pivot axes are substantially coincident.

依據該被揭露的實施例的一或多個態樣,其進一步包含提供該基材運送手臂一平衡配重件,其被設置在該基材運送手臂上,用以從該樞轉軸延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有一根據在該驅動心軸上的基材運送手臂下降力矩的平衡所界定的型態和重量。According to one or more aspects of the disclosed embodiments, further comprising providing the substrate transport arm with a counterweight disposed on the substrate transport arm to extend from the pivot axis in a In a direction substantially opposite to the direction of extension of the substrate transport arm and having a shape and weight defined by a balance of substrate transport arm lowering moments on the drive spindle.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的緊湊的覆蓋區,且該平衡配重件的該型態和重量係根據可裝配在該基材運送手臂的該緊湊的覆蓋區內來進一步界定。According to one or more aspects of the disclosed embodiment, the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm, and the counterweight Form factor and weight are further defined in terms of what can fit within the compact footprint of the substrate transfer arm.

依據該被揭露的實施例的一或多個態樣,該末端作用器的該至少一基材固持器包含多於一個的基材固持器,其被設置在該末端作用器上,該方法進一步包含伸展或收縮該末端作用器,使得該多於一個的基材固持器在一共同的末端作用器運動中實質同時地伸展或收縮穿過多於一個的該等被排成直線陣列的側面基材運送開口。According to one or more aspects of the disclosed embodiments, the at least one substrate holder of the end effector comprises more than one substrate holder disposed on the end effector, the method further comprising extending or contracting the end effector such that the more than one substrate holders extend or contract substantially simultaneously in a common end effector motion across more than one of the side substrates arranged in a linear array Shipping opening.

依據該被揭露的實施例的一或多個態樣,該末端作用器是第一末端作用器,且該基材運送手臂具有第二末端作用器,它和該第一末端作用器附屬於該基材運送手臂的一共用的前臂,該方法進一步包含將該第一和該第二末端作用器相對於該前臂繞著一共同的轉動軸線樞轉,其中該第二末端作用器是該等端部基材運送開口和側面基材運送開口的每一者所共用的。According to one or more aspects of the disclosed embodiments, the end effector is a first end effector and the substrate transfer arm has a second end effector attached to the first end effector a common forearm of the substrate transport arm, the method further comprising pivoting the first and the second end effectors relative to the forearm about a common axis of rotation, wherein the second end effector is the end effector common to each of the top substrate delivery opening and the side substrate delivery openings.

依據該被揭露的實施例的一或多個態樣,該第一和該第二末端作用器提供該等端部基材運送開口和側面基材運送開口的每一者所共用的一快速交換末端作用器給該基材運送手臂。According to one or more aspects of the disclosed embodiment, the first and the second end effectors provide a rapid exchange common to each of the end substrate transfer openings and side substrate transfer openings The end effector delivers an arm to the substrate.

依據該被揭露的實施例的一或多個態樣,該等該直線式細長的側面具有可選擇性地改變的長度,其中該方法進一步包含從具有不同長度的側面中選擇該基材運送室的側面,用以界定該基材運送室的一可選擇性地改變的型態。According to one or more aspects of the disclosed embodiments, the linearly elongated sides have selectively variable lengths, wherein the method further comprises selecting the substrate transport chamber from sides having different lengths Sides defining a selectively alterable configuration of the substrate transport chamber.

依據該被揭露的實施例的一或多個態樣,該基材運送室的該可選擇性地改變的型態可在該側面長度對寬度的長寬比從大的長寬比變化至1:1的長寬比的型態之間作選擇,且其中該基材運送手臂是該基材運送室的每一種可選擇的型態所共有的。According to one or more aspects of the disclosed embodiments, the selectively alterable configuration of the substrate transport chamber can vary in the side length-to-width aspect ratio from a large aspect ratio to 1 : 1 aspect ratio for selection, and wherein the substrate transport arm is common to each selectable form of the substrate transport chamber.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的緊湊的覆蓋區,該方法進一步包含提供該基材運送手臂一平衡配重件,其被設置在該基材運送手臂上,用以從該樞轉軸的共同軸線延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有一根據在該樞轉軸上的基材運送手臂下降力矩的平衡以及根據可裝配在該基材運送手臂的該緊湊的覆蓋區內所界定的型態和重量。According to one or more aspects of the disclosed embodiments, the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm, the method further comprising providing the substrate transfer arm a counterweight disposed on the substrate transfer arm to extend from the common axis of the pivot shafts in a direction substantially opposite to the direction in which the substrate transfer arm extends and having a The balance of the substrate transport arm lowering moment on the pivot axis and the defined form and weight according to the compact footprint that can fit within the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該配重件被固定不動地安裝至該基材運送手臂的框架的一相對於該樞轉軸固定的位置。According to one or more aspects of the disclosed embodiment, the counterweight is fixedly mounted to the frame of the substrate transfer arm at a fixed position relative to the pivot axis.

依據該被揭露的實施例的一或多個態樣,其進一步包含將該配重件相對於該基材運送手臂的框架移動,使得該配重件被設置在該框架上的朝向及遠離該樞轉軸的不同位置。According to one or more aspects of the disclosed embodiment, further comprising moving the weight relative to the frame of the substrate transport arm such that the weight is disposed on the frame toward and away from the Different positions of the pivot axis.

依據該被揭露的實施例的一或多個態樣,其進一步包含將該配重件相對於該基材運送手臂的框架移動,使得該配重件相對於該框架遠離及朝向該樞轉軸移動,以增補該基材運送手臂的伸展及收縮。According to one or more aspects of the disclosed embodiment, further comprising moving the weight relative to the frame of the substrate transport arm such that the weight moves away from and toward the pivot axis relative to the frame , to augment the extension and contraction of the substrate delivery arm.

依據該被揭露的實施例的一或多個態樣,該配重件被一驅動區段的至少一驅動軸相對於該基材運送手臂框架移動,該驅動區段被可操作地耦合至該基材運送手臂並實施該基材運送手臂的關節運動。According to one or more aspects of the disclosed embodiment, the counterweight is moved relative to the substrate transport arm frame by at least one drive shaft of a drive section operatively coupled to the The substrate transport arm performs the articulation of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該至少一驅動軸實施該配重件遠離及朝向該樞轉軸的運動及實施該基材運送手臂的伸展和收縮,使得該至少一驅動軸是用於該配重件的運動以及該基材運送手臂的伸展和收縮的一共用的驅動軸。According to one or more aspects of the disclosed embodiment, the at least one drive shaft effects movement of the counterweight away from and toward the pivot axis and extension and retraction of the substrate transport arm such that the at least one drive shaft The shaft is a common drive shaft for the movement of the counterweight and the extension and retraction of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該方法進一步包含從數個可互換的不同的配重部分中選取該配重件的一配重部分且該選擇係根據該基材運送室的該長寬比。According to one or more aspects of the disclosed embodiment, the method further includes selecting a weight portion of the weight member from a plurality of interchangeable different weight portions and the selection is delivered according to the substrate The aspect ratio of the chamber.

依據該被揭露的實施例的一或多個態樣,其進一步包含用該基材運送手臂的一分叉帶式傳動系統來實施該基材運送手臂的關節運動。According to one or more aspects of the disclosed embodiments, further comprising implementing articulation of the substrate transport arm with a bifurcated belt drive system of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂是三個自由度的運送臂。According to one or more aspects of the disclosed embodiments, the substrate transport arm is a three degrees of freedom transport arm.

依據該被揭露的實施例的一或多個態樣,一種方法包含:According to one or more aspects of the disclosed embodiments, a method includes:

提供一直線式細長的實質六面體形狀的基材運送室,其具有該六面體的直線式細長的側面以及該六面體的至少一端壁,其具有一端部基材運送開口,該六面體的直線式細長的側面的至少一者具有一直線陣列的側面基材運送開口,該等端部及側面基材運送開口的每一者被安排來讓一基材穿過它被運送進出該基材運送室;There is provided a linearly elongated substantially hexahedron-shaped substrate transfer chamber having rectilinearly elongated sides of the hexahedron and at least one end wall of the hexahedron having an end substrate transfer opening, the hexahedral At least one of the linearly elongated sides of the body has a linear array of side substrate transport openings, each of the end portions and the side substrate transport openings being arranged to allow a substrate to be transported in and out of the substrate therethrough material delivery room;

提供一連接至該基材運送室且具有一驅動心軸的驅動區段,其包含同軸的驅動軸,其界定繞著一共同的軸線轉動的至少兩個自由度;providing a drive section coupled to the substrate transport chamber and having a drive spindle comprising coaxial drive shafts defining at least two degrees of freedom of rotation about a common axis;

提供一基材運送手臂,其被可樞轉地安裝在該基材運送室內,使得該基材運送手臂的樞轉軸相對於該基材運送室被固定不動地安裝,其與該驅動心軸的該共同的軸線實質地重合,該基材運送手臂具有三連桿-三關節SCARA型態,其中的一個連桿是帶有一基材固持器的末端作用器;及A substrate transport arm is provided pivotally mounted within the substrate transport chamber such that the pivot axis of the substrate transport arm is immovably mounted relative to the substrate transport chamber in relation to the drive spindle the common axes are substantially coincident, the substrate delivery arm has a three-link-three-joint SCARA configuration, one of the links being an end effector with a substrate holder; and

以關節連接該基材運送手臂,其具有由該驅動心軸的該等同軸的驅動軸實施的該至少兩個自由度,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室;articulating the substrate transport arm with the at least two degrees of freedom implemented by the coaxial drive shafts of the drive spindle for passing the substrate on the substrate holder through the ends and side substrate transport openings for transporting in and out of the substrate transport chamber;

其中該基材運送手臂具有一平衡配重件,其被設置在該基材運送手臂上,用以從該驅動心軸的共同軸線延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有根據在該驅動心軸上的基材運送手臂下降力矩的平衡所界定的型態和重量。wherein the substrate transport arm has a counterweight disposed on the substrate transport arm to extend from the common axis of the drive spindles in a direction substantially opposite to the direction in which the substrate transport arm extends on, and have a shape and weight defined by the balance of substrate transport arm lowering moments on the drive spindle.

依據該被揭露的實施例的一或多個態樣,該直線陣列的側面基材運送開口中的一被設置在與該六面體形狀的基材運送室的該至少一端壁相對的另一端相鄰的側面基材運送開口被定向為使得一相應於穿過鄰近該相對端的該側面基材運送開口的基材固持器運動的軸線與穿過該至少一端壁的該端部基材運送開口的基材固持器運動的另一軸線係實質地正交。According to one or more aspects of the disclosed embodiment, one of the linear array of side substrate transport openings is disposed at the other end opposite the at least one end wall of the hexahedron-shaped substrate transport chamber. Adjacent side substrate delivery openings are oriented such that an axis corresponding to movement of the substrate holder through the side substrate delivery opening adjacent the opposite end and the end substrate delivery opening through the at least one end wall The other axis of motion of the substrate holder is substantially orthogonal.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂是以關節連接的,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室,使得該末端作用器是該等端部基材運送開口和側面基材運送開口的每一者所共用的。According to one or more aspects of the disclosed embodiments, the substrate transport arm is articulated for the substrate on the substrate holder through the end and side substrate transport openings Transporting into and out of the substrate transport chamber such that the end effector is common to each of the end and side substrate transport openings.

依據該被揭露的實施例的一或多個態樣,該等側面基材運送開口的每一者具有穿過每一側面基材運送開口之基材固持器運動的相應的軸線,該直線陣列的側面基材運送開口的每一該基材運動的軸線係彼此實質平行地分別延伸穿過每一基材運送開口。According to one or more aspects of the disclosed embodiments, each of the side substrate transport openings has a respective axis of substrate holder movement through each side substrate transport opening, the linear array Each of the substrate movement axes of the side substrate delivery openings extends substantially parallel to each other through each substrate delivery opening, respectively.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的緊湊的覆蓋區,且該六面體具有一側面長度對寬度的長寬比,其為一大的長寬比,且該寬度相對於該基材運送手臂的覆蓋區而言是緊湊的。According to one or more aspects of the disclosed embodiments, the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm, and the hexahedron has a side The aspect ratio of length to width, which is a large aspect ratio, and the width is compact relative to the footprint of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該六面體的該至少一端壁與該六面體的該等直線式細長的側面係實質地正交。According to one or more aspects of the disclosed embodiment, the at least one end wall of the hexahedron is substantially orthogonal to the rectilinear elongated sides of the hexahedron.

依據該被揭露的實施例的一或多個態樣進一步包含用該基材運送手臂的一分叉帶式傳動系統來實施該基材運送手臂的關節運動。One or more aspects according to the disclosed embodiments further include implementing articulation of the substrate transport arm with a bifurcated belt drive system of the substrate transport arm.

依據該被揭露的實施例的一或多個態樣,該基材運送手臂是三個自由度的運送手臂。According to one or more aspects of the disclosed embodiments, the substrate transport arm is a three degrees of freedom transport arm.

應被理解的是,上面的描述只是該被揭露的實施例的態樣的示例。各式替代物及修改可在不偏離該被揭露的實施例的態樣下被熟習此技藝者完成。因此,該被揭露的實施例的該等態樣是要涵蓋落入到下面的申請專利範圍請求項的範圍內的所有這些替代物、修改及變化。此外,事實上,記載在彼此不同的附屬請求項或獨立請求項中的不同特徵並不表示這些特徵的組合不能被有利地使用,此種組合仍保留在本發明的諸態樣的範圍內。It should be understood that the above description is only an example of aspects of the disclosed embodiment. Various substitutions and modifications can be made by those skilled in the art without departing from the disclosed embodiments. Accordingly, such aspects of the disclosed embodiments are intended to embrace all such alternatives, modifications and variations that fall within the scope of the following claims of claim. In addition, the fact that different features are recited in mutually different dependent claims or independent claims does not mean that the combination of these features cannot be advantageously used, and such combinations still remain within the scope of the various aspects of the present invention.

100:傳統處理工具 110:負載鎖定室 112:負載鎖定室 114:運送室 120:處理模組 122:處理模組 124:處理模組 126:處理模組 128:處理模組 130:處理模組 150:基材運送手臂 152:上臂連桿 154:前臂連桿 156:末端作用器 158:末端作用器 100’:傳統處理工具 200:基材處理工具 210:運送室 L:長度 W:寬度 201:前端 202:後端 299:控制器 290:設備前端模組 292A:裝載埠 292B:裝載埠 292C:裝載埠 LL1:負載鎖定室 LL2:負載鎖定室 291:運送室 C:基材匣盒/載具 S:基材 210S1:直線式細長的側面 210S2:直線式細長的側面 210E1:端壁 210E2:端壁 250:基材運送手臂 FP:覆蓋區 270A1:側面基材運送開口 270A2:側面基材運送開口 270A3:側面基材運送開口 270A4:側面基材運送開口 270A5:側面基材運送開口 270A6:側面基材運送開口 270B1:側面基材運送開口 270B2:側面基材運送開口 270B3:側面基材運送開口 270B4:側面基材運送開口 270B5:側面基材運送開口 270B6:側面基材運送開口 260A’:開口 260B’:開口 270A1X:軸線 270A2X:軸線 270A3X:軸線 270A4X:軸線 270A5X:軸線 270A6X:軸線 270B1X:軸線 270B2X:軸線 270B3X:軸線 270B4X:軸線 270B5X:軸線 270B6X:軸線 260AX:軸線 260BX:軸線 PM:處理模組 TP1:基材運送平面 TP2:基材運送平面 270A:開口 270B:開口 TPM:串接的處理模組 PMH1:基材固持站 PMH2:基材固持站 SPM:單一處理模組 250A:基材運送手臂 250B:基材運送手臂 PMH:基材固持站 245:運送設備 200M1:前端模組 292A:負載鎖定埠 292B:負載鎖定埠 292C:負載鎖定埠 200M2:核心模組 200M3:插入模組 200M4:插入模組 200M5:插入模組 200M6:插入模組 200M7:插入模組 200M8:插入模組 200F2:框架 200F3:框架 200F4:框架 200F5:框架 200F6:框架 200F7:框架 200F8:框架 200F:框架 210M3S1:側面 210M3S2:側面 210M3E1:端壁 210M5E:端壁 210M6S1:側面 210MS2:側面 L1:長度 L2:長度 210M6E1:端壁 210M4E:端壁 210M8S1:側面 210M82:側面 210M7E:端壁 L3:長度 210M8E1:端壁 200M2E1:第一端 200M2E2:第二端 SX:樞轉軸 250EH:基材固持器 PMH1:基材固持站 PMH2:基材固持站 WX:腕部軸線 300A:驅動區段 300B:驅動區段 300C:驅動區段 300D:驅動區段 400:驅動傳動器 410:肩部滑輪 411:手肘滑輪 EX:手肘軸線 400A:驅動帶 400B:驅動帶 AL1:第一長度 AL2:第二長度 AL3:第三長度 250UAE1:第一端 250UAE1:第二端 380S:驅動軸 380AS:驅動軸 380BS:驅動軸 388:驅動軸 CNX:連接觸 300:框架 200F:框架 370:Z軸驅動器 382:轉動驅動區段 300FI:內部 380:諧合驅動馬達 380A:諧合驅動馬達 380B:諧合驅動馬達 376:鐵流體密封件 377:鐵流體密封件 T:轉動運動 R:伸展運動 381:殼體 380’:第一驅動馬達 380A’:第二驅動馬達 380S’:定子 380R’:轉子 380AS’:定子 380AR’:轉子 380ACS:容器密封件 380CS:容器密封件 368A:編碼器/感測器 368B:編碼器/感測器 380B’:馬達 380BS’:定子 380BR’:轉子 380BCS:容器密封件 388CS:容器密封件 388R:轉子 296:方向 LAX:縱長軸線 247PA:樞軸 246:平衡配重件 400A’:皮帶 400B’:皮帶 412:滑輪 247:平衡配重件 247A:平衡配重件 247B:平衡配重件 247C:平衡配重件 100: Traditional processing tools 110: Load lock chamber 112: Load lock chamber 114: Delivery room 120: Processing module 122: Processing module 124: Processing module 126: Processing module 128: Processing module 130: Processing module 150: Substrate delivery arm 152: Upper arm link 154: Forearm link 156: End effector 158: End effector 100': Traditional Processing Tools 200: Substrate handling tools 210: Delivery room L: Length W: width 201: front end 202: Backend 299:Controller 290:Equipment front-end module 292A: Loading port 292B: Load port 292C: Load port LL1: Load lock chamber LL2: Load lock chamber 291: Delivery room C: Substrate cassette/carrier S: Substrate 210S1: straight slender sides 210S2: straight slender sides 210E1: end wall 210E2: end wall 250: Substrate delivery arm FP: Footprint 270A1: Side substrate delivery opening 270A2: Side substrate delivery opening 270A3: Side substrate delivery opening 270A4: Side substrate delivery opening 270A5: Side substrate delivery opening 270A6: Side substrate delivery opening 270B1: Side substrate delivery opening 270B2: Side substrate delivery opening 270B3: Side substrate delivery opening 270B4: Side substrate delivery opening 270B5: Side substrate delivery opening 270B6: Side substrate delivery opening 260A': opening 260B': opening 270A1X: axis 270A2X: axis 270A3X: axis 270A4X: axis 270A5X: axis 270A6X: axis 270B1X: axis 270B2X: axis 270B3X: axis 270B4X: axis 270B5X: axis 270B6X: axis 260AX: axis 260BX: axis PM: processing module TP1: substrate transport plane TP2: substrate transport plane 270A: opening 270B: opening TPM: Tandem Processing Module PMH1: Substrate holding station PMH2: Substrate holding station SPM: Single Processing Module 250A: Substrate transfer arm 250B: Substrate delivery arm PMH: Substrate Holding Station 245: Transport equipment 200M1: Front-end module 292A: Load lock port 292B: Load lock port 292C: Load lock port 200M2: core module 200M3: insert module 200M4: insert module 200M5: insert module 200M6: insert module 200M7: insert module 200M8: insert module 200F2: frame 200F3: frame 200F4: frame 200F5: frame 200F6: frame 200F7: frame 200F8: frame 200F: frame 210M3S1: side 210M3S2: side 210M3E1: end wall 210M5E: end wall 210M6S1: side 210MS2: side L1: Length L2: Length 210M6E1: end wall 210M4E: end wall 210M8S1: side 210M82: side 210M7E: end wall L3: Length 210M8E1: end wall 200M2E1: first end 200M2E2: second end SX: Pivot axis 250EH: Substrate Holder PMH1: Substrate holding station PMH2: Substrate holding station WX: wrist axis 300A: drive section 300B: drive section 300C: drive section 300D: drive section 400: drive transmission 410: Shoulder Pulley 411: Elbow Pulley EX: Elbow axis 400A: drive belt 400B: drive belt AL1: first length AL2: second length AL3: third length 250UAE1: first end 250UAE1: second end 380S: drive shaft 380AS: drive shaft 380BS: drive shaft 388: drive shaft CNX: Connect Contact 300: frame 200F: frame 370: Z-axis driver 382: Rotate drive section 300FI: internal 380: Harmony drive motor 380A: Harmony drive motor 380B: Harmony drive motor 376: ferrofluid seals 377: ferrofluid seals T: turning motion R: Stretching 381: shell 380': First drive motor 380A’: Second drive motor 380S': Stator 380R': rotor 380AS': Stator 380AR': rotor 380ACS: Container Closures 380CS: Container Closures 368A: Encoder/Sensor 368B: Encoder/Sensor 380B': motor 380BS': Stator 380BR': rotor 380BCS: Container Closures 388CS: Container Closures 388R: rotor 296: direction LAX: Longitudinal axis 247PA: Pivot 246: Balance counterweight 400A': belt 400B': belt 412: Pulley 247: Balance counterweight 247A: Balance counterweight 247B: Balance counterweight 247C: Balance counterweight

被揭露的實施例的前述態樣及其它特徵在下文中參考附圖被說明,其中:The foregoing aspects and other features of the disclosed embodiments are described hereinafter with reference to the accompanying drawings, in which:

[圖1及1A]是具有不同型態的先前技術的基材處理工具的示意圖;[FIGS. 1 and 1A] are schematic diagrams of prior art substrate processing tools with different types;

[圖2A]是依據被揭露的實施例的態樣的基材處理工具的示意圖;[ FIG. 2A ] is a schematic diagram of a substrate processing tool according to aspects of the disclosed embodiments;

[圖2B、2C、2D、2E、2F、2G、2H及2I]是依據被揭露的實施例的態樣的圖2A中所示的基材處理工具的一些部分的示意圖;[FIGS. 2B, 2C, 2D, 2E, 2F, 2G, 2H, and 2I] are schematic illustrations of portions of the substrate processing tool shown in FIG. 2A in accordance with aspects of the disclosed embodiments;

[圖3A-3D]是圖2A-2E中的基材處理工具的運送設備的驅動區段的示意圖;[FIGS. 3A-3D] are schematic diagrams of the driving section of the transport device of the substrate processing tool in FIGS. 2A-2E;

[圖4]是依據被揭露的實施例的態樣的圖2A-2E中所示的基材處理工具的基材運送設備的一部分的示意圖;[ FIG. 4 ] is a schematic diagram of a portion of the substrate handling apparatus of the substrate processing tool shown in FIGS. 2A-2E in accordance with aspects of the disclosed embodiments;

[圖5]是依據被揭露的實施例的態樣的圖2A-2E中所示的基材處理工具的示意圖;[ FIG. 5 ] is a schematic diagram of the substrate processing tool shown in FIGS. 2A-2E according to aspects of the disclosed embodiments;

[圖6]是依據被揭露的實施例的態樣的圖2A-2E中所示的基材處理工具的示意圖;[ FIG. 6 ] is a schematic diagram of the substrate processing tool shown in FIGS. 2A-2E according to aspects of the disclosed embodiments;

[圖7、8、9A、9B、10、11、12及12A]是被配置在依據被揭露的實施例的態樣的不同的基材處理工具型態內之圖2A-2E中所示的基材處理工具的示意圖;[Figures 7, 8, 9A, 9B, 10, 11, 12, and 12A] are shown in Figures 2A-2E configured within different substrate processing tool types according to aspects of the disclosed embodiments Schematic diagram of the substrate handling tool;

[圖13A、13B、13C及13D]是依據被揭露的實施例的態樣的基材處理工具的操作的示意圖;[FIGS. 13A, 13B, 13C, and 13D] are schematic diagrams illustrating the operation of a substrate processing tool according to aspects of the disclosed embodiments;

[圖14A、14B、14C]是依據被揭露的實施例的態樣的基材處理工具的操作的示意圖;[FIGS. 14A, 14B, 14C] are schematic diagrams illustrating the operation of a substrate processing tool according to aspects of the disclosed embodiments;

[圖15A、15B、15C]是依據被揭露的實施例的態樣的基材處理工具的操作的示意圖;[FIGS. 15A, 15B, 15C] are schematic diagrams illustrating the operation of a substrate processing tool according to aspects of the disclosed embodiments;

[圖16A、16B、16C]是依據被揭露的實施例的態樣的基材處理工具的操作的示意圖;及[FIGS. 16A, 16B, 16C] are schematic diagrams illustrating the operation of a substrate processing tool according to aspects of the disclosed embodiments; and

[圖17]是依據被揭露的實施例的態樣的示範性流程圖。[ FIG. 17 ] is an exemplary flow chart according to aspects of the disclosed embodiments.

200:基材處理工具 200: Substrate handling tools

200F:框架 200F: frame

200SL:密封件 200SL: Seals

201:前端 201: front end

202:後端 202: Backend

210:運送室 210: Delivery room

210E1,210E2:端壁 210E1, 210E2: end wall

210S1,210S2:側面 210S1, 210S2: side

245:運送設備 245: Transport equipment

250:基材運送手臂 250: Substrate delivery arm

250E:末端作用器 250E: End effector

250EH:基材固持器 250EH: Substrate Holder

250FA:前臂 250FA: Forearm

250UA:上臂 250UA: upper arm

260A,260B:端部基材運送開口 260A, 260B: End substrate delivery openings

270A1~270A6,270B1~270B6:側面基材運送開口 270A1~270A6, 270B1~270B6: side substrate delivery opening

290:設備前端模組 290:Equipment front-end module

291:運送室 291: Delivery room

292A~292C:裝載埠 292A~292C: loading port

299:控制器 299:Controller

300A:驅動區段 300A: drive section

AL1:第一長度 AL1: first length

AL2:第二長度 AL2: second length

AL3:第三長度 AL3: third length

BS:緩衝站 BS: buffer station

C:基材匣盒/載具 C: Substrate cassette/carrier

DD:基材固持參考基準 DD: Substrate holding reference datum

EX:手肘軸線 EX: Elbow axis

FP:覆蓋區 FP: Footprint

LL1,LL2:負載鎖定室 LL1, LL2: load lock chamber

PM:處理模組 PM: processing module

PMH,PMH1,PMH2:基材固持站 PMH, PMH1, PMH2: substrate holding station

S:基材 S: Substrate

SPM:處理模組 SPM: processing module

SX:樞轉軸 SX: Pivot axis

TPM:串接的處理模組 TPM: Tandem Processing Module

W,W1:寬度 W, W1: width

WX:腕部軸線 WX: wrist axis

L:長度 L: Length

Claims (16)

一種基材處理設備包含: 一直線式細長的實質六面體形狀的基材運送室,其具有該六面體的直線式細長的側面以及該六面體的至少一端壁,其具有一端部基材運送開口,該六面體的直線式細長的側面的至少一者具有一直線陣列的側面基材運送開口,該等端部及側面基材運送開口的每一者被安排來讓一基材穿過它被運送進出該基材運送室; 一連接至該基材運送室且具有一驅動心軸的驅動區段,其包含同軸的驅動軸,其界定繞著一共同的軸線轉動的至少兩個自由度;及 一基材運送手臂,其被可樞轉地安裝在該基材運送室內,使得該基材運送手臂的樞轉軸相對於該基材運送室被固定不動地安裝,其與該驅動心軸的該共同的軸線實質地重合,該基材運送手臂具有三連桿-三關節SCARA型態,其中的一個連桿是帶有一基材固持器的末端作用器,其被可操作地耦合至該驅動心軸,使得該基材運送手臂是以關節連接的,其具有由該等同軸的驅動軸實施的該至少兩個自由度,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室; 其中該基材運送手臂具有一平衡配重件,其被設置在該基材運送手臂上,用以從該驅動心軸的共同軸線延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有一根據在該驅動心軸上的基材運送手臂下降力矩的平衡所界定的型態和重量。 A substrate processing apparatus comprising: A linearly elongated substantially hexahedron-shaped substrate transport chamber having linearly elongated sides of the hexahedron and at least one end wall of the hexahedron having an end substrate transport opening, the hexahedron At least one of the linearly elongated sides of has a linear array of side substrate transport openings, each of the end portions and the side substrate transport openings being arranged to allow a substrate to be transported in and out of the substrate therethrough delivery room; a drive section coupled to the substrate transport chamber and having a drive spindle comprising coaxial drive shafts defining at least two degrees of freedom of rotation about a common axis; and a substrate transport arm pivotally mounted within the substrate transport chamber such that the pivot axis of the substrate transport arm is immovably mounted relative to the substrate transport chamber and is coupled to the drive spindle common axes substantially coincident, the substrate transport arm has a three-link-three-joint SCARA configuration in which one link is an end effector with a substrate holder operatively coupled to the drive hub Shaft such that the substrate transport arm is articulated with the at least two degrees of freedom implemented by the coaxial drive shafts for passing the substrate on the substrate holder through the ends and side substrate transport openings for transporting in and out of the substrate transport chamber; wherein the substrate transport arm has a counterweight disposed on the substrate transport arm to extend from the common axis of the drive spindles in a direction substantially opposite to the direction in which the substrate transport arm extends and have a shape and weight defined by the balance of substrate transport arm lowering moments on the drive spindle. 如請求項1之基材處理設備,其中該直線陣列的側面基材運送開口中的一被設置在與該六面體形狀的基材運送室的該至少一端壁相對的另一端相鄰的側面基材運送開口被定向為使得一相應於穿過鄰近該相對端的該側面基材運送開口的基材固持器運動的軸線與穿過該至少一端壁的該端部基材運送開口的基材固持器運動的另一軸線係實質地正交。The substrate processing apparatus according to claim 1, wherein one of the linear array of side substrate transport openings is disposed on a side adjacent to the other end opposite to the at least one end wall of the hexahedron-shaped substrate transport chamber The substrate delivery opening is oriented such that an axis corresponding to the movement of the substrate holder passing through the side substrate delivery opening adjacent the opposite end is held with the substrate holder passing through the end substrate delivery opening of the at least one end wall. The other axis of machine motion is substantially orthogonal. 如請求項2之基材處理設備,其中該基材運送手臂是以關節連接的,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室,使得該末端作用器是該等端部基材運送開口和側面基材運送開口的每一者所共用的。The substrate processing apparatus of claim 2, wherein the substrate transport arm is articulated for transporting the substrate on the substrate holder into and out of the substrate via the end and side substrate transport openings A substrate delivery chamber such that the end effector is common to each of the end substrate delivery openings and the side substrate delivery openings. 如請求項3之基材處理設備,其中該等側面基材運送開口的每一者具有穿過每一側面基材運送開口之基材固持器運動的相應的軸線,該直線陣列的側面基材運送開口的每一該基材運動的軸線係彼此實質平行地分別延伸穿過每一基材運送開口。The substrate processing apparatus of claim 3, wherein each of the side substrate delivery openings has a corresponding axis of movement of the substrate holder passing through each side substrate delivery opening, the linear array of side substrates Each of the substrate movement axes of the delivery openings extends substantially parallel to each other through each of the substrate delivery openings, respectively. 如請求項1之基材處理設備,其中該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的緊湊的覆蓋區,且該六面體具有一側面長度對寬度的長寬比,其為一大的長寬比,且該寬度相對於該基材運送手臂的覆蓋區而言是緊湊的。The substrate processing apparatus of claim 1, wherein the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm, and the hexahedron has a lateral length-to-width ratio Aspect ratio, which is a large aspect ratio, and the width is compact relative to the footprint of the substrate transport arm. 如請求項5之基材處理設備,其中該六面體的該至少一端壁與該六面體的該等直線式細長的側面係實質地正交。The substrate processing apparatus according to claim 5, wherein the at least one end wall of the hexahedron is substantially orthogonal to the linear elongated side surfaces of the hexahedron. 如請求項1之基材處理設備,其中該基材運送手臂包括一實施該基材運送手臂的關節運動的分叉帶式傳動系統。The substrate processing apparatus as claimed in claim 1, wherein the substrate conveying arm comprises a bifurcated belt drive system for performing articulation of the substrate conveying arm. 如請求項1之基材處理設備,其中該等同軸的驅動軸提供該基材運送手臂三個自由度。The substrate processing apparatus according to claim 1, wherein the coaxial drive shafts provide the substrate transport arm with three degrees of freedom. 一種運送基材的方法,該方法包含: 提供一直線式細長的實質六面體形狀的基材運送室,其具有該六面體的直線式細長的側面以及該六面體的至少一端壁,其具有一端部基材運送開口,該六面體的直線式細長的側面的至少一者具有一直線陣列的側面基材運送開口,該等端部及側面基材運送開口的每一者被安排來讓一基材穿過它被運送進出該基材運送室; 提供一連接至該基材運送室且具有一驅動心軸的驅動區段,其包含同軸的驅動軸,其界定繞著一共同的軸線轉動的至少兩個自由度; 提供一基材運送手臂,其被可樞轉地安裝在該基材運送室內,使得該基材運送手臂的樞轉軸相對於該基材運送室被固定不動地安裝,其與該驅動心軸的該共同的軸線實質地重合,該基材運送手臂具有三連桿-三關節SCARA型態,其中的一個連桿是帶有一基材固持器的末端作用器;及 以關節連接該基材運送手臂,其具有由該驅動心軸的該等同軸的驅動軸實施的該至少兩個自由度,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室; 其中該基材運送手臂具有一平衡配重件,其被設置在該基材運送手臂上,用以從該驅動心軸的該共同軸線延伸在一和該基材運送手臂的延伸方向實質相反的方向上,且具有根據在該驅動心軸上的基材運送手臂下降力矩的平衡所界定的型態和重量。 A method of transporting a substrate, the method comprising: There is provided a linearly elongated substantially hexahedron-shaped substrate transfer chamber having rectilinearly elongated sides of the hexahedron and at least one end wall of the hexahedron having an end substrate transfer opening, the hexahedral At least one of the linearly elongated sides of the body has a linear array of side substrate transport openings, each of the end portions and the side substrate transport openings being arranged to allow a substrate to be transported in and out of the substrate therethrough material delivery room; providing a drive section coupled to the substrate transport chamber and having a drive spindle comprising coaxial drive shafts defining at least two degrees of freedom of rotation about a common axis; A substrate transport arm is provided pivotally mounted within the substrate transport chamber such that the pivot axis of the substrate transport arm is immovably mounted relative to the substrate transport chamber in relation to the drive spindle the common axes are substantially coincident, the substrate delivery arm has a three-link-three-joint SCARA configuration, one of the links being an end effector with a substrate holder; and articulating the substrate transport arm with the at least two degrees of freedom implemented by the coaxial drive shafts of the drive spindle for passing the substrate on the substrate holder through the ends and side substrate transport openings for transporting in and out of the substrate transport chamber; wherein the substrate transport arm has a counterweight disposed on the substrate transport arm to extend from the common axis of the drive spindles in a direction substantially opposite to the direction in which the substrate transport arm extends direction, and have a shape and weight defined by the balance of substrate transport arm lowering moments on the drive spindle. 如請求項9之方法,其中該直線陣列的側面基材運送開口中的一被設置在與該六面體形狀的基材運送室的該至少一端壁相對的另一端相鄰的側面基材運送開口被定向為使得一相應於穿過鄰近該相對端的該側面基材運送開口的基材固持器運動的軸線與穿過該至少一端壁的該端部基材運送開口的基材固持器運動的另一軸線係實質地正交。The method of claim 9, wherein one of the linear array of side substrate delivery openings is disposed adjacent to the other end of the hexahedron-shaped substrate delivery chamber opposite to the at least one end wall. The opening is oriented such that an axis corresponding to the movement of the substrate holder through the side substrate delivery opening adjacent the opposite end and the substrate holder movement through the end substrate delivery opening of the at least one end wall The other axis system is substantially orthogonal. 如請求項10之方法,其中該基材運送手臂是以關節連接的,用以將該基材固持器上的該基材經由該等端部和側面基材運送開口運送進出該基材運送室,使得該末端作用器是該等端部基材運送開口和側面基材運送開口的每一者所共用的。The method of claim 10, wherein the substrate transport arm is articulated for transporting the substrate on the substrate holder into and out of the substrate transport chamber through the end and side substrate transport openings , such that the end effector is common to each of the end substrate delivery openings and the side substrate delivery openings. 如請求項11之方法,其中該等側面基材運送開口的每一者具有穿過每一側面基材運送開口之基材固持器運動的相應的軸線,該直線陣列的側面基材運送開口的每一該基材固持器運動的軸線係彼此實質平行地分別延伸穿過每一基材運送開口。The method of claim 11, wherein each of the side substrate delivery openings has a corresponding axis of movement of the substrate holder through each side substrate delivery opening, the linear array of side substrate delivery openings The axes of motion of each of the substrate holders extend substantially parallel to each other through each of the substrate delivery openings, respectively. 如請求項9之方法,其中該基材運送手臂具有一用於該基材運送手臂的一預定的最大伸距的一緊湊的覆蓋區,且該六面體具有一側面長度對寬度的長寬比,其為一大的長寬比,且該寬度相對於該基材運送手臂的覆蓋區而言是緊湊的。The method of claim 9, wherein the substrate transport arm has a compact footprint for a predetermined maximum reach of the substrate transport arm, and the hexahedron has a side length-to-width aspect ratio ratio, which is a large aspect ratio, and the width is compact relative to the footprint of the substrate transport arm. 如請求項13之方法,其中該六面體的該至少一端壁與該六面體的該等直線式細長的側面係實質地正交。The method of claim 13, wherein the at least one end wall of the hexahedron is substantially orthogonal to the rectilinear elongated sides of the hexahedron. 如請求項9之方法,進一步包含用該基材運送手臂的一分叉帶式傳動系統來實施該基材運送手臂的關節運動。The method of claim 9, further comprising implementing articulation of the substrate transport arm with a forked belt drive system of the substrate transport arm. 如請求項9之方法,其中該基材運送手臂是三個自由度的運送臂。The method of claim 9, wherein the substrate delivery arm is a three-degree-of-freedom delivery arm.
TW111140047A 2017-02-07 2018-02-07 Method and apparatus for substrate transport TWI813479B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762455874P 2017-02-07 2017-02-07
US62/455,874 2017-02-07

Publications (2)

Publication Number Publication Date
TW202306017A true TW202306017A (en) 2023-02-01
TWI813479B TWI813479B (en) 2023-08-21

Family

ID=65033826

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111140047A TWI813479B (en) 2017-02-07 2018-02-07 Method and apparatus for substrate transport
TW107104305A TWI813555B (en) 2017-02-07 2018-02-07 Method and apparatus for substrate transport

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107104305A TWI813555B (en) 2017-02-07 2018-02-07 Method and apparatus for substrate transport

Country Status (1)

Country Link
TW (2) TWI813479B (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004019387A1 (en) * 2002-08-22 2004-03-04 Integrated Dynamics Engineering, Inc. Substrate processing system
US20080202420A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
CN104823272B (en) * 2012-11-30 2017-07-14 应用材料公司 Multi-spindle machining hand equipment, electronic device manufacturing system with non-isometric forearm and the method for transmitting substrate in being manufactured in electronic installation
KR102214394B1 (en) * 2013-03-15 2021-02-08 어플라이드 머티어리얼스, 인코포레이티드 Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing

Also Published As

Publication number Publication date
TWI813555B (en) 2023-09-01
TW201839892A (en) 2018-11-01
TWI813479B (en) 2023-08-21

Similar Documents

Publication Publication Date Title
US11613002B2 (en) Dual arm robot
KR102592340B1 (en) Method And Apparatus For Substrate Transport
JP7263641B2 (en) Substrate carrier
JP7328183B2 (en) Substrate transport apparatus with multiple movable arms that utilizes a mechanical switch mechanism
JP6594304B2 (en) Processing equipment
JP7280309B2 (en) Conveyor and processing equipment
JP7346497B2 (en) Board transfer device
US11894252B2 (en) Substrate transport apparatus
US20230271792A1 (en) Substrate processing apparatus
TWI813555B (en) Method and apparatus for substrate transport
TW202412162A (en) Method and apparatus for substrate transport
TWI829700B (en) Substrate transport apparatus