TW202305984A - 處理工具中的整合式大氣電漿處理站 - Google Patents

處理工具中的整合式大氣電漿處理站 Download PDF

Info

Publication number
TW202305984A
TW202305984A TW111115750A TW111115750A TW202305984A TW 202305984 A TW202305984 A TW 202305984A TW 111115750 A TW111115750 A TW 111115750A TW 111115750 A TW111115750 A TW 111115750A TW 202305984 A TW202305984 A TW 202305984A
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
atmospheric plasma
semiconductor
substrate
processing
Prior art date
Application number
TW111115750A
Other languages
English (en)
Inventor
納瓦泥沙 克里希南 蘇巴央
派崔克 利特爾
丹尼爾 馬克 丁南
相提納斯 剛加迪
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202305984A publication Critical patent/TW202305984A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32376Scanning across large workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Electrochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

大氣電漿處理站整合在半導體製程工具中。大氣電漿處理站直接與半導體製程工具的沉積室介接,而不增加半導體製程工具的佔地面積或形狀因數。大氣電漿處理站包括可移動的大氣電漿源,例如用於掃描基板表面的線性頭。大氣電漿處理站在受控環境中提供封閉空間,非反應性氣體流過封閉空間。可以基於被處理的基板的表面狀況將處理氣體供應到線性頭。

Description

處理工具中的整合式大氣電漿處理站
本揭露關聯於處理工具中的整合式大氣電漿處理站。
半導體裝置(例如積體電路)的製造是一種多步驟製程。半導體裝置製造中的各種製程通常需要在基板表面上沉積材料之前對基板進行預處理或清潔。在某些情況下,氧化物、有機雜質、或其他污染物可能會對材料的沉積造成挑戰。在某些情況下,可能需要在沉積材料之前調整基板的表面條件,例如其潤濕性。
此處提供的先前技術描述係為了大致上呈現本揭露背景的目的。在此先前技術章節中所描述的範圍內,目前列名的發明人的作品以及在申請時可能不適格為先前技術的說明實施態樣,均未明確或暗含地承認為對抗本揭露的先前技術。
本文提供一種用於處理半導體基板的設備。該設備包含:一以上卡匣,用於接收一半導體基板;一沉積室,用於在該半導體基板上沉積材料;以及一大氣電漿處理站,用於在該沉積室中進行沉積之前將該半導體基板暴露於大氣電漿。該大氣電漿處理站包含:一封閉受控環境,用於接收該半導體基板,該封閉受控環境具有一惰性氣體流;一軌道,位於該封閉受控環境內的該半導體基板上方;及一線性頭,可沿該軌道移動,其中該線性頭被配置為將該大氣電漿引導到該半導體基板的指定區域。
在若干實施方式之中,該大氣電漿處理站更包含一基板支撐件,該基板支撐件具有用於加熱該半導體基板的一以上加熱元件。在暴露於該大氣電漿期間,該半導體基板可被加熱到大於約50℃的溫度。在若干實施方式之中,該設備更包含:一以上氣體管線,用於將一以上製程氣體供應到該線性頭;及一RF功率供給件,用於在該線性頭中產生該一以上製程氣體的大氣電漿。該一以上製程氣體包含氧、氫、水、氮、氨、肼、一氧化碳、二氧化碳、乙硼烷、甲烷、四氟化碳、八氟丁烷、三氟化氮、六氟化硫、氦、氬、氖、氪、氙、氡、或其組合。在若干實施方式之中,該設備更包含:一控制器,配置有用於執行以下操作的指令:以該大氣電漿掃描該半導體基板的整個表面;及以減少的佇列時間將該半導體基板從該大氣電漿處理站傳送到該沉積室。配置有指令以掃描該半導體基板的該整個表面的該控制器係配置有用於執行以下操作的指令:將該半導體基板暴露於具有第一氣體成分的該大氣電漿;及將該半導體基板暴露於具有第二氣體成分的該大氣電漿。具有該第一氣體成分的該大氣電漿包含氧電漿,並且其中具有該第二氣體成分的該大氣電漿包含氫電漿。在若干實施方式之中,該控制器更配置有用於執行以下操作的指令:將該半導體基板暴露於該大氣電漿以執行以下操作之一:將該半導體基板上的金屬氧化物還原為金屬,去除該半導體基板上的有機雜質,改變該半導體基板的潤濕性,改變該半導體基板之該表面的附著性,並改變該半導體基板的表面粗糙度。在若干實施方式之中,該半導體基板被支撐在一可移動基板支撐件上,其中,該線性頭被配置為透過使用該可移動基板支撐件移動該半導體基板來利用該大氣電漿掃描該半導體基板的表面。在若干實施方式之中,該線性頭被配置為透過沿該軌道移動該線性頭來利用該大氣電漿掃描該半導體基板的表面。在若干實施方式之中,該惰性氣體流包括以下惰性氣體物質:氮、氦、氬、氖、氪、氙、氡、或其組合。在若干實施方式之中,該沉積室是一無電沉積室。在若干實施方式之中,該封閉受控環境是無氧環境,並且其中該封閉受控環境不暴露於真空壓力。在若干實施方式之中,該設備更包含:一以上氣體管線,用於將一以上製程氣體輸送至該線性頭;及一控制器,配置有用於執行以下操作的指令:接收提供該半導體基板的表面條件的指示;調整要輸送到該線性頭的該一以上製程氣體的氣體成分;在該線性頭中產生該一以上製程氣體的該大氣電漿;及在該沉積室中進行沉積之前,透過暴露於該大氣電漿掃描該半導體基板以處理半導體基板的該表面條件。
本文亦提供一種用大氣電漿處理半導體基板之表面條件的方法。該方法包含:將一半導體基板接收於一半導體製程工具中,該半導體製程工具包含一大氣電漿處理站和一沉積室;及將該半導體基板傳送至該沉積室。將該半導體基板傳送至該沉積室的步驟包含:在沉積室中進行沉積之前,將該半導體基板暴露於在該大氣電漿處理站中之大氣電漿,其中該大氣電漿處理站包含具有惰性氣體流的一封閉受控環境、位於該封閉受控環境內之該半導體基板上方的一軌道、以及沿該軌道可移動的一線性頭,其中該線性頭係配置為將大氣電漿引導到該半導體基板的指定區域。
在若干實施方式之中,將該半導體基板傳送到該沉積室之步驟包含將該半導體基板從該大氣電漿處理站移動到一無電沉積室。在若干實施方式之中,該方法更包含:接收提供該半導體基板的表面條件的指示;調整待輸送至該線性頭的一以上製程氣體的氣體成分;在該線性頭中產生該一以上製程氣體的該大氣電漿;及在該沉積室中進行沉積之前,透過暴露於該大氣電漿掃描該半導體基板以處理該半導體基板的該表面條件。該一以上製程氣體包含氧、氬、氫、氮、氨、一氧化碳、乙硼烷、或其組合,並且其中該惰性氣體流包括以下的惰性氣體物質:氮、氦、氬、氖、氪、氙、氡、或其組合。在若干實施方式之中,該封閉受控環境是無氧環境,並且其中該封閉受控環境不暴露於真空壓力。
在本申請案之中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部份製造積體電路」之術語係可互換地使用。在本領域中通常知識者理解「部份製造積體電路」之術語可指涉在矽晶圓上之積體電路製造之許多階段之任何一者期間的矽晶圓。使用於半導體裝置工業中之晶圓或基板通常有著200 mm、或300 mm、或450 mm之直徑。以下實施方式章節假設本揭露實作在「晶圓」上。然而本揭露並不限於此。工作件可能有各種不同的形狀、尺寸、及材料。除了半導體晶圓,可利用本揭露的其他工作件包含各種物品,如印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡子、光學元件、微機械裝置、及相似者。
半導體製造製程通常會在材料沉積之前導致雜質、污染物、氧化、表面缺陷或其他不良表面條件。如果不加以處理,這些不良的表面條件可能會導致不均勻的沉積、產量損失,或甚至損壞半導體裝置。
可能存在問題的一個問題是有機雜質的存在。在蝕刻、研磨(例如,CMP)、清潔、沉積、或其他裝置製造製程之後,如此的有機雜質可能留在基板上。在某些情況下,有機雜質會導致裝置污染或介面缺陷。在沉積製程中可能成為問題的另一個問題是基板表面上存在氧化物(例如,金屬氧化物)。通常,要進行鍍覆的基板設有導電晶種層。該晶種層通常為金屬,當暴露於含氧大氣時會迅速被氧化。氧化物會干擾鍍覆製程,並且在將金屬鍍覆到凹陷特徵部之中時尤其成問題。在許多情況下,晶種層上的氧化物會導致形成不理想的空隙。
製程工具接收的傳入晶圓通常需要在沉積之前進行表面預處理。例如,可以對基板進行還原處理以去除存在於基板表面上的任何氧化物和/或有機雜質。一些還原處理可以是基於液體的化學物質並且一些還原處理可以是基於電漿的化學物質。可以使用各種預處理製程,例如,如以下美國專利和專利公開案之任何一者,每一者都藉由引用而整體併入本文:美國專利公開案第2014/0199497號,標題為「METHODS FOR REDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACES」;美國專利第9070750號,標題為「METHODS FOR REDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACES USING A GASEOUS REDUCING ENVIRONMENT」;美國專利第9469912號,標題為「PRETREATMENT METHOD FOR PHOTORESIST WAFER PROCESSING」;和美國專利第9472377號,標題為「METHOD AND APPARATUS FOR CHARACTERIZING METAL OXIDE REDUCTION」。
圖1A-1D顯示了用於在沉積之前處理半導體基板的各種處理階段的橫截面示意圖。在圖1A中,半導體基板100包括晶種層102。在一些實施方式中,晶種層102是金屬晶種層,例如銅晶種層或鈷晶種層。晶種層102的厚度可以小於約100 Å或小於約50 Å。晶種層102的氧化可能藉由暴露於空氣或其他含氧環境而發生。諸如金屬氧化物的氧化物104可能形成在半導體基板100的表面上。氧化物104的存在可能出現顯著的挑戰,尤其是對於電沉積製程。首先,氧化表面難以電鍍,且可能導致鍍覆不均勻。其次,可能會形成可能使晶種層102的部分不能用於支撐電鍍的空隙。第三,在氧化物104頂部鍍覆塊體金屬會導致黏附或脫層問題。除了氧化物104帶來的問題之外,雜質106可能會形成在半導體基板100的表面上。雜質106可能來自骯髒腔室中的顆粒、蝕刻副產物、沉積副產物、殘留物或其他污染物。如此的雜質106可以包括有機雜質。通常,已知雜質106會削弱或破壞裝置性能。
在圖1B中,對半導體基板100進行表面預處理以去除氧化物104和雜質106。表面預處理製程通常涉及將半導體基板100暴露於還原條件。例如,可以藉由將半導體基板100暴露於包括還原化學物質的液體、氣體、和/或電漿來建立還原條件。通常用於在電沉積之前預處理基板的一種方法包括將半導體基板100暴露於含氫電漿。預處理條件可以足以去除氧化物104和雜質106。預處理條件可以包括多種處理變量,包括但不限於:氣體/電漿/液體的組成和流速、暴露持續時間、基板所被維持的溫度、用於產生電漿的功率準位(如果有)、用於產生電漿的佔空比(如果有)、用於產生電漿的頻率(如果有)、壓力等。表面預處理通常發生在與電鍍或化學鍍(electroless plating)設備分開的設備,但在某些情況下,表面預處理可能發生在電鍍或化學鍍設備所包含的模組中。
在表面預處理之後,半導體基板100可能暴露於引起再污染或再氧化的條件。如圖1C所示,再氧化可導致在表面預處理之後和沉積之前在晶種層102上形成的氧化物108。在一些情況下,可以在表面預處理期間或之後淋洗和乾燥半導體基板100以使半導體基板100再氧化。在其他情況下,可以在導致半導體基板100快速再氧化的環境條件下將半導體基板100傳送到沉積室(例如,電鍍或化學鍍設備)。在一些其他情況下,諸如真空電漿模組(VPM)的模組可能會緩慢地充滿污染物顆粒,當電漿關閉時,污染物顆粒會掉落並污染半導體基板100。儘管真空電漿模組通常在還原氧化物104和清除雜質106以改善晶種層102上的沉積/成核方面是有效的,但真空電漿模組是昂貴的,並且將VPM整合到電鍍或化學鍍設備中將是昂貴的並且會增加大佔地面積/形狀因子。
圖1D示出了在晶種層102上大量沉積材料110之後的半導體基板100。材料110可以透過諸如物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、電鍍、或化學鍍的任何合適的沉積製程沉積在晶種層102上。氧化物108可以限制材料110在晶種層上的沉積。舉例來說,氧化表面通常不支持化學鍍中的自催化化學還原的電子轉移,並且氧化表面通常導致電鍍製程中的空隙和不均勻鍍層。
圖2示出了說明使用濕式技術處理具有金屬晶種層的基板並在金屬晶種層上電沉積金屬的示例方法的流程圖。製程200開始於框210,其中金屬晶種層使用合適的沉積技術(例如PVD)沉積在基板上。在一些實施方式中,金屬晶種層可具有約15 Å至約100 Å或更大的平均厚度。基板可以包括諸如溝槽的凹陷特徵部。在框220,可以用含有還原劑的溶液淋洗或潤濕基板。例如,還原劑可以包括用於將金屬氧化物還原成金屬的還原化合物或多種還原化合物的混合物。附加地或替代地,可以用去離子水淋洗基板並加以乾燥。在框230,將基板傳送到電鍍或無電沉積系統。在傳送期間,金屬晶種層可以暴露於環境條件,使得金屬晶種層可以快速氧化。在一些實施方式中,該暴露的持續時間可以是約1分鐘及約4小時之間、約15分鐘及約1小時之間或更長的任何時間。進行到框240,將金屬塊體層電沉積在基板上。在電鍍中,可以將具有金屬晶種層的基板浸入電鍍浴中,該電鍍浴中含有金屬的陽離子和酸溶液中的締合陰離子。或者,在化學鍍中,可以將基板暴露於還原化學浴,這會導致金屬晶種層上的金屬成核。
圖3顯示了說明使用乾式技術處理具有金屬晶種層的基板並在金屬晶種層上電沉積金屬的示例方法的流程圖。該製程開始於框310,其中金屬晶種層使用合適的沉積技術(例如PVD)沉積在基板上。在一些實施方式中,金屬晶種層可具有約15 Å至約100 Å或更大的平均厚度。基板可以包括諸如溝槽的凹陷特徵部。在框320,將基板傳送到具有顯著降低的壓力或真空環境的腔室或設備中。顯著降低的壓力可以在約0.1 Torr和約5 Torr之間。腔室或設備可以包括還原氣體物質,例如氫(H 2)、氨(NH 3)、一氧化碳(CO)、乙硼烷(B 2H 6)、亞硫酸鹽化合物、碳和/或碳氫化合物、亞磷酸鹽和/或肼(N 2H 4)。腔室或設備用作預處理模組以清潔基板表面的污染物或氧化物。在傳送期間,基板可能暴露於可能導致金屬晶種層表面氧化的環境條件。進行到框330,產生還原氣體物種的電漿。電漿可以包括還原氣體物質的自由基、離子、中性物質以還原氧化物並產生純金屬表面。電漿可以是直接或間接電漿(例如,遠程電漿)。該製程在框340繼續,其中基板暴露於電漿以處理金屬晶種層。電漿可以接觸金屬晶種層以從金屬晶種層的表面去除金屬氧化物。電漿可以附加地或替代地去除由初沉積的金屬晶種層留下的有機雜質。電漿可以附加地或替代地改變金屬晶種層的表面粗糙度。金屬晶種層的其他表面條件可以藉由暴露於電漿來調整。在框350,在環境條件下或在惰性氣體敷層下將基板傳送到電鍍或無電沉積室。在一些實施方式中,該傳送的持續時間可以在大約1分鐘和大約4小時之間、大約15分鐘和大約1小時之間、或更長的任何時間。暴露在環境條件下可能會導致再氧化。在一些實施方式中,可以在惰性氣體敷層下傳送基板以最小化再氧化。在框360,金屬被電沉積在基板上。塊體金屬可以藉由電鍍或化學鍍沉積在金屬晶種層上。
存在許多用於在沉積之前處理基板的策略。濕式技術可能涉及具有還原劑的水溶液以還原氧化物,但該水溶液可能是酸性的或包含蝕刻或溶解氧化物的其他化學試劑,從而導致晶種層中的空隙。此外,濕式技術可能會留下需要額外淋洗和乾燥操作的殘留物,這可能會再氧化晶種層。乾式技術可能涉及熱成型氣體退火以還原氧化物,但此類技術可能不如基於電漿的技術有效,並且通常需要高於150°C的溫度,從而導致晶種層損壞和空隙增加。其他乾式技術可能涉及使用在真空或顯著降低的壓力中產生的電漿來還原氧化物並以其他方式處理表面缺陷和污染物,但是如此的電漿會增加大量成本並使用會損壞薄金屬晶種層的顯著高溫。此外,產生這些電漿需要相對較長的時間將腔室抽空至真空或顯著降低的壓力,從而增加佇列時間並降低產量。這些電漿通常在昂貴的VPM中產生,並且無論真空電漿模組是獨立設備還是整合到現有製程工具中,都增加了大佔地面積/形狀因子。
大氣電漿提供了真空電漿的替代方案。存在廣泛的大氣電漿技術,包括電弧、電暈放電、介質阻擋放電和電漿噴射。與基於真空的電漿技術相比,大氣電漿技術通常較不昂貴。因此,大氣電漿可以降低生產設備的成本並擴展到廣泛的電漿技術。設計大氣電漿處理站以有效處理基板表面並將大氣電漿處理站整合到半導體製程工具中存在挑戰。 大氣電漿預處理站
本揭露關聯於一種具有整合的大氣電漿處理站和沉積室的製程工具。大氣電漿處理站可以被配置為在沉積之前處理或控制基板的各種表面條件,其中表面條件可以包括但不限於:表面缺陷、氧化物、有機雜質、粗糙度、潤濕性、黏附性、均勻性和電偏壓。在一些實施方式中,在大氣電漿處理站的封閉空間中提供受控環境,其中受控環境包括非反應性氣體(例如,惰性氣體)的正壓流。大氣電漿處理站還包括安裝在基板上方的線性頭(linear head)。線性頭和基板的一者或兩者是可移動的,使得線性頭定位成將大氣電漿引導到基板的指定區域。這樣,可以處理基板的某些區域或者可以掃描整個基板。在一些實施方式中,線性頭耦合到安裝在軌道上的機械臂,使得線性頭可相對於基板移動。在一些實施方式中,基板被支撐在可移動基板支撐件上,使得基板可相對於線性頭移動。氣體管線可以將製程氣體輸送到線性頭,其中製程氣體的成分可以根據提供給基板的表面處理而變化。製程工具中大氣電漿處理站的設計減少了處理基板和在基板上沉積材料之間的佇列時間。
圖4A示出了根據一些實施方式的用於基板處理和沉積的示例半導體製程工具的示意圖。半導體製程工具400可以在具有以受控方式順序處理半導體基板的能力的多室系統或叢集工具中處理一個或多個半導體基板。半導體製程工具400可以從無塵室接收半導體基板以進行處理並且在處理之後將半導體基板返回到無塵室。半導體製程工具400可用於在半導體基板上沉積材料。例如,半導體製程工具400可用於藉由電鍍或化學鍍在半導體基板上沉積材料。半導體製程工具400可包括多個站或腔室,例如退火站、傳送站、清潔站、計量站、刷站、乾燥站、預處理站、和沉積站。有些可能是濕式處理站,且有些可能是乾式處理站。半導體製程工具通常包括傳送機器人以在各種腔室和站之間傳送半導體基板。在圖4A中,半導體製程工具400包括傳送站420、一個或多個鍍覆站430、清潔站440、和乾燥站460。
半導體製程工具400包括一個或多個用於接收半導體基板的卡匣442。此一個或多個卡匣442可以是用於接收將在半導體製程工具400中處理之半導體基板的箱或晶圓傳送盒(FOUP)。輸送機器人422被配置為沿著半導體基板移動並且將半導體基板從卡匣442傳送至傳送站420。在一些實施方式中,輸送機器人422可以具有一個或多個臂,其中每個臂可以具有末端效應器,用於拾取半導體基板以進行傳送。
傳送站420可以與半導體製程工具400中的多個站介接。如圖4A所示,傳送站420可以與一個或多個鍍覆站430介接。傳送站420可以包括至少一個平臺、基座、或用於支撐一個或多個半導體基板的其他支撐件。圖4B示出了根據一些實施方式的圖4A的半導體製程工具中的示例傳送站的示意圖。在一些實施方式中,傳送站420可暴露於大氣條件。在一些實施方式中,傳送站420可被抽至低於次大氣壓或真空壓力。在一些實施方式中,傳送站420可以提供非反應性氣體流,例如氬(Ar)或氮(N 2),以限制污染。
傳送站420可以被配置為將半導體基板傳送到一個或多個鍍覆站430。在一些實施方式中,一個或多個鍍覆站430可以是化學鍍站430。然而,應當理解,一個或多個鍍覆站430可以是用於沉積材料的任何合適的沉積站,其中沉積站可以是PVD站、CVD站、ALD站、或電鍍站。一個或多個鍍覆站430可在半導體基板上執行電鍍或化學鍍操作,其中半導體基板可處於受控環境中並暴露於鍍覆溶液以選擇性地在半導體基板的表面上沉積金屬。
在沉積之後,半導體基板可以被傳送回傳送站420或透過搬運機器人432移動到清潔站440。雖然圖4A描繪了清潔站440,但是應當理解,清潔站440可以是用於在沉積後處理半導體基板的任何後沉積處理站。清潔站440可以被配置為從半導體基板的表面去除殘留的人工製品或污染物。例如,清潔站440可以包括刷盒、流體輸送噴嘴、或用於清潔半導體基板的其他清潔機構。
在清潔之後,半導體基板可以返回到傳送站420或透過搬運機器人432移動到乾燥站460。在一些實施方式中,乾燥站460與清潔站440整合在一起。在一些實施方式中,乾燥站460可以將半導體基板暴露於乾燥氣體。藉由輸送機器人422,半導體基板可以從乾燥站460返回到卡匣442。結果,可以在電鍍之後將已經清潔和乾燥的半導體基板返回到無塵室。圖4A中的箭頭圖示了通過半導體製程工具400的晶圓路徑。
控制器450耦合到並且控制半導體製程工具400之以下每一者之操作:卡匣442、輸送機器人422、傳送站420、一個或多個鍍覆站430、搬運機器人432、清潔站440、和乾燥站460。控制器450控制半導體製程工具400的一些或全部特性。控制器450通常包括一個或多個記憶體裝置和一個或多個處理器。下面更詳細地描述控制器450的態樣。
在本揭露中,半導體製程工具的晶圓傳送站可以修改為大氣電漿處理站。這樣,大氣電漿處理站就整合在半導體製程工具中,而不會增加設備的佔地面積或形狀因子。或者,大氣電漿處理站可以替換或修改可以與沉積站介接的現有處理站。這樣的現有處理站可以包括退火站、真空電漿處理站、濕式清潔站、乾式清潔站、及相似者。現有的處理站(例如濕式清潔站)的強度可能不足以從進入的半導體基板中去除氧化物和有機雜質。此外,現有的處理站(如真空電漿處理站)可以有效地去除氧化物和有機雜質,但真空電漿處理站可能是昂貴的、增加設備佔地面積、增加佇列時間、並降低產量。與真空電漿處理站相比,大氣電漿處理站的成本可低達四倍。大氣電漿處理站可以有效地去除氧化物、有機雜質和其他污染物,而沒有真空電漿處理站的缺點。在某些情況下,這可以促進均勻的無電沉積並減少無電沉積後的缺陷。
圖5A示出了根據一些實施方式的用於基板電漿預處理和沉積的示例半導體製程工具的示意圖。圖5A中的半導體製程工具500可以在類似於圖4A中的半導體製程工具400的多室系統或叢集工具中處理一個或多個半導體基板。半導體製程工具500可用於透過諸如電鍍或化學鍍的某些沉積技術在一個或多個半導體基板上沉積材料。半導體製程工具500可以整合大氣電漿處理站520以與一個或多個鍍覆站530介接。在圖5A中,半導體製程工具500包括大氣電漿處理站520、一個或多個鍍覆站530、清潔站540、和乾燥站560。
半導體製程工具500包括用於接收半導體基板的一個或多個卡匣542。一個或多個卡匣542可以是用於接收將在半導體製程工具500中處理的半導體基板的箱或FOUP。輸送機器人522被配置為沿著半導體基板移動並將半導體基板從卡匣542傳送到大氣電漿處理站520。在一些實施方式中,輸送機器人522可以具有一個或多個臂,其中每個臂可以具有末端效應器,用於拾取半導體基板以進行輸送。
大氣電漿處理站520可以與半導體製程工具500中的一個或多個站介接。如圖5A所示,大氣電漿處理站520可以與一個或多個鍍覆站530介接。
圖5B示出了根據一些實施方式的整合在圖5A的半導體製程工具中的示例性大氣電漿處理站的示意圖。圖5C示出了根據一些實施方式的整合在圖5A的半導體製程工具中的大氣電漿處理站的透視圖。大氣電漿處理站520可以包括用於支撐半導體基板510的基板支撐件552。在一些實施方式中,基板支撐件552是可移動的。具體而言,可移動基板支撐件552可以是可旋轉的和/或可平移的。這允許半導體基板510的不同區域在移動半導體基板510通過大氣電漿處理站520時暴露於大氣電漿。
在一些實施方式中,基板支撐件552可與一個或多個加熱元件和/或冷卻元件耦合以用於溫度控制。一個或多個加熱元件可以耦合到基板支撐件552中面向半導體基板510的加熱組件,用於基板溫度控制。例如,基板支撐件552可以是熱板。可調整基板溫度以改進或加速在大氣電漿處理站520中對半導體基板510的掃描,特別是用於優化半導體基板510表面上的氧化物還原。升高的基板溫度可增加半導體基板510的表面處的反應性以實現更快的掃描速度。在一些實施方式中,在大氣電漿處理站520中暴露於大氣電漿期間,半導體基板510可以被加熱到大於約30°C、大於約50°C、或大於約75°C的溫度。在一些實施方式中,半導體基板510可以在暴露於大氣電漿之後被冷卻到低於約75°C、低於約50°C、或低於約30°C的溫度。這樣,半導體基板510可以在電鍍之前被冷卻到降低的溫度或室溫。
大氣電漿處理站520可以是具有受控環境的封閉空間。通常,在電漿環境中處理的基板需要額外的組件來進行負載鎖操作和真空泵送。將電漿處理站泵吸為減少的壓力(例如,在約0.1 Torr和約5 Torr之間)增加了佇列時間。電漿處理站通常佔用更多的空間(例如,地面空間)並降低基板處理的產量。大氣電漿處理站520避免了昂貴的真空設備、負載鎖、和機器人組件,同時減少了佇列時間並增加了產量。
大氣電漿處理站520不將半導體基板510暴露於可能導致氧化或其他形式的污染的環境條件。相反,可以藉由使氣體流入大氣電漿處理站520的內部來維持大氣電漿處理站520中的正壓。氣體可以是非反應性或惰性氣體,例如氮、氦、氬、氖、氪、氙、或氡。例如,流入大氣電漿處理站520的惰性氣體可以包括氬、氮、或它們的組合。在一些實施方式中,相對於大氣電漿處理站520外部的壓力(即,環境壓力),大氣電漿處理站520的內部保持在正壓下。可以防止諸如氧的大氣雜質進入大氣電漿處理站520的內部。因此,大氣電漿處理站520的封閉受控環境不含或基本上不含氧。在暴露於大氣電漿期間,可以藉由惰性氣體的流動來維持正壓。在一些實施方式中,惰性氣體可以以層流的形式在半導體基板510的頂部上方流動。惰性氣體可以從大氣電漿處理站520的一側流到相對側,在那裡惰性氣體可以從大氣電漿處理站520排放或排出。惰性氣體流在大氣電漿處理站520的內部提供受控環境,其中大氣電漿處理站520低於、等於、或高於環境壓力。一個或多個質量流控制器(MFC)可以以受控的速率將惰性氣體流提供到大氣電漿處理站520的內部。
大氣電漿處理站520還包括位於溫度可控基板支撐件552上方的線性頭554。線性頭554也可以稱為電漿頭(plasma head)。在一些實施方式中,線性頭554可以相對較小並且具有在大約100mm和大約300mm之間的直徑/寬度。線性頭554包括用於接收製程氣體的一個或多個入口以及用於將大氣電漿從線性頭554排放到半導體基板510的一個或多個出口。藉由以DC或AC激發而點燃製程氣體,大氣電漿可以在線性頭554中產生並從線性頭554排放。RF功率供給件(未示出)可用於在線性頭554中產生大氣電漿。在一些實施方式中,離開線性頭554的大氣電漿是線性束(linear beam)。
製程氣體可以包括一種或多種反應性氣體物質。反應性氣體物質可以用作還原氧化物的還原劑。或者,反應性氣體物質可用作分解有機雜質的化學試劑。在一些實施方式中,製程氣體包括反應氣體物質和惰性(稀釋)氣體物質的混合物。反應性氣體物質的例子包括但不限於氧、氫、水、氮、氨、肼、一氧化碳、二氧化碳、乙硼烷、甲烷、四氟化碳、八氟丁烷、三氟化氮、六氟化硫、以及對本領域技術人員來說可是顯而易見的其他反應性物質。惰性氣體物質的例子包括但不限於氮、氦、氬、氖、氪、氙、和氡。在一些實施方式中,惰性氣體物質可以是主要電漿氣體並且反應性物質可以是摻雜氣體。因此,大氣電漿可以主要由惰性氣體自由基構成。在一些實施方式中,製程氣體可以包括氫和氬的混合物或氬和氮/氫氣體(合成氣體)的混合物。在一些實施方式中,製程氣體可以包括氬和氧的混合物。
製程氣體可藉由一以上氣體管線556流向線性頭554。一以上氣體管線556可藉由一個或多個入口將製程氣體供應到線性頭554中。MFC可以控制製程氣體流入線性頭554。製程氣體的成分和流率可以根據待處理的半導體基板510的表面條件進行調整。舉例來說,第一組成物可以經由一以上氣體管線556輸送到線性頭554以處理第一表面條件(例如,去除有機雜質),並且第二組成物可以經由一以上氣體管線556輸送到線性頭554,用於處理第二表面條件(例如,還原氧化物)。
大氣電漿處理站520還可以包括位於基板支撐件552上方的軌道558。軌道558可以是靜止的,並且線性頭554可以沿著軌道558移動。軌道558可以延伸於由半導體基板510之主要表面所定義的一以上方向上,其中該等方向可以包括x方向和y方向。因此,線性頭554可以沿著軌道558在x方向和/或y方向上移動。沿著軌道558的移動可以使線性頭554能夠掃描或瞄準半導體基板510的某些區域。特別地,藉由沿著軌道558定位線性頭554,線性頭554可以在特定位置(x-y坐標)處用大氣電漿瞄準半導體基板510的表面。在一些實施方式中,線性頭554可以透過機械臂562耦合到軌道558。機械臂562可以將線性頭554固定到軌道558。在一些實施方式中,線性頭554可以沿著機械臂562沿z方向(垂直方向)移動,以將線性頭554定位為離半導體基板510的表面更近或更遠。線性頭554和半導體基板510之表面之間的距離影響表面處理。線性頭554能夠在半導體基板510上方以距離z在x和y方向掃描半導體基板510。
在一些實施方式中,線性頭554被配置為透過沿可移動基板支撐件552移動半導體基板510或透過沿軌道558移動線性頭554來掃描半導體基板510的表面。在一些實施方式之中,半導體基板510和線性頭554二者可以移動,從而可以掃描半導體基板510。這為將線性頭554適當地定位在半導體基板510的某些區域上提供了增加的靈活性。
大氣電漿處理站520可以被配置為將半導體基板510傳送到一個或多個鍍覆站530。在一些實施方式中,一個或多個鍍覆站530可以是化學鍍站530。然而,將理解的是,一個或多個鍍覆站530可以是用於沉積材料的任何合適的沉積站,其中沉積站可以包括但不限於PVD站、CVD站、或ALD站。一個或多個鍍覆站530可在半導體基板510上執行電鍍或化學鍍操作,其中半導體基板510可處於受控環境中並暴露於鍍覆溶液以選擇性地在半導體基板510的表面上沉積金屬。
在沉積之後,半導體基板510可以藉由搬運機器人532傳送或移動到清潔站540。雖然圖5A描繪了清潔站540,但是應當理解,清潔站540可以是任何用於在沉積後處理半導體基板510的後沉積處理站。清潔站540可以被配置為從半導體基板510的表面去除殘留的人工製品或污染物。例如,清潔站540可以包括刷盒、流體輸送噴嘴、或用於清潔半導體基板510的其他清潔機構。
在清潔之後,可以藉由搬運機器人532將半導體基板510傳送或移動到乾燥站560。在一些實施方式中,乾燥站560與清潔站540整合在一起。在一些實施方式中,乾燥站560可以將半導體基板510暴露於乾燥氣體。半導體基板510可以藉由輸送機器人522從乾燥站560返回到卡匣542。結果,可以在鍍層已經清潔和乾燥之後將半導體基板510返回到無塵室。
控制器550耦合到半導體製程工具500之以下各者且控制半導體製程工具500之以下各者的操作:卡匣542、輸送機器人522、大氣電漿處理站520、一個或多個鍍覆站530、清潔站540、和乾燥站560。控制器550控制半導體製程工具500的一些或全部特性。控制器550通常包括一個或多個記憶體裝置和一個或多個處理器。下面更詳細地描述控制器550的態樣。
圖6示出了根據一些實施方式的電鍍設備的俯視示意圖。可以根據本文的實施方式使用的鍍覆設備的一個示例是由加州弗里蒙特的Lam Research Corporation製造的Sabre®工具。電沉積設備600可以包括三個單獨的電鍍模組602、604、和606。電沉積設備600還可以包括配置用於各種製程操作的三個單獨的模組612、614、和616。例如,在一些實施方式中,模組612、614和616之一可以是旋洗乾燥(SRD)模組。在其他實施方式中,模組612、614、和616中的一個或多個可以是後電填充模組(PEM),每個都被配置為執行功能,例如邊緣斜邊去除、背面蝕刻、和在基板由電鍍模組602、604、和606之一者處理之後對基板進行酸洗。電沉積設備600可以包括中央電沉積室624。中央電沉積室624是容納用作電鍍模組602、604、和606中的電鍍溶液的化學溶液的腔室。電沉積設備600還包括可儲存和輸送電鍍溶液添加劑的注入系統626。化學稀釋模組622可以儲存和混合用作蝕刻劑的化學物質。過濾和泵送單元628可以過濾用於中央電沉積室624的電鍍溶液並將其泵送到電鍍模組。
交接工具(hand-off tool)640可以從基板卡匣642或卡匣644中選擇基板。卡匣642、644可以是晶圓傳送盒(FOUP)。FOUP是一種容器,設計用於在受控環境中穩固且安全地固持基板,並允許由配備適當裝載埠和機器人搬運系統的工具取出基板進行處理或測量。交接工具640可以使用真空附接或一些其他附接機構來固持基板。
交接工具640可以與晶圓搬運站632、卡匣642、644、大氣電漿處理站650或對準器648介接。交接工具646可以從大氣電漿處理站650接取基板。大氣電漿處理站650可以整合在電沉積設備600中以與交接工具640和交接工具646介接。大氣電漿處理站650可以包括線性頭、軌道、用於將製程氣體輸送到線性頭的一個或多個製程氣體管線,以及用於將惰性氣體物質輸送到大氣電漿處理站650中的封閉空間的一個或多個惰性氣體管線。大氣電漿處理站650可以進一步包括一個或多個加熱器元件或用於基板溫度控制的熱板。大氣電漿處理站650可以是槽或位置,交接工具640和646可以在不經過對準器648的情況下從其傳遞基板及傳遞基板至其。然而,在一些實施方式中,為了確保基板適當地對準用於精確傳送到電鍍模組的交接工具646,交接工具646可以用對準器648對準基板。交接工具646還可以用對準器648傳送基板。交接工具646也可將基板傳送至電鍍模組602、604、或606之一或傳送至配置用於各種製程操作的三個獨立模組612、614和616之一。
根據這裡描述的方法的製程操作的示例可以如下進行: (1) 將基板接收在卡匣642或644之中; (2)將基板提供於大氣電漿處理站650中; (3)將基板暴露於在大氣電漿處理站650中之大氣電漿; (4)在電鍍模組602、604、或606之一中將金屬電沉積到基板上; (5)任選地在模組612、614、或616之一中的SRD中淋洗和乾燥基板; (6)可選地在模組612、614、或616之一中執行邊緣斜邊去除。
控制器630(例如,系統控制器)提供操作電沉積設備600所需的電子和介面控制。這裡描述的控制器630的態樣也可以應用於圖5A中的控制器550和圖4A中的控制器450。控制器630(其可包括一個或多個物理或邏輯控制器)控制電沉積設備600的一些或所有特性。控制器630通常包括一個或多個記憶體裝置和一個或多個處理器。處理器可以包括中央處理單元(CPU)或電腦、類比和/或數位輸入/輸出連接、步進馬達控制器板、和其他類似組件。可以在處理器上執行用於實現如本文的適當控制操作的指令。這些指令可以儲存在與控制器630相關聯的記憶體裝置上,或者它們可以透過網路加以提供。在某些實施方式中,控制器630執行系統控制軟體。
電沉積設備600中的系統控制軟體可以包括用於控制以下者的指令:時間、電解質成分的混合(包括一種或多種電解質成分的濃度)、入口壓力、鍍覆槽壓力、鍍覆槽溫度、基板溫度、施加到基板和任何其他電極的電流和電位、基板位置、基板旋轉、以及由電沉積設備600執行的特定製程的其他參數。另外,電沉積設備600中的系統控制軟體可以包括用於控制以下者的指令:製程氣體組成,惰性氣體組成、製程氣體流率、惰性氣體流率、RF功率、RF頻率、線性頭位置、掃描速度、基板位置、基板旋轉、基板溫度、處理持續時間、壓力、和由大氣電漿處理站650執行的特定製程的其他參數。系統控制邏輯可以以任何合適的方式配置。例如,可以編寫各種處理工具組件子例程或控制物件,以控制執行各種處理工具製程所必需的處理工具組件的操作。系統控制軟體可以任何合適的電腦可讀程式語言編碼。該邏輯還可以被實現為可程式化邏輯裝置(例如,FPGA)、ASIC、或其他適當載具形式的硬體。
在一些實施方式中,系統控制邏輯包括用於控制上述各種參數的輸入/輸出控制(IOC)排序指令。例如,電鍍製程的每個階段可以包括由控制器630執行的一個或多個指令。用於設定浸潤製程階段的製程條件的指令可以包括在相應的浸潤配方階段中。在一些實施方式中,電鍍配方階段可以順序排列,使得電鍍製程階段的所有指令與該製程階段同時執行。
在一些實施方式中,控制邏輯可以被劃分為各種組件,例如程式或程式區段。用於此目的的邏輯組件的示例包括基板定位組件、電解質組成控制組件、壓力控制組件、加熱器控制組件、和電位/電流功率供給控制組件。
在一些實施方式中,可以存在與控制器630相關聯的使用者介面。使用者介面可以包括顯示螢幕、設備和/或製程條件的圖形軟體顯示器、以及諸如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實施方式中,由控制器630調整的參數可能與製程條件有關。非限制性示例包括浴條件(溫度、組成和流率)、在各個階段的基板位置(旋轉速率、線速度)、線性頭位置(線性速度)、製程氣體組成和相關流率、惰性氣體組成和相關的流率、基板溫度、壓力等。這些參數可以以配方的形式提供給使用者,可以利用使用者介面加以輸入。
用於監控製程的信號可以由來自各種製程工具感測器的控制器630的類比和/或數位輸入連接加以提供。用於控制製程的信號可以在製程工具的類比和數位輸出連接上輸出。可監控的製程工具感測器的非限制性示例包括質量流控制器、壓力感測器(例如壓力計)、熱電偶、光學感測器等。適當程式化的反饋和控制演算法可與來自這些感測器的資料一起使用以維持製程條件或調整製程條件。
在若干實施方式中,控制器630為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含:一處理工具或複數處理工具;一腔室或複數腔室;一處理平臺或複數處理平臺;及/或特定處理組件(晶圓基座、氣流系統等)。此等系統可與電子設備整合,以控制在半導體晶圓或基板之處理步驟之前、處理期間、及處理後之其操作。此等電子設備可稱為「控制器」,其可控制該系統或複數系統之各種組件或次部件。取決於處理需求及/或系統類型,控制器可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、掃描速度設定、壓力設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、晶圓傳送進及出與特定系統相連接或介接之工具及其他傳送工具。
廣義而言,該控制器630可能被定義為具有各種不同的積體電路、邏輯、記憶體、及/或軟體的電子器件,其接收指令、發佈指令、控制操作、啟動清潔操作、啟動端點量測、及類似者。該等積體電路可能包含儲存程式指令的韌體形式的晶片、數位訊號處理器(DSP)、被定義為特定應用積體電路(ASIC)的晶片、及/或一以上微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可能是以各種不同的獨立設定(或程式檔案)的形式傳輸至該控制器630的指令,定義在半導體晶圓之上或對半導體晶圓或對系統執行特定製程的操作參數。在若干實施例之中,該等操作參數可能係由製程工程師所定義的配方的部份,以完成在晶圓的一以上層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間的一以上處理步驟。
在若干實施方式之中,該控制器630可能耦合至一電腦或係該電腦的一部份,該電腦與該系統整合、耦合至該系統、以其他方式網路連線至該系統、或其中的組合。舉例而言,該控制器630可能在「雲端」或係晶圓廠主機電腦系統的全部或一部份,其可以允許晶圓處理的遠程存取。該電腦可能使得能夠遠程存取該系統以監控製造操作的目前進度、檢視過去製造操作的歷史紀錄、檢視來自複數製造操作的趨勢或績效指標、改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在若干示例之中,遠程電腦(例如,伺服器)可藉由網路對系統提供製程配方,該網路可能包含區域網路或網際網路。該遠程電腦可能包含一使用者介面,允許參數及/或設定的輸入或程式設計,接著將參數及/或設定從遠程電腦傳輸至該系統。在若干示例之中,該控制器接收資料形式的指令,該指令對在一或多操作期間待實施的處理步驟每一者指定參數。應理解到,該等參數可能特定於待實施的製程類型以及該控制器被配置以介接或控制的工具類型。因此如上所述,該控制器630可能為分散式的,例如透過包含被網路連線在一起並朝著共同目的(例如,本文中所述的製程及控制)而運作的一以上分離式控制器。用於如此目的的分散式控制器的一示例是在腔室之上的一以上積體電路,其與位於遠程(例如在平臺層級或作為一遠程電腦的部份)的一以上積體電路進行通信,這些積體電路相結合以控制在腔室上的製程。
不限於此,本揭露中之例示系統可能包含沉積室或模組、金屬鍍覆室或模組、清潔室或模組、PVD室或模組、CVD室或模組、ALD室或模組、軌道室或模組、及可能關聯或使用在半導體晶圓的製造及/或生產的任何其他半導體處理系統。
圖7示出了根據一些實施方式的化學鍍設備的俯視示意圖。在無電沉積(ELD)設備700中,基板通過裝載埠710而接收到ELD設備700中。裝載埠710可以包括複數基板接收單元,這些基板接收單元可以是晶圓傳送盒(FOUP)。裝載埠710接收基板並將其傳送到ELD設備700內的傳送架730。基板從傳送架730移動到ELD設備700內的ELD模組750。儘管裝載埠710是在ELD設備700中接收基板的一種形式,可以使用其他機構將基板傳送到ELD模組750之中。例如,大氣傳送機(ATM)模組720可以保持在ELD設備700內的受控環境中。一基板傳送系統(例如乾式機器人715)可用於傳送基板。乾式機器人715可以設置在ATM模組720中並且可以用於從裝載埠710取回基板並將基板放置到傳送架730上。傳送架730是ELD設備700中的可選組件。
在本揭露的一些實施方式中,傳送架730可以被配置為大氣電漿處理站730。大氣電漿處理站730可以包括線性頭、軌道、用於將製程氣體輸送到線性頭的一個或多個製程氣體管線、以及用於將惰性氣體物質輸送到大氣電漿處理站730中的封閉空間的一個或多個惰性氣體管線。大氣電漿處理站730可以進一步包括一個或多個加熱器元件或用於基板溫度控制的熱板。大氣電漿處理站730可以在將基板輸送到ELD模組750之前將基板暴露於大氣電漿。
ELD模組750用於基板的化學鍍。在一些實施方式中,濕式機器人740從大氣電漿處理站730取回基板並將基板傳送到ELD模組750。ELD模組750可以被配置為(a)可選地預淋洗基板,(b)執行無電沉積製程以在基板的表面上沉積一層金屬,以及(c)任選地用沉積後淋洗流體淋洗基板。濕式機器人740協助將基板從ELD模組750傳送到後沉積模組。
ELD設備700包括複數後沉積模組,例如化學模組770、刷洗模組760、和清潔模組780。基板可以被接收在化學模組770中,化學模組770可以被配置以施加含酸流體俾使自不旨在接收沉積流體的基板區域去除沉積流體的痕量。作為含酸溶液的補充或替代,化學模組770可配置成施加含鹼流體。隨後可在化學模組770中施加淋洗流體以淋洗掉含酸流體和/或含鹼流體。基板可以從化學模組770移動到刷洗模組760。刷洗模組760可以配置有一個或多個刷單元,用於機械地清潔基板。基板可以從刷洗模組760傳送並插入另一個沉積後模組,例如清潔模組780。清潔模組780可以被配置以淋洗和乾燥基板。基板可從清潔模組780移動並透過ATM模組720傳送回裝載埠710。
圖8示出了說明根據一些實施方式在沉積之前用大氣電漿處理半導體基板的示例方法的流程圖。製程800的操作可以以不同的順序和/或以不同的、更少的、或額外的操作來執行。可以參考圖9A-9D來描述製程800的態樣。可以使用如圖5A-5C所示的半導體製程工具來執行製程800的操作,並且大氣電漿處理站有可能以圖4A、5A、6、和7顯示的工具架構之任何一者予以實現。在一些實施方式中,製程800的操作可以根據儲存在一個或多個非瞬態電腦可讀媒體中的軟體至少部分地加以實施。
在製程800的框810,半導體基板被接收在包括大氣電漿處理站和沉積站的半導體製程工具中。半導體基板可以具有200mm、或300mm、或450mm的直徑。可以將半導體基板接收在卡匣、箱、FOUP、或用於接收傳入基板的半導體製程工具的其他組件中。在一些實施方式中,半導體基板在被半導體製程工具接收之前可以經歷一以上裝置製造製程。傳入的半導體基板可能已經被蝕刻、圖案化、研磨、清潔、退火、以材料沉積、或以其他方式處理,這會導致在半導體基板的表面上形成雜質或氧化物。例如,傳入的圖案化CMP半導體基板可能具有氧化物和/或有機雜質,這些氧化物和/或有機雜質對材料沉積之發生造成困難。應當理解,這種氧化物和/或雜質的缺陷可能由在半導體製程工具之外或在半導體製程工具內執行的製程所產生。除了雜質和/或氧化物,傳入的半導體基板亦可能具有需要在沉積之前進行處理的表面條件。待處理的表面條件可以包括但不限於粗糙度、潤濕性(疏水性)、和電偏壓。
在一些實施方式中,半導體製程工具可以是鍍覆工具,例如化學鍍工具。圖7中描述了示例化學鍍工具。在處理之前,可以在半導體基板的表面上形成材料層。材料層可以包括例如金屬層,例如PVD沉積的金屬晶種層或半貴金屬層。材料層可以包括研磨金屬或介電層,例如CMP後的銅或鎢層。材料層可以包括低k介電層。在一些情況下,材料層可以包括金屬氧化物和/或碳化合物。在一些實施方式中,半導體基板可以包括諸如凹入部、通孔、或溝槽的特徵部。特徵部可以包括具有大於約3:1、大於約5:1、或大於約10:1的深度與寬度的縱橫比的凹入部、通孔、或溝槽。
大氣電漿處理站可以整合在半導體製程工具內。這意味著可以修改現有的站或腔室以結合大氣電漿處理單元,而不會增加半導體製程工具的佔地面積。大氣電漿處理站可以與沉積站介接。結果,半導體基板可以直接傳送到沉積站而不暴露於周圍環境並且不需要額外的機器人組件、負載鎖、或中間傳送站。
在一些實施方式中,可以將進入的半導體基板傳送到大氣電漿處理站。例如,輸入的半導體基板可以在卡匣處被接收並且使用輸送機器人傳送到大氣電漿處理站。
圖9A示出了包括晶種層902的半導體基板900的橫截面示意圖。在一些實施方式中,晶種層902是金屬晶種層,例如銅晶種層或鈷晶種層。晶種層902的厚度可以小於約100Å或小於約50Å。晶種層902的氧化可能通過暴露於空氣或其他含氧環境而發生。諸如金屬氧化物的氧化物904可能形成在半導體基板900的表面上。此外,雜質906可能形成在半導體基板900的表面上。雜質906可能來自骯髒腔室中的顆粒、蝕刻副產物、沉積副產物、殘留物或其他污染物。這樣的雜質906可以包括有機雜質。
返回圖8,在製程800的框820a,在沉積室中進行沉積之前,半導體基板在大氣電漿處理站中暴露於大氣電漿。製程氣體可以流入大氣電漿源,例如線性頭。製程氣體可以從一個或多個氣體源流過一個或多個氣體管線。在一些實施方式中,製程氣體可以包括氧、氫、水、氮、氨、肼、一氧化碳、二氧化碳、乙硼烷、甲烷、四氟化碳、八氟丁烷、三氟化氮、六氟化硫、氦、氬、氖、氪、氙、氡、或它們的組合。製程氣體可以是反應氣體(摻雜劑氣體)和惰性氣體(主要氣體)的混合物。例如,製程氣體可以包括氫和氬的混合物、氫/氮和氬的混合物、氫和氦的混合物、或氧和氬的混合物。製程氣體的大氣電漿可以在大氣電漿源中產生。RF功率可以施加到大氣電漿源以在大氣條件下產生電漿。如本文所用,「大氣電漿」可以指在大氣條件下在諸如線性頭的源中產生的電漿。製程氣體的大氣電漿可以包括製程氣體的各種自由基和離子。
製程氣體的組成和濃度可以根據被處理的半導體基板的表面條件進行調整。在一些實施方式中,將半導體基板暴露於大氣電漿包括將半導體暴露於具有第一氣體成分的大氣電漿,然後將半導體基板暴露於具有第二氣體成分的大氣電漿。例如,第一氣體成分可包括源自含氧氣體的氧電漿,而第二氣體成分可包括源自含氫氣體的氫電漿。氧電漿可以去除諸如有機雜質的雜質,而氫電漿可以去除氧化物。其他氣體成分可用於處理各種表面條件。在一些實施方式中,將半導體基板暴露於大氣電漿包括將半導體基板暴露於具有以第一流率流動的製程氣體的大氣電漿,然後將半導體基板暴露於具有以第二流率流動的製程氣體的大氣電漿。調整流率或氣體比率可以調整大氣電漿的侵略性。
無需抽真空或使大氣電漿處理站減壓即可產生大氣電漿並將其施加到半導體基板。大氣電漿可以在封閉的受控環境中產生和施加,其中受控的封閉環境包括非反應性氣體的正壓。代替將大氣電漿處理站暴露於可能含有氧的環境條件,大氣電漿處理站透過使非反應性氣體流過大氣電漿處理站的封閉空間而不含或實質上不含氧。非反應性氣體可以包括氮、氦、氬、氪、氙、或氡。大氣電漿處理站的封閉受控環境可以具有惰性氣體流,例如氬流或氮流。惰性氣體流將受控環境保持為不含或實質上不含氧。如本文所用,「實質上不含氧」可以指在受控環境中氧濃度小於約0.1體積%。惰性氣體流有助於防止半導體基板表面的再氧化。
在暴露於大氣壓力期間,可以對半導體基板施加升高的溫度。可以使用耦合到支撐半導體基板的基板支撐件的一個或多個加熱元件來施加升高的溫度。升高的溫度可以加快處理半導體基板的反應速率,從而加快半導體基板的掃描。例如,升高的溫度可以加快半導體基板表面上的氧化物還原速率。在一些實施方式中,在暴露於大氣電漿期間由大氣電漿處理站中的基板支撐件(例如,熱板)保持的溫度可以大於約50℃。
半導體基板的表面暴露於大氣電漿以處理某些表面條件或缺陷。大氣電漿的自由基、離子、和/或光子可以與半導體基板的表面反應以執行以下一項或多項:還原氧化物(例如,將金屬氧化物還原為金屬)、去除有機雜質、改變疏水性,改變電偏壓,並改變半導體基板的表面粗糙度。在一些實施方式中,大氣電漿用於還原氧化物,例如金屬氧化物。在一些實施方式中,大氣電漿用於去除有機雜質。在一些實施方式中,大氣電漿用於還原氧化物和去除有機雜質。在一些實施方式中,大氣電漿用於使表面更加親水。在一些實施方式中,大氣電漿用於調整表面的黏附特性以改善後續沉積中的黏附。在一些實施方式中,大氣電漿用於調整半導體基板表面的均勻性以提高鍍覆均勻性。
透過還原氧化物,該處理減少了可能導致不均勻沉積/電鍍的不連續性和空隙。透過去除有機雜質,該處理可以增加半導體基板表面的導電性,以促進均勻的沉積/電鍍並避免污染。透過增加基板表面的親水性,當基板表面與鍍覆浴接觸時,接觸角更小,以促進更好的潤濕性。因此,半導體基板的表面條件和/或缺陷的有效處理對於成功的沉積或鍍覆操作可能是至關重要的。
在一些實施方式中,大氣電漿暴露可在處理後將氧化物減少至小於氧化物的初始測量值的1%。在一些實施方式中,大氣電漿暴露可將接觸角減小多達80%,從而增加半導體基板表面的潤濕性。在一些實施方式中,大氣電漿暴露可能不會對下面的材料造成損壞並且可能會增加小於0.1%的粗糙度。在一些實施方式中,與未經處理的基板相比,透過大氣電漿暴露處理的基板可產生高達50%的透過無電沉積鍍覆的材料的厚度增加。
半導體基板的某些區域可能暴露於大氣電漿。可以透過將大氣電漿源(例如,線性頭)定位在半導體基板上方來完成暴露區域的指定,其中基板支撐件和大氣電漿源之一或兩者是可移動的。在一些實施方式中,基板支撐件可以是可旋轉的和/或可平移的以用於定位半導體基板。在一些實施方式中,大氣電漿源可以沿著用於定位大氣電漿源的軌道平移。大氣電漿源可以耦合到軌道,其中大氣電漿源可以在xy空間中具有至少兩個自由度。在一些實施方式中,大氣電漿源可以在x-y-z空間中具有至少三個自由度。大氣電漿源和/或基板支撐件的移動可以由與大氣電漿處理站的半導體製程工具相關聯的控制器控制。
大氣電漿源的尺寸可以提供對半導體基板的相對較大區域的暴露,這可以促進整個半導體基板的掃描。在一些情況下,線性頭可以具有約100mm及約300mm之間的直徑/寬度。或者,可以排列多個線性頭以增加暴露尺寸。由於基板直徑通常為200 mm、300 mm、或450 mm,因此可以使用適當尺寸的線性頭快速掃描半導體基板。透過使用大氣電漿源掃描半導體基板的表面,可以將半導體基板的整個表面暴露於大氣電漿。可以使用不同的掃描速度來掃描半導體基板的表面。例如,大氣電漿源可以以1mm/s至500mm/s或10mm/s至300mm/s的範圍掃描半導體基板的表面。更快的掃描速度可以減少半導體製造的佇列時間。更大的掃描區域也可以減少半導體製造的佇列時間。且如上該,升高的溫度也可以透過較快掃描速度來減少佇列時間。在一些實施方式中,大氣電漿處理站的設計透過每小時處理至少30個基板、每小時至少50個基板、每小時至少60個基板、或每小時至少80個基板來增加產量。
作為說明,具有100mm寬度(沿y方向測量)的線性頭可以透過沿x方向從0mm掃描到300mm來掃描300mm基板。然後線性頭或基板支撐件可以偏移100mm,且掃描可以沿x方向從300mm進行到0mm。然後線性頭或基板支撐件可以偏移100mm,並且可以透過沿x方向從0mm進行到300mm來完成掃描。
在一些實施方式中,在將半導體基板暴露於大氣電漿之前,可以接收提供半導體基板的表面條件的指示。具體而言,可以在處理之前針對半導體基板表面的表面條件或表面缺陷加以分析。可以進行測量以確定基板表面的氧化。也可以進行測量以確定基板表面是否存在有機雜質。可替代地或附加地對半導體基板的接觸角、電偏壓、或粗糙度進行測量。在一些實施方式中,至少部分地基於半導體基板的表面條件來調整要輸送到大氣電漿源的製程氣體的成分和/或濃度。在線性頭中產生製程氣體的大氣電漿,並且半導體基板暴露於大氣電漿。
圖9B示出了在使用具有第一成分的製程氣體的大氣電漿處理之後圖9A的半導體基板900的橫截面示意圖。線性頭910定位在半導體基板900的表面上方。第一成分的製程氣體被供應到線性頭910。第一成分的製程氣體被點燃以產生大氣電漿。製程氣體以分子形式進入線性頭910並作為離子/自由基離開線性頭910。離子/自由基作為電漿束912從線性頭910放出。由第一成分的製程氣體產生的電漿束912可以從半導體基板900的表面去除雜質906。線性頭910可以掃描半導體基板900的整個表面。在一些實施方式中,第一成分的製程氣體包括一種或多種含氧氣體,例如氧。基於氧的電漿可以有效地去除雜質906。
圖9C示出了在使用具有第二成分的製程氣體的大氣電漿處理之後圖9B的半導體基板900的橫截面示意圖。在從半導體基板900的表面去除雜質906之後,第二成分的製程氣體被供應到線性頭910。第二成分的製程氣體被點燃以產生大氣電漿。電漿束914從線性頭910放出以從半導體基板900的表面還原氧化物904。線性頭910可以掃描半導體基板900的整個表面。在一些實施方式中,第二成分的製程氣體包括一種或多種還原性氣體,例如氫或組成氣體。如組成氣體的還原氣體可有效去除氧化物904。
如圖9B和9C所示,線性頭910可以是靈活的以根據對半導體基板900的處理來調節氣體成分。線性頭910也可以是靈活的以根據處理來調節氣體比例混合物。例如,線性頭910也可以被配置為在第一次掃描中去除雜質並且在第二次掃描中去除氧化物。可以調整氣體比例混合物(例如Ar:O 2的流率比)以優化有機物去除效率。可以調節氣體比例混合物(例如Ar:H 2的流率比)以優化氧化物的還原。在一些實施方式中,線性頭910可配置以使半導體基板900的表面更疏水或更親水。這可以透過使用從半導體基板900的表面添加/減去羥基(-OH)的製程氣體化學品來完成。
返回圖8,在製程800的框820b,將半導體基板傳送到沉積室。可以在最少量或不暴露於可能氧化或污染半導體基板的條件的情況下相對快速地傳送半導體基板。這樣,可以清潔、處理、或以其他方式準備半導體基板以用於隨後在沉積室中的沉積。在一些實施方式中,暴露於大氣電漿和沉積之間的傳送時間可以在大約0.5秒和大約30秒之間或在大約1秒和大約10秒之間。這比與沉積室介接的典型處理或傳送單元顯著地更短。從大氣電漿處理站的傳送可以在沒有額外的機器人組件、負載鎖、冷卻站、或傳送站的情況下進行。換言之,大氣電漿處理站可以直接與沉積室介接,以促進站之間的傳送。這增加了產量,減少了佇列時間,並降低了與額外設備的維護和操作相關的成本。在一些實施方式中,傳送半導體基板包括將半導體基板從大氣電漿處理站移動到沉積室,該沉積室可以是無電沉積室。在某些情況下,當線性頭掃描半導體基板時,半導體基板已經被傳送到沉積室。
在一些實施方式中,可以在暴露於大氣電漿之後立即冷卻半導體基板。例如,可以將半導體基板冷卻到小於約50℃的溫度(例如,室溫)。在一些實施方式中,可以在惰性氣體物質的敷層下傳送半導體基板。即使在將半導體基板暴露於大氣電漿之後,惰性氣體物質(例如,氦-氮或氬-氮)也可以繼續在大氣電漿處理站中流動。這可以防止在處理和沉積之間半導體基板的再氧化或再污染。在一些實施方式中,惰性氣體物質可以被冷卻以提供在傳送期間降低半導體基板的溫度的冷卻氣體。
在製程800的框830,金屬可選地電沉積在半導體基板上。在一些實施方式中,金屬是鈷或銅。電沉積可包括電鍍或化學鍍操作。例如,對金屬進行鍍覆可以包括使用鍍覆浴進行塊體沉積。當基板表面藉由大氣電漿處理時,促進透過電鍍或化學鍍之金屬的初始化和成核。在沉積之前使用大氣電漿處理可以實現更大量的沉積和更均勻的沉積。儘管沉積可以透過在框830的電沉積而發生在電鍍或化學鍍室中,但是應當理解,沉積可以包括在適當的沉積室中的任何合適的沉積製程。此外,將理解在框830的沉積不限於金屬,而是可以包括任何導電、半導體、或絕緣材料。
框810-830的操作可以發生在相同的半導體製程工具或工具架構中。在一些實施方式中,半導體製程工具是化學鍍工具。大氣電漿處理站整合在半導體製程工具中,而不會增加工具的佔地面積或形狀因子。大氣電漿處理站可以修改現有站,例如傳送站或退火站。對此類站的修改可包括添加線性頭、軌道、用於將製程氣體輸送到線性頭的一以上製程氣體管線、以及用於輸送惰性氣體物質以流過大氣電漿處理站的一以上惰性氣體管線。在一些實施方式中,修改可進一步包括添加一個或多個加熱器元件或用熱板替換基板支撐件以在大氣電漿處理期間控制基板溫度。 圖9D示出了在半導體基板900的表面上沉積材料之後圖9C的半導體基板900的橫截面示意圖。材料908的塊體沉積可以透過諸如PVD、CVD、ALD、電鍍、或化學鍍的任何合適的沉積製程來執行。在一些實施方式中,材料908是金屬,例如銅或鈷。在處理後,材料908可沉積在晶種層902上。大氣電漿處理可以促進電鍍或化學鍍製程中的均勻鍍覆。 其他實施例
在以上的實施方法章節之中,闡明數個特定細節以提供對所呈現實施例的完整理解。在此所揭露的實施例可在不具備若干或全部這些特定細節的情況下實施。在其他示例之中,週知的製程操作未詳細敘述,以免不必要地模糊所揭露實施例。儘管所揭露實施例將與特定實施例結合加以敘述,但將理解到該特定實施例不旨在限制所揭露實施例。
儘管本文揭露的申請標的已參考所示實施例具體敘述,但將顯而易見的是可能基於本揭露進行各種改變、修改及適應,並且係旨在本發明之範圍之內。應理解到敘述不限於所揭露實施例,但相反地係旨在涵蓋包含於申請專利範圍內之各種修改及同等配置。
100:半導體基板 102:晶種層 104:氧化物 106:雜質 108:氧化物 110:材料 200:製程 210:框 220:框 230:框 240:框 310:框 320:框 330:框 340:框 350:框 360:框 400:半導體製程工具 420:傳送站 422:輸送機器人 430:鍍覆站 432:搬運機器人 440:清潔站 442:卡匣 450:控制器 460:乾燥站 500:半導體製程工具 510:基板 520:大氣電漿處理站 522:輸送機器人 530:鍍覆站 532:搬運機器人 540:清潔站 542:卡匣 550:控制器 552:基板支撐件 554:線性頭 556:氣體管線 558:軌道 560:乾燥站 562:機械臂 600:電沉積設備 602,604,606:電鍍模組 612,614,616:模組 622:化學稀釋模組 624:中央電沉積室 626:注入系統 628:過濾和泵送單元 630:控制器 632:晶圓搬運站 640:交接工具(hand-off tool) 642:卡匣 644:卡匣 646:交接工具 648:對準器 650:大氣電漿處理站 700:無電沉積(ELD)設備 710:裝載埠 715:乾式機器人 720:大氣傳送機(ATM)模組 730:傳送架/大氣電漿處理站 740:濕式機器人 750:ELD模組 760:刷洗模組 770:化學模組 780:清潔模組 800:製程 810:框 820a:框 820b:框 830:框 900:半導體基板 902:晶種層 904:氧化物 906:雜質 908:材料 910:線性頭 912:電漿束 914:電漿束
圖1A-1D顯示用於在沉積之前處理半導體基板的各種處理階段的橫截面示意圖。
圖2顯示說明使用濕式技術處理具有金屬晶種層的基板並在金屬晶種層上電沉積金屬的示例方法的流程圖。
圖3顯示說明使用乾式技術處理具有金屬晶種層的基板並在金屬晶種層上電沉積金屬的示例方法的流程圖。
圖4A顯示根據一些實施方式的用於基板處理和沉積的示例半導體製程工具的示意圖。
圖4B顯示根據一些實施方式的圖4A的半導體製程工具中的示例傳送站的示意圖。
圖5A顯示根據一些實施方式的用於基板電漿預處理和沉積的示例半導體製程工具的示意圖。
圖5B顯示根據一些實施方式的整合在圖5A的半導體製程工具中的示例性大氣電漿處理站的示意圖。
圖5C顯示根據一些實施方式的整合在圖5A的半導體製程工具中的示例性大氣電漿處理站的透視圖。
圖6顯示根據一些實施方式的電鍍設備的俯視示意圖。
圖7顯示根據一些實施方式的無電鍍裝置的俯視示意圖。
圖8顯示說明根據一些實施方式在沉積之前用大氣電漿處理半導體基板的示例方法的流程圖。
圖9A-9D顯示根據一些實施方式的用於在沉積之前使用大氣電漿處理半導體基板的各種處理階段的橫截面示意圖。
500:半導體製程工具
520:大氣電漿處理站
522:輸送機器人
530:鍍覆站
532:搬運機器人
540:清潔站
542:卡匣
550:控制器
560:乾燥站

Claims (20)

  1. 一種用於處理半導體基板的設備,包含: 一以上卡匣,用於接收一半導體基板; 一沉積室,用於在該半導體基板上沉積材料;以及 一大氣電漿處理站,用於在該沉積室中進行沉積之前將該半導體基板暴露於大氣電漿,其中該大氣電漿處理站包含: 一封閉受控環境,用於接收該半導體基板,該封閉受控環境具有一惰性氣體流; 一軌道,位於該封閉受控環境內的該半導體基板上方;及 一線性頭,可沿該軌道移動,其中該線性頭被配置為將該大氣電漿引導到該半導體基板的指定區域。
  2. 如請求項1之用於處理半導體基板的設備,其中,該大氣電漿處理站更包含一基板支撐件,該基板支撐件具有用於加熱該半導體基板的一以上加熱元件。
  3. 如請求項2之用於處理半導體基板的設備,其中,在暴露於該大氣電漿期間,該半導體基板被加熱到大於約50℃的溫度。
  4. 如請求項1之用於處理半導體基板的設備,更包含: 一以上氣體管線,用於將一以上製程氣體供應到該線性頭;及 一RF功率供給件,用於在該線性頭中產生該一以上製程氣體的大氣電漿。
  5. 如請求項4之用於處理半導體基板的設備,其中,該一以上製程氣體包含氧、氫、水、氮、氨、肼、一氧化碳、二氧化碳、乙硼烷、甲烷、四氟化碳、八氟丁烷、三氟化氮、六氟化硫、氦、氬、氖、氪、氙、氡、或其組合。
  6. 如請求項1之用於處理半導體基板的設備,更包括: 一控制器,配置有用於執行以下操作的指令: 以該大氣電漿掃描該半導體基板的整個表面;及 以減少的佇列時間將該半導體基板從該大氣電漿處理站傳送到該沉積室。
  7. 如請求項6之用於處理半導體基板的設備,其中,配置有指令以掃描該半導體基板的該整個表面的該控制器係配置有用於執行以下操作的指令: 將該半導體基板暴露於具有第一氣體成分的該大氣電漿;及 將該半導體基板暴露於具有第二氣體成分的該大氣電漿。
  8. 如請求項7之用於處理半導體基板的設備,其中具有該第一氣體成分的該大氣電漿包含氧電漿,並且其中具有該第二氣體成分的該大氣電漿包含氫電漿。
  9. 如請求項6之用於處理半導體基板的設備,其中該控制器更配置有用於執行以下操作的指令: 將該半導體基板暴露於該大氣電漿以執行以下操作之一:將該半導體基板上的金屬氧化物還原為金屬,去除該半導體基板上的有機雜質,改變該半導體基板的潤濕性,改變該半導體基板之該表面的黏附性,並改變該半導體基板的表面粗糙度。
  10. 如請求項1之用於處理半導體基板的設備,其中該半導體基板被支撐在一可移動基板支撐件上,其中,該線性頭被配置為透過使用該可移動基板支撐件移動該半導體基板來利用該大氣電漿掃描該半導體基板的表面。
  11. 如請求項1-10之任何一項的用於處理半導體基板的設備,其中,該線性頭被配置為透過沿該軌道移動該線性頭來利用該大氣電漿掃描該半導體基板的表面。
  12. 如請求項1-10之任何一項的用於處理半導體基板的設備,其中,該惰性氣體流包括以下惰性氣體物質:氮、氦、氬、氖、氪、氙、氡、或其組合。
  13. 如請求項1-10之任何一項的用於處理半導體基板的設備,其中,該沉積室是一無電沉積室。
  14. 如請求項1-10之任何一項的用於處理半導體基板的設備,其中,該封閉受控環境是無氧環境,並且其中該封閉受控環境不暴露於真空壓力。
  15. 如請求項1-10之任何一項的用於處理半導體基板的設備,更包含: 一以上氣體管線,用於將一以上製程氣體輸送至該線性頭;及 一控制器,配置有用於執行以下操作的指令: 接收提供該半導體基板的表面條件的指示; 調整要輸送到該線性頭的該一以上製程氣體的氣體成分; 在該線性頭中產生該一以上製程氣體的該大氣電漿;及 在該沉積室中進行沉積之前,透過暴露於該大氣電漿掃描該半導體基板以處理半導體基板的該表面條件。
  16. 一種用大氣電漿處理半導體基板之表面條件的方法,包含: 將一半導體基板接收於一半導體製程工具中,該半導體製程工具包含一大氣電漿處理站和一沉積室;及 將該半導體基板傳送至該沉積室,其中將該半導體基板傳送至該沉積室的步驟包含: 在該沉積室中進行沉積之前,將該半導體基板暴露於在該大氣電漿處理站中之大氣電漿,其中該大氣電漿處理站包含具有惰性氣體流的一封閉受控環境、位於該封閉受控環境內之該半導體基板上方的一軌道、以及沿該軌道可移動的一線性頭,其中該線性頭係配置為將大氣電漿引導到該半導體基板的指定區域。
  17. 如請求項16的用大氣電漿處理半導體基板之表面條件的方法,其中將該半導體基板傳送到該沉積室之步驟包含將該半導體基板從該大氣電漿處理站移動到一無電沉積室。
  18. 如請求項16的用大氣電漿處理半導體基板之表面條件的方法,更包含: 接收提供該半導體基板的表面條件的指示; 調整待輸送至該線性頭的一以上製程氣體的氣體成分; 在該線性頭中產生該一以上製程氣體的該大氣電漿;及 在該沉積室中進行沉積之前,透過暴露於該大氣電漿掃描該半導體基板以處理該半導體基板的該表面條件。
  19. 如請求項18的用大氣電漿處理半導體基板之表面條件的方法,其中該一以上製程氣體包含氧、氬、氫、氮、氨、一氧化碳、乙硼烷、或其組合,並且其中該惰性氣體流包括以下的惰性氣體物質:氮、氦、氬、氖、氪、氙、氡、或其組合。
  20. 如請求項16的用大氣電漿處理半導體基板之表面條件的方法,其中該封閉受控環境是無氧環境,並且其中該封閉受控環境不暴露於真空壓力。
TW111115750A 2021-04-27 2022-04-26 處理工具中的整合式大氣電漿處理站 TW202305984A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163201392P 2021-04-27 2021-04-27
US63/201,392 2021-04-27

Publications (1)

Publication Number Publication Date
TW202305984A true TW202305984A (zh) 2023-02-01

Family

ID=83848566

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111115750A TW202305984A (zh) 2021-04-27 2022-04-26 處理工具中的整合式大氣電漿處理站

Country Status (5)

Country Link
JP (1) JP2024519462A (zh)
KR (1) KR20240001018A (zh)
CN (1) CN115803863A (zh)
TW (1) TW202305984A (zh)
WO (1) WO2022231922A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
CN101552230B (zh) * 2003-02-06 2011-05-25 株式会社半导体能源研究所 半导体制造装置
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US20150376792A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Atmospheric plasma apparatus for semiconductor processing
US9472377B2 (en) * 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction

Also Published As

Publication number Publication date
JP2024519462A (ja) 2024-05-14
CN115803863A (zh) 2023-03-14
KR20240001018A (ko) 2024-01-03
WO2022231922A1 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
US10304659B2 (en) Ale smoothness: in and outside semiconductor industry
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
US10741407B2 (en) Reduction of sidewall notching for high aspect ratio 3D NAND etch
US6770565B2 (en) System for planarizing metal conductive layers
CN104882360B (zh) 等离子体处理装置的清洁方法
US9780037B2 (en) Method of processing target object
US20220282366A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
CN114051542A (zh) 半导体设备制造中在金属电沉积期间的晶种层的保护
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
TW202305984A (zh) 處理工具中的整合式大氣電漿處理站
US20210062330A1 (en) Selective cobalt deposition on copper surfaces
TWI751326B (zh) 自對準通孔處理流程
CN115769363A (zh) 通过原子层沉积组合的自成形阻挡层和晶种层
CN115244663A (zh) 高深宽比3d nand蚀刻的侧壁凹陷的减少
US20220270870A1 (en) Deposition of silicon-based dielectric films
US20220351980A1 (en) Waterborne dispersion composition
JP2023515065A (ja) コア除去
EP1691409A1 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置