TW202234700A - Semiconductor device including a superlattice with different non-semiconductor material monolayers and associated methods - Google Patents

Semiconductor device including a superlattice with different non-semiconductor material monolayers and associated methods Download PDF

Info

Publication number
TW202234700A
TW202234700A TW111118876A TW111118876A TW202234700A TW 202234700 A TW202234700 A TW 202234700A TW 111118876 A TW111118876 A TW 111118876A TW 111118876 A TW111118876 A TW 111118876A TW 202234700 A TW202234700 A TW 202234700A
Authority
TW
Taiwan
Prior art keywords
semiconductor
superlattice
base
monolayer
silicon
Prior art date
Application number
TW111118876A
Other languages
Chinese (zh)
Inventor
凱斯多蘭 維克斯
奈爾斯溫 柯迪
Original Assignee
美商安托梅拉公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/801,305 external-priority patent/US11177351B2/en
Priority claimed from US16/801,287 external-priority patent/US11302823B2/en
Application filed by 美商安托梅拉公司 filed Critical 美商安托梅拉公司
Publication of TW202234700A publication Critical patent/TW202234700A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures

Abstract

A semiconductor device may include a semiconductor substrate, and a superlattice on the semiconductor substrate and including a plurality of stacked groups of layers. Each group of layers of the superlattice may include a plurality of stacked base semiconductor monolayers defining a base semiconductor portion and at least one non-semiconductor monolayer constrained within a crystal lattice of adjacent base semiconductor portions. A first at least one non-semiconductor monolayer may be constrained within the crystal lattice of a first pair of adjacent base semiconductor portions and comprise a first non-semiconductor material, and a second at least one non-semiconductor monolayer may be constrained within the crystal lattice of a second pair of adjacent base semiconductor portions and comprise a second non-semiconductor material different than the first non-semiconductor material.

Description

包含具有不同非半導體材料單層的超晶格之半導體元件及其相關方法Semiconductor devices comprising superlattices having monolayers of different non-semiconductor materials and related methods

本發明一般而言與半導體元件有關,詳細而言,本發明涉及採用增強型半導體材料之半導體元件及其相關方法。The present invention generally relates to semiconductor devices, and in particular, the present invention relates to semiconductor devices employing enhancement-mode semiconductor materials and related methods.

利用諸如增強電荷載子之遷移率(mobility)增進半導體元件效能之相關結構及技術,已多有人提出。例如,Currie等人之美國專利申請案第2003/0057416號揭示了矽、矽-鍺及鬆弛矽之應變材料層,其亦包含原本會在其他方面導致效能劣退的無雜質區(impurity-free zones)。此等應變材料層在上部矽層中所造成的雙軸向應變(biaxial strain)會改變載子的遷移率,從而得以製作較高速與/或較低功率的元件。Fitzgerald等人的美國專利申請公告案第2003/0034529號則揭示了同樣以類似的應變矽技術為基礎的CMOS反向器。There have been many proposals for related structures and techniques to improve the performance of semiconductor devices, such as by enhancing the mobility of charge carriers. For example, U.S. Patent Application No. 2003/0057416 to Currie et al. discloses strained material layers of silicon, silicon-germanium, and relaxed silicon that also include impurity-free regions that would otherwise degrade performance zones). The biaxial strain in the upper silicon layer caused by these layers of strained material alters the carrier mobility, enabling higher speed and/or lower power devices. US Patent Application Publication No. 2003/0034529 to Fitzgerald et al. discloses a CMOS inverter also based on similar strained silicon technology.

授予Takagi的美國專利第6,472,685 B2號揭示了一半導體元件,其包含夾在矽層間的一層矽與碳層,以使其第二矽層的導帶及價帶承受伸張應變(tensile strain)。這樣,具有較小有效質量(effective mass)且已由施加於閘極上的電場所誘發的電子,便會被侷限在其第二矽層內,因此,即可認定其N型通道MOSFET具有較高的遷移率。US Patent No. 6,472,685 B2 to Takagi discloses a semiconductor device comprising a layer of silicon and carbon sandwiched between layers of silicon to subject the conduction and valence bands of the second silicon layer to tensile strain. In this way, electrons with smaller effective mass and induced by the electric field applied to the gate will be confined in the second silicon layer. Therefore, the N-channel MOSFET can be considered to have higher migration rate.

授予Ishibashi等人的美國專利第4,937,204號揭示了一超晶格,其中包含一複數層,該複數層少於八個單層(monolayer)且含有一部份(fractional)或雙元(binary)半導體層或一雙元化合物半導體層,該複數層係交替地以磊晶成長方式生長而成。其中的主電流方向係垂直於該超晶格之各層。U.S. Patent No. 4,937,204 to Ishibashi et al. discloses a superlattice comprising a plurality of layers less than eight monolayers and containing fractional or binary semiconductors layer or a binary compound semiconductor layer, the multiple layers are alternately grown by epitaxial growth. The main current directions are perpendicular to the layers of the superlattice.

授予Wang等人的美國專利第5,357,119號揭示了一矽-鍺短週期超晶格,其經由減少超晶格中的合金散射(alloy scattering)而達成較高遷移率。依據類似的原理,授予Candelaria的美國專利第5,683,934號揭示了具較佳遷移率之MOSFET,其包含一通道層,該通道層包括矽與一第二材料之一合金,該第二材料以使該通道層處於伸張應力下的百分比替代性地存在於矽晶格中。US Patent No. 5,357,119 to Wang et al. discloses a silicon-germanium short period superlattice that achieves higher mobility by reducing alloy scattering in the superlattice. Based on a similar principle, US Patent No. 5,683,934 to Candelaria discloses a MOSFET with better mobility comprising a channel layer comprising silicon alloyed with a second material that enables the The percentage of the channel layer under tensile stress is instead present in the silicon lattice.

授予Tsu的美國專利第5,216,262號揭示了一量子井結構,其包括兩個阻障區(barrier region)及夾於其間的一磊晶生長半導體薄層。每一阻障區各係由厚度範圍大致在二至六個交替之SiO2/Si單層所構成。阻障區間則另夾有厚得多之一矽區段。US Patent No. 5,216,262 to Tsu discloses a quantum well structure comprising two barrier regions and a thin epitaxially grown semiconductor layer sandwiched therebetween. Each barrier region is composed of SiO2/Si monolayers with a thickness ranging approximately from two to six alternating. The barrier region is sandwiched by a much thicker silicon section.

在2000年9月6日線上出版的應用物理及材料科學及製程(Applied Physics and Materials Science & Processing) pp. 391 – 402中,Tsu於一篇題為「矽質奈米結構元件中之現象」(Phenomena in silicon nanostructure devices)的文章中揭示了矽及氧之半導體-原子超晶格(semiconductor-atomic superlattice, SAS)。此矽/氧超晶格結構被揭露為對矽量子及發光元件有用。其中特別揭示如何製作並測試一綠色電輝光二極體(electroluminescence diode)結構。該二極體結構中的電流流動方向是垂直的,亦即,垂直於SAS之層。該文所揭示的SAS可包含由諸如氧原子等被吸附物種(adsorbed species) 及CO分子所分開的半導體層。在被吸附之氧單層以外所生長的矽,被描述為具有相當低缺陷密度之磊晶層。其中的一種SAS結構包含1.1 nm厚之一矽質部份,其約為八個原子層的矽,而另一結構的矽質部份厚度則有此厚度的兩倍。在物理評論通訊(Physics Review Letters),Vol. 89, No. 7 (2002年8月12日)中,Luo等人所發表的一篇題為「直接間隙發光矽之化學設計」(Chemical Design of Direct-Gap Light-Emitting Silicon)的文章,更進一步地討論了Tsu的發光SAS結構。In Applied Physics and Materials Science & Processing pp. 391 – 402, published online September 6, 2000, Tsu in an article entitled "Phenomena in Silicon Nanostructured Devices" (Phenomena in silicon nanostructure devices) discloses the semiconductor-atomic superlattice (SAS) of silicon and oxygen. The silicon/oxygen superlattice structure was revealed to be useful for silicon quantum and light-emitting devices. In particular, it is disclosed how to fabricate and test a green electroluminescence diode structure. The direction of current flow in the diode structure is vertical, ie, perpendicular to the layers of the SAS. The SAS disclosed herein may comprise semiconductor layers separated by adsorbed species, such as oxygen atoms, and CO molecules. Silicon grown outside the adsorbed oxygen monolayer is described as an epitaxial layer with a relatively low defect density. One of the SAS structures contains a 1.1 nm thick silicon portion, which is about eight atomic layers of silicon, while the other structure has a silicon portion that is twice as thick. In Physics Review Letters, Vol. 89, No. 7 (August 12, 2002), Luo et al. published an article entitled "Chemical Design of Direct Interstitial Luminescent Silicon". Direct-Gap Light-Emitting Silicon) discusses Tsu's light-emitting SAS structure further.

授予Wang等人之美國專利第7,105,895號揭示了薄的矽與氧、碳、氮、磷、銻、砷或氫的一阻障建構區塊,其可以將垂直流經晶格的電流減小超過四個十之次方冪次尺度(four orders of magnitude)。其絕緣層/阻障層容許低缺陷磊晶矽挨著絕緣層而沉積。US Patent No. 7,105,895 to Wang et al. discloses thin silicon and oxygen, carbon, nitrogen, phosphorus, antimony, arsenic, or hydrogen barrier building blocks that reduce the current flow vertically through the lattice by more than Four orders of magnitude. Its insulating/barrier layer allows low defect epitaxial silicon to be deposited next to the insulating layer.

已公開之Mears等人的英國專利申請案第2,347,520號揭示,非週期性光子能帶間隙 (aperiodic photonic band-gap, APBG)結構可應用於電子能帶間隙工程(electronic bandgap engineering)中。詳細而言,該申請案揭示,材料參數(material parameters),例如能帶最小值的位置、有效質量等等,皆可加以調節,以獲致具有所要能帶結構特性之新非週期性材料。其他參數,諸如導電性、熱傳導性及介電係數(dielectric permittivity)或導磁係數(magnetic permeability),則被揭露亦有可能被設計於材料之中。Published UK Patent Application No. 2,347,520 to Mears et al. discloses that aperiodic photonic band-gap (APBG) structures can be used in electronic bandgap engineering. In detail, the application discloses that material parameters, such as the location of band minima, effective mass, etc., can be adjusted to obtain new aperiodic materials with desired band structure properties. Other parameters, such as electrical conductivity, thermal conductivity, and dielectric permittivity or magnetic permeability, are disclosed and possibly engineered into the material.

除此之外,授予Wang等人的美國專利第6,376,337號揭示一種用於製作半導體元件絕緣或阻障層之方法,其包括在矽底材上沉積一層矽及至少一另外元素,使該沉積層實質上沒有缺陷,如此實質上無缺陷的磊晶矽便能沉積於該沉積層上。作為替代方案,一或多個元素構成之一單層,較佳者為包括氧元素,在矽底材上被吸收。夾在磊晶矽之間的複數絕緣層,形成阻障複合體。In addition, US Pat. No. 6,376,337 to Wang et al. discloses a method for making an insulating or barrier layer for semiconductor devices comprising depositing a layer of silicon and at least one other element on a silicon substrate such that the deposited layer Substantially defect-free, so that substantially defect-free epitaxial silicon can be deposited on the deposition layer. Alternatively, a monolayer of one or more elements, preferably including oxygen, is absorbed on the silicon substrate. A plurality of insulating layers sandwiched between epitaxial silicon to form a barrier complex.

儘管已有上述方法存在,但為了實現半導體元件效能的改進,進一步強化先進半導體材料及處理技術的使用,是吾人所期望的。Despite the existence of the aforementioned methods, it is desirable to further enhance the use of advanced semiconductor materials and processing techniques in order to achieve improvements in the performance of semiconductor devices.

一種半導體元件,其可包括一半導體底材,以及在該半導體底材上之一超晶格,該超晶格包含複數個堆疊之層群組。該超晶格之每一層群組可包含複數個堆疊之基底半導體單層,其界定出一基底半導體部份,以及被拘束在相鄰的基底半導體部份之一晶格內之至少一非半導體單層。被拘束在第一對相鄰的基底半導體部份之晶格內之第一至少一非半導體單層可包含第一非半導體材料,且被拘束在第二對相鄰的基底半導體部份之晶格內之第二至少一非半導體單層,可包含不同於該第一非半導體材料之第二非半導體材料。A semiconductor device may include a semiconductor substrate, and a superlattice on the semiconductor substrate, the superlattice comprising a plurality of stacked layer groups. Each layer group of the superlattice may include a plurality of stacked base semiconductor monolayers defining a base semiconductor portion and at least one non-semiconductor constrained within a lattice of adjacent base semiconductor portions single layer. The first at least one non-semiconductor monolayer constrained within the lattice of the first pair of adjacent base semiconductor portions may comprise a first non-semiconductor material and constrained to the crystals of the second pair of adjacent base semiconductor portions The second at least one non-semiconductor monolayer within the cell may comprise a second non-semiconductor material different from the first non-semiconductor material.

舉例而言,該第一非半導體材料可包括氧及氮,該第二非半導體材料可包括碳及氧當中至少一者。在一示例性實施例中,被拘束在第三對相鄰的基底半導體部份之晶格內之第三至少一非半導體單層,可包含不同於該第一非半導體材料及該第二非半導體材料之第三非半導體材料。For example, the first non-semiconductor material can include oxygen and nitrogen, and the second non-semiconductor material can include at least one of carbon and oxygen. In an exemplary embodiment, a third at least one non-semiconductor monolayer bound within the lattice of a third pair of adjacent base semiconductor portions may comprise a different material than the first non-semiconductor material and the second non-semiconductor material. The third non-semiconductor material of the semiconductor material.

在一示例性實施例中,該第一非半導體材料可包括氮,且該第一至少一非半導體單層可在該超晶格中之該第二至少一非半導體單層之上。根據另一示例,介於該第一至少一非半導體單層與該第二至少一非半導體單層間之一基底半導體部分可包含碳摻雜物。該基底半導體單層可包含例如矽。該半導體元件可更包括在該超晶格內部定義出一通道之隔開的源極區和汲極區,以及覆於該通道上方之一閘極。In an exemplary embodiment, the first non-semiconductor material can include nitrogen, and the first at least one non-semiconductor monolayer can be over the second at least one non-semiconductor monolayer in the superlattice. According to another example, a portion of the base semiconductor between the first at least one non-semiconductor monolayer and the second at least one non-semiconductor monolayer may include a carbon dopant. The base semiconductor monolayer may comprise, for example, silicon. The semiconductor device may further include separated source and drain regions defining a channel inside the superlattice, and a gate overlying the channel.

一種用於製作半導體元件之方法,其可包括在一半導體底材上形成一超晶格,該超晶格包含複數個堆疊之層群組。該超晶格之每一層群組可包含複數個堆疊之基底半導體單層,其界定出一基底半導體部份,以及被拘束在相鄰的基底半導體部份之一晶格內之至少一非半導體單層。被拘束在第一對相鄰的基底半導體部份之晶格內之第一至少一非半導體單層可包含第一非半導體材料,且,被拘束在第二對相鄰的基底半導體部份之晶格內之第二至少一非半導體單層,可包含不同於該第一非半導體材料之第二非半導體材料。A method for fabricating a semiconductor device may include forming a superlattice on a semiconductor substrate, the superlattice comprising a plurality of stacked layer groups. Each layer group of the superlattice may include a plurality of stacked base semiconductor monolayers defining a base semiconductor portion and at least one non-semiconductor constrained within a lattice of adjacent base semiconductor portions single layer. The first at least one non-semiconductor monolayer constrained within the lattice of a first pair of adjacent base semiconductor portions may comprise a first non-semiconductor material, and constrained between a second pair of adjacent base semiconductor portions. The second at least one non-semiconductor monolayer within the crystal lattice may comprise a second non-semiconductor material different from the first non-semiconductor material.

舉例而言,該第一非半導體材料可包括氧及氮,該第二非半導體材料可包括碳及氧當中至少一者。在一示例性實施例中,被拘束在第三對相鄰的基底半導體部份之晶格內之第三至少一非半導體單層,可包含不同於該第一非半導體材料及該第二非半導體材料之第三非半導體材料。For example, the first non-semiconductor material can include oxygen and nitrogen, and the second non-semiconductor material can include at least one of carbon and oxygen. In an exemplary embodiment, a third at least one non-semiconductor monolayer bound within the lattice of a third pair of adjacent base semiconductor portions may comprise a different material than the first non-semiconductor material and the second non-semiconductor material. The third non-semiconductor material of the semiconductor material.

在一示例性實施例中,該第一非半導體材料可包括氮,且該第一至少一非半導體單層可在該超晶格中之該第二至少一非半導體單層之上。根據另一示例,介於該第一至少一非半導體單層與該第二至少一非半導體單層間之一基底半導體部分可包含碳摻雜物。該基底半導體單層可包含例如矽。在一示例性實施例中,該方法可更包括在該超晶格內部形成一通道之隔開的源極區和汲極區,以及覆於該通道上方之一閘極。In an exemplary embodiment, the first non-semiconductor material can include nitrogen, and the first at least one non-semiconductor monolayer can be over the second at least one non-semiconductor monolayer in the superlattice. According to another example, a portion of the base semiconductor between the first at least one non-semiconductor monolayer and the second at least one non-semiconductor monolayer may include a carbon dopant. The base semiconductor monolayer may comprise, for example, silicon. In an exemplary embodiment, the method may further include forming a channel of spaced source and drain regions within the superlattice, and a gate overlying the channel.

茲參考說明書所附圖式詳細說明示例性實施例,圖式中所示者為示例性實施例。不過,實施例可以許多不同形式實施,且不應解釋為僅限於本說明書所提供之特定示例。相反的,這些實施例之提供,僅是為了使本發明所揭示之發明內容更為完整詳盡。在本說明書及圖式各處,相同圖式符號係指相同元件,而撇號(‘)及雙撇號(‘‘)則用以標示不同實施方式中之類似元件。Exemplary embodiments are described in detail with reference to the accompanying drawings in the specification, in which exemplary embodiments are shown. Embodiments, however, may be embodied in many different forms and should not be construed as limited to the specific examples provided in this specification. Rather, these embodiments are provided only to make the disclosure of the present invention complete and detailed. Throughout the specification and drawings, the same drawing symbols refer to the same elements, and prime (') and double prime ('') are used to designate similar elements in different embodiments.

一般而言,本發明涉及在源極區及汲極區內部使用經強化的超晶格材料,以降低蕭特基能障高度(Schottky barrier height),從而減少源極及汲極的接觸電阻。在本說明書及所附圖式中,該強化之半導體超晶格亦被稱為「MST」層或「MST技術」。In general, the present invention relates to the use of enhanced superlattice material within the source and drain regions to reduce the Schottky barrier height, thereby reducing the contact resistance of the source and drain. In this specification and the accompanying drawings, the enhanced semiconductor superlattice is also referred to as the "MST" layer or "MST technology".

詳言之,MST技術涉及進階的半導體材料,例如下文將進一步說明之超晶格25。申請人之理論認為(但申請人並不欲受此理論所束縛),本說明書所述之超晶格結構可減少電荷載子之有效質量,並由此而帶來較高之電荷載子遷移率。有效質量之各種定義在本發明所屬技術領域之文獻中已有說明。為衡量有效質量之改善程度,申請人分別為電子及電洞使用了「導電性反有效質量張量」(conductivity reciprocal effective mass tensor)

Figure 02_image001
Figure 02_image003
Figure 02_image005
為電子之定義,且:
Figure 02_image007
為電洞之定義,其中f為費米-狄拉克分佈(Fermi-Dirac distribution),EF為費米能量(Fermi energy),T為溫度,E(k,n)為電子在對應於波向量k及第n個能帶狀態中的能量,下標i及j係指直交座標x,y及z,積分係在布里羅因區(Brillouin zone,B.Z.)內進行,而加總則是在電子及電洞的能帶分別高於及低於費米能量之能帶中進行。 In particular, MST technology involves advanced semiconductor materials, such as superlattices 25, which will be described further below. It is the applicant's theory (but the applicant does not wish to be bound by this theory) that the superlattice structure described in this specification can reduce the effective mass of the charge carriers and thereby lead to higher charge carrier transport Rate. Various definitions of effective mass are described in the literature to which this invention pertains. To measure the improvement in effective mass, the applicant used the "conductivity reciprocal effective mass tensor" for electrons and holes, respectively.
Figure 02_image001
and
Figure 02_image003
:
Figure 02_image005
is the definition of electron, and:
Figure 02_image007
is the definition of a hole, where f is the Fermi-Dirac distribution, EF is the Fermi energy, T is the temperature, and E(k,n) is the electron in the corresponding wave vector k and the energy in the nth band state, the subscripts i and j refer to the orthogonal coordinates x, y and z, the integration is performed in the Brillouin zone (BZ), and the summation is performed in the electron and The energy bands of the holes are higher and lower than the Fermi energy, respectively.

申請人對導電性反有效質量張量之定義為,一材料之導電性反有效質量張量之對應分量之值較大者,其導電性之張量分量 (tensorial component)亦較大。申請人再度提出理論(但並不欲受此理論所束縛)認為,本說明書所述之超晶格可設定導電性反有效質量張量之值,以增進材料之導電性,例如電荷載子傳輸之典型較佳方向。適當張量項數之倒數,在此稱為導電性有效質量(conductivity effective mass)。換句話說,若要描述半導體材料結構的特性,如上文所述,在載子預定傳輸方向上計算出電子/電洞之導電性有效質量,便可用於分辨出較佳之材料。The applicant defines the conductivity inverse effective mass tensor as the larger value of the corresponding component of the conductivity inverse effective mass tensor of a material, the greater the tensorial component of the conductivity. The applicant again proposes a theory (but does not wish to be bound by this theory) that the superlattice described in this specification can set the value of the conductivity inverse effective mass tensor to enhance the conductivity of the material, such as charge carrier transport The typical preferred direction. The inverse of the appropriate number of tensor terms is referred to herein as the conductivity effective mass. In other words, in order to describe the characteristics of the semiconductor material structure, as described above, the effective mass of conductivity of electrons/holes in the predetermined transport direction of carriers can be calculated, which can be used to identify the better material.

申請人已辨識出可用於半導體元件之改進材料或結構。更具體而言,申請人所辨識出之材料或結構所具有之能帶結構,其電子及/或電洞之適當導電性有效質量之值,實質上小於對應於矽之值。這些結構除了有較佳遷移率之特點外,其形成或使用之方式,亦使其得以提供有利於各種不同元件類型應用之壓電、焦電及/或鐵電特性,下文將進一步討論之。Applicants have identified improved materials or structures that can be used in semiconductor devices. More specifically, the materials or structures identified by the applicant have band structures with values of the appropriate conductive effective mass for electrons and/or holes that are substantially smaller than those corresponding to silicon. In addition to being characterized by better mobility, these structures are formed or used in such a way that they provide piezoelectric, pyroelectric and/or ferroelectric properties that are beneficial for a variety of different device type applications, as discussed further below.

參考圖1及圖2,所述材料或結構是超晶格25的形式,其結構在原子或分子等級上受到控制,且可應用原子或分子層沉積之已知技術加以形成。超晶格25包含複數個堆疊排列之層群組45a~45n,如圖1之概要剖視圖所示。Referring to Figures 1 and 2, the material or structure is in the form of a superlattice 25, the structure of which is controlled at the atomic or molecular level and can be formed using known techniques for atomic or molecular layer deposition. The superlattice 25 includes a plurality of stacked layer groups 45a-45n, as shown in the schematic cross-sectional view of FIG. 1 .

如圖所示,超晶格25之每一層群組45a~45n包含複數個堆疊之基底半導體單層46,其界定出各別之基底半導體部份46a~46n與其上之一能帶修改層50。為清楚呈現起見,該能帶修改層50於圖1中以雜點表示。As shown, each layer group 45a-45n of the superlattice 25 includes a plurality of stacked base semiconductor monolayers 46 that define respective base semiconductor portions 46a-46n and a band modification layer 50 thereon . For clarity of presentation, the band modification layer 50 is represented by noise dots in FIG. 1 .

如圖所示,該能帶修改層50包含一非半導體單層,其係被拘束在相鄰之基底半導體部份之一晶格內。「被拘束在相鄰之基底半導體部份之一晶格內」一語,係指來自相對之基底半導體部份46a~46n之至少一些半導體原子,透過該些相對基底半導體部份間之非半導體單層50,以化學方式鍵結在一起,如圖2所示。一般而言,此一組構可經由控制以原子層沉積技術沉積在半導體部份46a~46n上面之非半導體材料之量而成為可能,這樣,可用之半導體鍵結位置便不會全部(亦即非完全或低於100%之涵蓋範圍)被連結至非半導體原子之鍵結佔滿,下文將進一步討論之。因此,當更多半導體材料單層46被沉積在一非半導體單層50上面或上方時,新沉積之半導體原子便可填入該非半導體單層下方其餘未被佔用之半導體原子鍵結位置。As shown, the band modification layer 50 comprises a non-semiconductor monolayer that is constrained within a lattice of adjacent substrate semiconductor portions. The term "constrained within a lattice of adjacent base semiconductor portions" means that at least some of the semiconductor atoms from the opposing base semiconductor portions 46a-46n pass through the non-semiconductor between the opposing base semiconductor portions Monolayer 50, chemically bonded together, as shown in FIG. In general, such a configuration may be made possible by controlling the amount of non-semiconductor material deposited over the semiconductor portions 46a-46n by atomic layer deposition techniques such that not all of the available semiconductor bond sites (ie, Incomplete or less than 100% coverage) is occupied by bonds to non-semiconductor atoms, as discussed further below. Thus, as more monolayer 46 of semiconductor material is deposited on or over a non-semiconductor monolayer 50, newly deposited semiconductor atoms can fill the remaining unoccupied semiconductor atomic bonding sites below the non-semiconductor monolayer.

在其他實施方式中,使用超過一個此種非半導體單層是可能的。應注意的是,本說明書提及非半導體單層或半導體單層時,係指該單層所用材料若形成於主體,會是非半導體或半導體。亦即,一種材料(例如矽)之單一單層所顯現之特性,並不必然與形成於主體或相對較厚層時所顯現之特性相同,熟習本發明所屬技術領域者當可理解。In other embodiments, it is possible to use more than one such non-semiconductor monolayer. It should be noted that when this specification refers to a non-semiconductor monolayer or a semiconductor monolayer, it means that the material used in the monolayer would be a non-semiconductor or a semiconductor if it were formed on the body. That is, the properties exhibited by a single monolayer of a material (eg, silicon) are not necessarily the same as those exhibited when formed in a bulk or relatively thick layer, as will be understood by those skilled in the art to which this invention pertains.

申請人之理論認為(但申請人並不欲受此理論所束縛),能帶修改層50與相鄰之基底半導體部份46a~46n,可使超晶格25在平行層之方向上,具有較原本為低之電荷載子適當導電性有效質量。換一種方向思考,此平行方向即正交於堆疊方向。該能帶修改層50亦可使超晶格25具有一般之能帶結構,同時有利地發揮作為該超晶格垂直上下方之多個層或區域間之絕緣體之作用。The applicant's theory is that (but the applicant does not wish to be bound by this theory), the band modification layer 50 and the adjacent base semiconductor portions 46a-46n can make the superlattice 25 in the direction parallel to the layers, have Appropriately conductive effective mass for lower charge carriers than originally. Thinking in another way, this parallel direction is orthogonal to the stacking direction. The band modification layer 50 can also enable the superlattice 25 to have a general energy band structure, while advantageously functioning as an insulator between multiple layers or regions vertically above and below the superlattice.

再者,此超晶格結構亦可有利地作為超晶格25垂直上下方多個層之間之摻雜物及/或材料擴散之阻擋。因此,這些特性可有利地允許超晶格25為高K值介電質提供一界面,其不僅可減少高K值材料擴散進入通道區,還可有利地減少不需要之散射效應,並改進裝置行動性,熟習本發明所屬技術領域者當可理解。Furthermore, the superlattice structure can also be advantageously used as a barrier for dopant and/or material diffusion between the layers above and below the superlattice 25 vertically. Thus, these properties may advantageously allow the superlattice 25 to provide an interface for high-k dielectrics that not only reduces diffusion of high-k material into the channel region, but also advantageously reduces unwanted scattering effects and improves the device The mobility should be understood by those skilled in the art to which the present invention pertains.

本發明之理論亦認為,包含超晶格25之半導體元件可因為較原本為低之導電性有效質量,而享有較高之電荷載子遷移率。在某些實施方式中,因為本發明而實現之能帶工程,超晶格25可進一步具有對諸如光電元件等尤其有利之實質上之直接能帶間隙。The theory of the present invention also holds that semiconductor devices including superlattice 25 may enjoy higher charge carrier mobility due to lower conductive effective mass than originally. In certain embodiments, because of the band engineering enabled by the present invention, the superlattice 25 may further have a substantially direct band gap that is particularly advantageous for, for example, optoelectronic devices.

超晶格25亦可在一上部層群組45n上方包含一頂蓋層52。該頂蓋層52可包含複數個基底半導體單層46。該頂蓋層52可包含基底半導體的2個至100個單層之間,且較佳者為10至50個單層之間。Superlattice 25 may also include a capping layer 52 over an upper layer group 45n. The capping layer 52 may include a plurality of base semiconductor monolayers 46 . The capping layer 52 may comprise between 2 and 100 monolayers of the base semiconductor, and preferably between 10 and 50 monolayers.

每一基底半導體部份46a~46n可包含由 IV 族半導體、 III-V 族半導體及 II-VI 族半導體所組成之群組中選定之一基底半導體。當然, IV 族半導體亦包含 IV-IV 族半導體,熟習本發明所屬技術領域者當可理解。更詳細而言,該基底半導體可包含,舉例而言,矽及鍺當中至少一者。Each of the base semiconductor portions 46a-46n may include a base semiconductor selected from the group consisting of group IV semiconductors, group III-V semiconductors, and group II-VI semiconductors. Of course, group IV semiconductors also include group IV-IV semiconductors, which should be understood by those skilled in the art to which the present invention pertains. In more detail, the base semiconductor may include, for example, at least one of silicon and germanium.

每一能帶修改層50可包含由,舉例而言,氧、氮、氟、碳及碳-氧所組成之群組中選定之一非半導體。該非半導體亦最好具有在沈積下一層期間保持熱穩定之特性,以從而有利於製作。在其他實施方式中,該非半導體可為相容於給定半導體製程之另一種無機或有機元素或化合物,熟習本發明所屬技術領域者當能理解。更詳細而言,該基底半導體可包含,舉例而言,矽及鍺當中至少一者。Each band modification layer 50 may include a non-semiconductor selected from the group consisting of, for example, oxygen, nitrogen, fluorine, carbon, and carbon-oxygen. The non-semiconductor also preferably has the property of remaining thermally stable during deposition of the next layer, thereby facilitating fabrication. In other embodiments, the non-semiconductor may be another inorganic or organic element or compound compatible with a given semiconductor process, as will be understood by those skilled in the art to which this invention pertains. In more detail, the base semiconductor may include, for example, at least one of silicon and germanium.

應注意的是,「單層(monolayer)」一詞在此係指包含一單一原子層,亦指包含一單一分子層。亦應注意的是,經由單一單層所提供之能帶修改層50,亦應包含層中所有可能位置未完全被佔據之單層(亦即非完全或低於100%之涵蓋範圍)。舉例來說,參照圖2之原子圖,其呈現以矽作為基底半導體材料並以氧作為能帶修改材料之一4/1重複結構。氧原子之可能位置僅有一半被佔據。It should be noted that the term "monolayer" herein refers to comprising a single atomic layer, as well as comprising a single molecular layer. It should also be noted that the band-modifying layer 50 provided via a single monolayer should also include monolayers in which all possible positions in the layer are not fully occupied (ie, incomplete or less than 100% coverage). For example, referring to the atomic diagram of FIG. 2, which presents a 4/1 repeating structure with silicon as the base semiconductor material and oxygen as the band-modifying material. Only half of the possible positions for oxygen atoms are occupied.

在其他實施方式及/或使用不同材料的情況中,則不必然是二分之一的佔據情形,熟習本發明所屬技術領域者當能理解。事實上,熟習原子沈積技術領域者當能理解,即便在此示意圖中亦可看出,在一給定單層中,個別的氧原子並非精確地沿著一平坦平面排列。舉例來說,較佳之佔據範圍是氧的可能位置有八分之一至二分之一被填滿,但在特定實施方式中其他佔據範圍亦可使用。In other embodiments and/or the use of different materials, it is not necessarily a one-half occupancy situation, as will be understood by those skilled in the art to which the present invention pertains. In fact, those skilled in the art of atomic deposition will understand that even in this schematic it can be seen that the individual oxygen atoms in a given monolayer are not exactly aligned along a flat plane. For example, a preferred occupancy is one where one-eighth to one-half of the possible sites for oxygen are filled, although other occupancies can be used in certain embodiments.

由於矽及氧目前廣泛應用於一般半導體製程中,故製造商將能夠立即應用本說明書所述之材質。原子沉積或單層沉積亦是目前廣泛使用之技術。因此,依照本發明之結合超晶格25之半導體元件,可立即加以採用並實施,熟習本發明所屬技術領域者當能理解。Since silicon and oxygen are now widely used in general semiconductor processes, manufacturers will be able to apply the materials described in this specification immediately. Atomic deposition or monolayer deposition is also a widely used technique. Therefore, the semiconductor device incorporating the superlattice 25 according to the present invention can be immediately adopted and implemented, as will be understood by those skilled in the art to which the present invention pertains.

申請人之理論認為(但申請人並不欲受此理論所束縛),對一超晶格而言,例如所述矽/氧超晶格,矽單層之數目理想應為七層或更少,以使該超晶格之能帶在各處皆為共同或相對均勻,以實現所欲之優點。圖1及圖2所示之矽/氧 4/1重複結構,已經過模型化以表示電子及電洞在X方向上之較佳遷移率。舉例而言,電子(就主體矽而言具等向性)之計算後導電性有效質量為0.26,而X方向上的4/1 矽/氧超晶格之計算後導電性有效質量則為0.12,兩者之比為0.46。同樣的,在電洞之計算結果方面,主體矽之值為0.36,該4/1 矽/氧超晶格之值則為0.16,兩者之比為0.44。Applicants theorize (but the applicant does not wish to be bound by this theory) that for a superlattice, such as the silicon/oxygen superlattice, the number of silicon monolayers should ideally be seven or less , so that the energy bands of the superlattice are common or relatively uniform everywhere to achieve the desired advantages. The silicon/oxygen 4/1 repeating structure shown in Figures 1 and 2 has been modeled to represent the preferred mobility of electrons and holes in the X-direction. For example, the calculated conductivity effective mass for electrons (isotropic with respect to the host silicon) is 0.26, and the calculated conductivity effective mass for a 4/1 silicon/oxygen superlattice in the X direction is 0.12 , the ratio of the two is 0.46. Similarly, in the calculation of holes, the value of the host silicon is 0.36, the value of the 4/1 silicon/oxygen superlattice is 0.16, and the ratio of the two is 0.44.

雖然此種方向上優先(directionally preferential)之特點可有利於某些半導體元件,其他半導體元件亦可得益於遷移率在平行於層群組之任何方向上更均勻之增加。電子及電洞兩者之遷移率同時增加,或僅其中一種電荷載子遷移率之增加,亦皆可有其好處,熟習本發明所屬技術領域者當可理解。While this directionally preferential feature may benefit some semiconductor devices, other semiconductor devices may also benefit from a more uniform increase in mobility in any direction parallel to the layer group. An increase in the mobility of both electrons and holes at the same time, or an increase in the mobility of only one of the charge carriers, may also have benefits, as will be understood by those skilled in the art to which the present invention pertains.

超晶格25之4/1 矽/氧實施方式之較低導電性有效質量,可不到非超晶格25者之導電性有效質量之三分之二,且此情形就電子及電洞而言皆然。當然,超晶格25可更包括至少一種類型之導電性摻雜物在其中,熟習本發明所屬技術領域者當能理解。The lower conductive effective mass of the 4/1 silicon/oxygen implementation of superlattice 25 may be less than two-thirds the conductive effective mass of the non-superlattice 25, and this is the case for electrons and holes All are. Of course, the superlattice 25 may further include at least one type of conductive dopant therein, as will be understood by those skilled in the art to which the present invention pertains.

茲另參考圖3說明依照本發明之具有不同特性之超晶格25’之另一實施方式。在此實施方式中,其重複模式為3/1/5/1。更詳細而言,最底下的基底半導體部份46a’有三個單層,第二底下的基底半導體部份46b’則有五個單層。此模式在整個超晶格25’重複。每一能帶修改層50’可包含一單一單層。就包含矽/氧之此種超晶格25’ 而言,其電荷載子遷移率之增進,係獨立於該些層之平面之定向。圖3中其他元件在此未提及者,係與前文參考圖1所討論者類似,故不再重複討論。Another embodiment of a superlattice 25' having different properties in accordance with the present invention will now be described with reference to FIG. In this embodiment, the repetition pattern is 3/1/5/1. In more detail, the lowermost base semiconductor portion 46a' has three monolayers, and the second lower base semiconductor portion 46b' has five monolayers. This pattern repeats throughout the superlattice 25'. Each band modification layer 50' may comprise a single monolayer. For such a superlattice 25' comprising silicon/oxygen, the increase in charge carrier mobility is independent of the orientation of the planes of the layers. Other elements in FIG. 3 that are not mentioned here are similar to those discussed above with reference to FIG. 1 , so the discussion will not be repeated.

在某些元件實施方式中,其超晶格之每一基底半導體部份可為相同數目之單層之厚度。在其他實施方式中,其超晶格之至少某些基底半導體部份可為相異數目之單層之厚度。在另外的實施方式中,其超晶格之每一基底半導體部份可為相異數目之單層之厚度。In certain device implementations, each base semiconductor portion of its superlattice may be the same number of monolayers thick. In other embodiments, at least some of the base semiconductor portions of its superlattice may be the thickness of a different number of monolayers. In other embodiments, each base semiconductor portion of its superlattice may be a different number of monolayers thick.

圖4A-4C呈現使用密度功能理論(Density Functional Theory, DFT)計算出之能帶結構。在本發明所屬技術領域中廣為習知的是,DFT通常會低估能帶間隙之絕對值。因此,間隙以上的所有能帶可利用適當之「剪刀形更正」(scissors correction)加以偏移。不過,能帶的形狀則是公認遠較為可靠。縱軸之能量應從此一角度解釋之。4A-4C present band structures calculated using Density Functional Theory (DFT). It is well known in the art to which the present invention pertains that DFT generally underestimates the absolute value of the band gap. Therefore, all bands above the gap can be shifted with appropriate "scissors correction". However, the shape of the energy band is considered to be far more reliable. The energy of the vertical axis should be interpreted from this perspective.

圖4A呈現主體矽 (以實線表示)及圖1之4/1 矽/氧超晶格25 (以虛線表示)兩者由迦碼點(G)計算出之能帶結構。圖中該些方向係指該4/1 矽/氧結構之單位晶格(unit cell)而非指矽之一般單位晶格,雖然圖中之方向(001)確實對應於一般矽單位晶格之方向(001),並因此而顯示出矽導帶最小值之預期位置。圖中方向(100)及方向(010)係對應於一般矽單位晶格之方向(110)及方向(-110)。熟習本發明所屬技術領域者當可理解,圖中之矽能帶係被摺疊收攏,以便在該4/1 矽/氧結構之適當反晶格方向(reciprocal lattice directions)上表示。FIG. 4A presents the band structures calculated from Gacode points (G) for both the host silicon (represented by the solid line) and the 4/1 silicon/oxygen superlattice 25 of FIG. 1 (represented by the dashed line). The directions in the figure refer to the unit cell of the 4/1 silicon/oxygen structure rather than the general unit cell of silicon, although the direction (001) in the figure does correspond to the general unit cell of silicon. direction (001), and thus shows the expected location of the silicon conduction band minimum. The direction (100) and the direction (010) in the figure correspond to the direction (110) and the direction (-110) of a general silicon unit cell. As will be understood by those skilled in the art to which the present invention pertains, the silicon energy bands in the figures are folded so as to be represented in the appropriate reciprocal lattice directions of the 4/1 silicon/oxygen structure.

由圖中可見,與主體矽相較,該4/1 矽/氧結構之導帶最小值係位於G點,而其價帶最小值則出現在方向(001)上布里羅因區之邊緣,吾人稱為Z點之處。吾人亦可注意到,與矽之導帶最小值曲率比較下,該4/1 矽/氧結構之導帶最小值之曲率較大,此係因額外氧層引入之微擾(perturbation)造成能帶分裂(band splitting)之故。It can be seen from the figure that, compared with the host silicon, the conduction band minimum of the 4/1 silicon/oxygen structure is located at point G, while the valence band minimum of the 4/1 silicon/oxygen structure appears at the edge of the Breroyne region in the direction (001). , which we call the Z point. We can also note that the curvature of the conduction band minimum of the 4/1 silicon/oxygen structure is larger compared to the curvature of the conduction band minimum of silicon, which is caused by the perturbation introduced by the additional oxygen layer. Because of band splitting.

圖4B呈現主體矽(實線)及該4/1 矽/氧超晶格25 (虛線)兩者由Z點計算出之能帶結構。此圖描繪出價帶在方向(100)上之增加曲率。Figure 4B presents the band structures calculated from the Z point for both the host silicon (solid line) and the 4/1 silicon/oxygen superlattice 25 (dashed line). This graph depicts the increasing curvature of the bid band in direction (100).

圖4C呈現主體矽(實線)及圖3之5/1/3/1 矽/氧超晶格25’ (虛線)兩者由迦碼點及Z點計算出之能帶結構之曲線圖。由於該5/1/3/1 矽/氧結構之對稱性,在 方向(100)及方向(010)上計算出之能帶結構是相當的。因此,在平行於各層之平面中,亦即垂直於堆疊方向(001)上,導電性有效質量及遷移率可預期為等向性。請注意,在該5/1/3/1 矽/氧之實施例中,導帶最小值及價帶最大值兩者皆位於或接近Z點。Figure 4C presents a graph of the band structures calculated from the Gacode and Z points for both the host silicon (solid line) and the 5/1/3/1 silicon/oxygen superlattice 25' (dashed line) of Figure 3 . Due to the symmetry of the 5/1/3/1 silicon/oxygen structure, the calculated band structures in the (100) and (010) directions are comparable. Thus, in a plane parallel to the layers, ie perpendicular to the stacking direction (001), the conductive effective mass and mobility can be expected to be isotropic. Note that in this 5/1/3/1 silicon/oxygen embodiment, both the conduction band minimum and the valence band maximum are at or near the Z point.

雖然曲率增加是有效質量減少的一個指標,但適當的比較及判別可經由導電性反有效質量張量之計算而進行。此使得本案申請人進一步推論,該5/1/3/1超晶格25’實質上應為直接能帶間隙。熟習本發明所屬技術領域者當可理解,光躍遷(optical transition)之適當矩陣元素(matrix element)是區別直接及間接能帶間隙行為之另一指標。Although an increase in curvature is an indicator of a decrease in effective mass, proper comparison and discrimination can be made through the calculation of the conductivity inverse effective mass tensor. This leads the applicant of this case to further infer that the 5/1/3/1 superlattice 25' should be a direct band gap in essence. As will be understood by those skilled in the art to which the present invention pertains, the appropriate matrix element of optical transitions is another indicator for distinguishing between direct and indirect bandgap behavior.

茲參考圖5至圖9,說明在不同非半導體單層50中結合不同類型非半導體材料之其他示例性超晶格結構。作為背景說明,Weeks等人在美國專利申請案第16/176,005號(該案已移轉予本案申請人,其全部內容茲此併入成為本說明書之一部),教示一種把前述MST材料當作氮吸除層(nitrogen gettering layer)使用之方法。舉例而言,藉由在磊晶沈積後使氮擴散至MST薄膜單層中,可增加氮的最終劑量,以大幅促進摻雜物阻擋力和遷移率強化(mobility enhancement)。5-9, other exemplary superlattice structures incorporating different types of non-semiconductor materials in different non-semiconductor monolayers 50 are illustrated. As a background note, Weeks et al. in US Patent Application Serial No. 16/176,005, which is assigned to the present applicant, the entire contents of which are hereby incorporated into this specification, teach a method for treating the aforementioned MST material as a A method for use as a nitrogen gettering layer. For example, by diffusing nitrogen into the MST film monolayer after epitaxial deposition, the final dose of nitrogen can be increased to greatly facilitate dopant blocking and mobility enhancement.

雖然此方法在許多應用中是有利的,但此方法之特徵為注入MST吸除層中的氮可穿透所有的非半導體單層50,因此在矽/氧超晶格的情況下,每一個非半導體單層將同時包含氧及氮。在某些示例中,除了吸除超晶格25內的氮之外,也將氮拘束在超晶格的某些部分或區域是吾人所期望的。此可透過,舉例而言,將諸如碳等另一非半導體材料引入一個或多個非半導體單層50中,或引入要拘束氮的區域下方之基底矽部份46a至46n中而達成。While this method is advantageous in many applications, it is characterized by the fact that the nitrogen implanted into the MST getter layer penetrates all non-semiconductor monolayers 50, so that in the case of a silicon/oxygen superlattice, each The non-semiconductor monolayer will contain both oxygen and nitrogen. In some instances, in addition to absorbing nitrogen within the superlattice 25, it may be desirable to confine nitrogen to certain portions or regions of the superlattice. This can be accomplished, for example, by introducing another non-semiconductor material, such as carbon, into the one or more non-semiconductor monolayers 50, or into the base silicon portions 46a-46n below the regions where nitrogen is to be confined.

詳細而言,在圖5所示範例中,一半導體(例如矽)底材121(其可具有圖案或不具有圖案)上可形成超晶格125,該超晶格以堆疊之順序包括氧單層150a、含碳摻雜之基底矽部份146a、氧單層150b、不含碳摻雜之基底矽部份146b、氧單層150c,以及含碳摻雜之基底矽部份146c。基底矽部份146c中的碳,可有利地協助阻擋或屏障氮153向下擴散至單層150a至150c中。In detail, in the example shown in FIG. 5 , a semiconductor (eg, silicon) substrate 121 (which may or may not be patterned) may be formed with a superlattice 125 comprising oxygen monolayers in a stacking sequence. Layer 150a, carbon-doped base silicon portion 146a, oxygen monolayer 150b, carbon-free base silicon portion 146b, oxygen monolayer 150c, and carbon-doped base silicon portion 146c. The carbon in the base silicon portion 146c can advantageously assist in blocking or blocking the diffusion of nitrogen 153 down into the monolayers 150a-150c.

詳細而言,在氮氣回火期間,氮153被基底矽部份146c中的碳阻擋,無法進入下部的氧單層150a至150c中。這可使大部分或全部的氮被捕捉在上部的MST間隔物及被插入之MST氧層中。被吸收的氮總量,將等於在沒有碳屏障的情況下,在整個超晶格125堆疊上均勻分佈的氮總量。In detail, during nitrogen annealing, nitrogen 153 is blocked by carbon in the base silicon portion 146c from entering the underlying oxygen monolayers 150a-150c. This allows most or all of the nitrogen to be trapped in the upper MST spacer and the intercalated MST oxygen layer. The total amount of nitrogen absorbed will be equal to the total amount of nitrogen that would be uniformly distributed over the entire stack of superlattice 125 without the carbon barrier.

因此,該堆疊中接下來的三個非半導體單層150d至150f(其間具有基底矽部份146d與146e)包含氧及氮。矽頂蓋層152可於上部的非半導體層150f上形成,並止於氮化物(SiN)層154中。圖示之實施例包括六個非半導體單層150a至150f(其中三個在最後的碳注入基底矽部份146c上方),其中的碳亦有助於使氧安定或阻止氧流失,但在其他實施例中亦可使用不同數目之基底半導體部份及非半導體單層。Thus, the next three non-semiconductor monolayers 150d-150f in the stack (with base silicon portions 146d and 146e therebetween) contain oxygen and nitrogen. A silicon capping layer 152 may be formed on the upper non-semiconductor layer 150f and terminate in the nitride (SiN) layer 154 . The illustrated embodiment includes six non-semiconductor monolayers 150a-150f (three of which are above the last carbon implanted base silicon portion 146c), where the carbon also helps to stabilize or prevent oxygen loss, but in other Different numbers of base semiconductor portions and non-semiconductor monolayers may also be used in embodiments.

為了更大的氮增強(nitrogen enhancement),在某些實施例中可在碳下方加入更多個氧單層。這將使得從表面抽取的總量氮153’全部堆積在碳上方之最頂部氧單層中。根據氮153’在上部不含碳之基底矽部份中堆積的程度,此方法可用於形成矽氮氧化物層或更大的量子力學操縱(quantum mechanical manipulation),熟習本發明所屬技術領域者當可理解。For greater nitrogen enhancement, more oxygen monolayers may be added under the carbon in some embodiments. This will allow the total amount of nitrogen 153' extracted from the surface to accumulate in the topmost oxygen monolayer above the carbon. Depending on the degree to which nitrogen 153' is deposited in the upper carbon-free base silicon portion, this method can be used to form silicon oxynitride layers or for larger quantum mechanical manipulations. Those skilled in the art of the present invention should understandable.

茲另參考圖6所示之超晶格125’,其繪示一類似組構,當中所有三個下部基底矽部份146a’至146c’皆包含碳。上部基底矽部份146d’至146e’不含碳,而氧單層150d’至150f’將接受所有的吸收氮153’劑量。表面的氮153’雖然受到整個超晶格125’堆疊中所有氧的吸引,但因爲被基底矽部份146a’至146c’中的碳阻擋,故無法進入下部氧單層150a’至150c’。在另一示例性實施例中,若有需要,可僅使基底矽部份146c’包含碳(亦即基底矽部份146a’至146b’不含碳)。Reference is also made to superlattice 125' shown in Figure 6, which depicts a similar configuration in which all three lower base silicon portions 146a'-146c' comprise carbon. The upper base silicon portions 146d' to 146e' are free of carbon, while the oxygen monolayers 150d' to 150f' will receive all of the absorbed nitrogen 153' dose. Surface nitrogen 153', although attracted by all the oxygen in the entire superlattice 125' stack, cannot enter the lower oxygen monolayers 150a' to 150c' because it is blocked by carbon in the underlying silicon portions 146a' to 146c'. In another exemplary embodiment, if desired, only the base silicon portion 146c' may include carbon (ie, the base silicon portions 146a' to 146b' do not contain carbon).

茲參考圖7說明又另一示例性實施例,其中超晶格225包含底材221、非半導體(例如氧)單層250a至250f、基底半導體(例如矽)部分246a至246e、半導體(例如矽)頂蓋層252,以及氮化物(例如SiN)層254,與前文之實施例相似。然而,在圖7所示組構中,基底矽部份246a至246e皆不含碳摻雜物。反之,碳源氣體(source gas)在氧單層250c的插入步驟期間被注入,以使該單層同時包含碳原子及氧原子。Still another exemplary embodiment is described with reference to FIG. 7, wherein superlattice 225 includes substrate 221, non-semiconductor (eg, oxygen) monolayers 250a-250f, base semiconductor (eg, silicon) portions 246a-246e, semiconductor (eg, silicon) portions 246a-246e ) capping layer 252, and a nitride (eg, SiN) layer 254, similar to the previous embodiments. However, in the configuration shown in FIG. 7, the base silicon portions 246a-246e are free of carbon dopant. Instead, a carbon source gas is injected during the insertion step of the oxygen monolayer 250c so that the monolayer contains both carbon and oxygen atoms.

應注意的是,在不同的實施例中,碳可被共同添加、在氧之後被添加,或在氧之前被添加。因此,在此示例中,用於阻擋氮253擴散至下部氧單層250a、250b的碳,係與氧一起存在單層250c中,而不是存在基底矽部份246a至246e任一者中。如圖所示,此示例僅中間的氧單層250c含碳,但在不同實施例中,其他氧單層亦可含碳,以將氮253進一步束縛在上部的兩個氧單層250e、250f和基底矽部份246d、246e中。換言之,原本會分佈在六個氧單層250a至250f中的全部或幾乎全部劑量的氮253,將被束縛在上部的兩個氧單層250e、250f中。圖8所示之超晶格225’即為一例,其底部三個氧單層250a’至250c’都有碳原子插入其中。It should be noted that in different embodiments, carbon may be added together, after oxygen, or before oxygen. Thus, in this example, the carbon used to block the diffusion of nitrogen 253 to the lower oxygen monolayers 250a, 250b is present in monolayer 250c along with oxygen, rather than in any of the base silicon portions 246a-246e. As shown, in this example only the middle oxygen monolayer 250c contains carbon, but in different embodiments other oxygen monolayers may also contain carbon to further bind nitrogen 253 to the upper two oxygen monolayers 250e, 250f and base silicon portions 246d, 246e. In other words, all or nearly all of the dose of nitrogen 253 that would otherwise be distributed in the six oxygen monolayers 250a to 250f will be bound in the upper two oxygen monolayers 250e, 250f. The superlattice 225' shown in FIG. 8 is an example, and the bottom three oxygen monolayers 250a' to 250c' have carbon atoms inserted therein.

在圖9所繪示之超晶格325之又另一示例性實施例中,可將碳插入基底矽部份及氧單層中。在圖示實施例中,超晶格325包含底材321、非半導體(例如氧)單層350a至350f、基底半導體(例如矽)部分346a至346e、半導體(例如矽)頂蓋層352,以及氮化物(例如SiN)層354,與前文之實施例相似。然而,所有下部的氧單層350a至350d及基底矽部份346a至346c皆含碳,以進一步將氮353束縛在上部的氧單層350e、350f及基底矽層346d、346e中。In yet another exemplary embodiment of the superlattice 325 depicted in FIG. 9, carbon may be inserted into the base silicon portion and the oxygen monolayer. In the illustrated embodiment, superlattice 325 includes substrate 321, non-semiconductor (eg, oxygen) monolayers 350a-350f, base semiconductor (eg, silicon) portions 346a-346e, semiconductor (eg, silicon) capping layer 352, and Nitride (eg, SiN) layer 354, similar to the previous embodiment. However, all of the lower oxygen monolayers 350a-350d and base silicon portions 346a-346c contain carbon to further trap nitrogen 353 in the upper oxygen monolayers 350e, 350f and base silicon layers 346d, 346e.

茲參考圖10之流程圖400及圖11,說明用於製作如前所述包括超晶格425之半導體元件420之示例性方法。從方框401處開始,可進行MST超晶格製程(方框402)以在半導體底材421上形成基礎MST結構,該結構透過植入或沈積而在一個或多個基底半導體(例如矽)部分及/或非半導體(例如氧)單層中具有阻擋材料(例如碳)。若碳係在氧單層插入期間注入,其劑量可為每次注入約1E15 atoms/cm 2(或更少),詳言之每次注入約2.5E14 atoms/cm 2,舉例而言。 Referring now to the flowchart 400 of FIG. 10 and to FIG. 11, an exemplary method for fabricating a semiconductor device 420 including a superlattice 425 as previously described is described. Beginning at block 401, an MST superlattice process (block 402) may be performed to form a base MST structure on a semiconductor substrate 421 that is implanted or deposited on one or more base semiconductors (eg, silicon) Parts and/or non-semiconductor (eg, oxygen) monolayers have barrier materials (eg, carbon) in them. If carbon is implanted during oxygen monolayer insertion, the dose may be about 1E15 atoms/cm 2 (or less) per implant, specifically about 2.5E14 atoms/cm 2 per implant, for example.

若碳係在基底半導體部份形成期間加入,則其濃度可介於0.01至10原子百分比之間,詳言之每一基底矽部份中的碳介於0.1及2原子百分比之間,舉例而言。碳源氣體可在基底矽層的化學氣相沈積過程中與矽前驅氣體共同添加。氣態碳源的示例可包括,舉例而言,丙烯(丙烯C 3H 6)、環丙烷(C 3H 6),及甲基矽烷(SiH 3CH 3)。另一種作法為僅將碳植入在下部的基底矽部份,上部的基底矽部份則不含碳。 If carbon is added during the formation of the base semiconductor portion, its concentration may be between 0.01 and 10 atomic percent, in particular between 0.1 and 2 atomic percent of carbon in each base silicon portion, for example, and Word. The carbon source gas may be added together with the silicon precursor gas during the chemical vapor deposition of the underlying silicon layer. Examples of gaseous carbon sources may include, for example, propylene (propylene C3H6 ), cyclopropane ( C3H6 ) , and methylsilane ( SiH3CH3 ) . Another approach is to implant carbon only in the lower base silicon portion, leaving the upper base silicon portion free of carbon.

如前所述,MST製程402的超晶格結構形成之後,可在元件製程403期間進行額外的處理步驟以製作半導體元件420,在此示例中為平面MOSFET。然而,熟習本發明所屬技術領域者當可理解,本說明書提及之材料和技術可用於許多不同類型之半導體元件,例如分離式元件及/或集成電路。如圖所示,MOSFET 420包括一底材421,源極區/汲極區422、423,源極延伸部/汲極延伸部426、427,及介於二者之間並由超晶格425所提供之通道區。源極矽化物層/汲極矽化物層430、431及源極接點/汲極接點432、433上覆於源極區/汲極區,熟習本發明所屬技術領域者當可理解。虛線434、435所示區域為選擇性殘留的部分,其一開始與超晶格425一起形成,後來則被重摻雜。在其他實施方式中,該些殘留超晶格區434、435可能不會存在,熟習本發明所屬技術領域者當可理解。如圖所示,一閘極435包含毗鄰超晶格425所提供通道之閘極絕緣層437,及該閘極絕緣層上面的閘電極層436。如圖所示,MOSFET 420亦提供側壁間隔物440、441。As previously described, after the superlattice structure of MST process 402 is formed, additional processing steps may be performed during device process 403 to fabricate semiconductor device 420, in this example a planar MOSFET. However, those skilled in the art to which this invention pertains will appreciate that the materials and techniques discussed in this specification can be used in many different types of semiconductor devices, such as discrete devices and/or integrated circuits. As shown, MOSFET 420 includes a substrate 421, source/drain regions 422, 423, source/drain extensions 426, 427, and a superlattice 425 therebetween Access area provided. The source/drain silicide layers 430, 431 and the source/drain contacts 432, 433 are overlying the source/drain regions, which should be understood by those skilled in the art to which the present invention pertains. The regions shown by dashed lines 434, 435 are selectively residual portions that were initially formed with the superlattice 425 and later heavily doped. In other embodiments, the residual superlattice regions 434 and 435 may not exist, as will be understood by those skilled in the art to which the present invention pertains. As shown, a gate 435 includes a gate insulating layer 437 adjacent to the channel provided by the superlattice 425, and a gate electrode layer 436 overlying the gate insulating layer. As shown, MOSFET 420 also provides sidewall spacers 440, 441.

前述技術可允許在氮回火期間利用氧單層及/或基底矽部分中的碳,使最接近底材的一些基底半導體層及非半導體單層與氮隔離,所述氮係氧從表面吸引而來。另一方面,在氮環境中不使用碳對氫終止(hydrogen terminated)MST超晶格進行回火,將使氮均勻分佈在整個MST堆疊中。The foregoing techniques may allow the use of oxygen monolayers and/or carbon in the underlying silicon portion during nitrogen annealing to isolate some of the underlying semiconductor layers and non-semiconductor monolayers closest to the substrate from nitrogen, which attracts oxygen from the surface come. On the other hand, tempering a hydrogen-terminated MST superlattice without carbon in a nitrogen environment would result in a uniform distribution of nitrogen throughout the MST stack.

換言之,本發明之方法係利用碳將部分或全部的氮劑量阻斷/隔離到上部的未阻塞的插入氧單層中。此可有利地增加上部的未阻塞超晶格層中的總氮含量。舉例而言,已證明具有1.58E15 atoms/cm 2碳的七層MST超晶格堆疊可產生足夠驅力,在900 oC的氮回火過程中吸引0.5E15 atoms/cm 2的氮。通過在一些下部的氧單層和/或基底矽部分中添加碳,申請人之理論認為(但申請人並不欲受此理論所束縛),在氮回火過程中被吸收的氮,會受到全部七個單層/基底矽部份中所有氧的吸引,但這些氮會因爲下部MST層中的碳阻擋原子而被束縛在不含碳的上部MST層中。在七個層的示例中,若下部的四個氧單層及/或基底矽部份已摻雜碳,那麼氮將被束縛在上部的三個氧單層及/或基底矽部份中,而使該些層接收原本會分佈在整個七層中的總劑量。 In other words, the method of the present invention utilizes carbon to block/sequester part or all of the nitrogen dose into the upper unblocked intercalated oxygen monolayer. This can advantageously increase the total nitrogen content in the upper unblocked superlattice layer. For example, a seven-layer MST superlattice stack with 1.58E15 atoms/cm 2 of carbon has been shown to generate sufficient driving force to attract 0.5E15 atoms/cm 2 of nitrogen during nitrogen tempering at 900 ° C. By adding carbon to some of the lower oxygen monolayers and/or portions of the base silicon, Applicants theorize (but Applicants do not wish to be bound by this theory) that the nitrogen absorbed during the nitrogen tempering process will be affected by All oxygen is attracted in all seven monolayers/substrate silicon portions, but these nitrogens are trapped in the carbon-free upper MST layer due to carbon blocking atoms in the lower MST layer. In the seven-layer example, if the lower four oxygen monolayers and/or the base silicon portion have been doped with carbon, then nitrogen will be bound in the upper three oxygen monolayers and/or the base silicon portion, Instead, the layers receive the total dose that would otherwise be distributed throughout the seven layers.

相對於遷移率強化(例如在MOSFET電晶體的通道中),局部增強(localized enhancement)可能更大,因爲靠近表面的氮含量較高。在絕緣體上矽(SOI)的應用中,目標可能是使MST超晶格中數個不含碳層的下方具有足夠數目的含碳層,這樣不含碳的層便可因氮含量提高而變得絕緣。Relative to mobility enhancement (eg, in the channel of a MOSFET transistor), the localized enhancement may be greater because of the higher nitrogen content near the surface. In silicon-on-insulator (SOI) applications, the goal may be to have a sufficient number of carbon-containing layers beneath several carbon-free layers in the MST superlattice so that the carbon-free layers can be changed due to increased nitrogen content must be insulated.

熟習本發明所屬技術領域者將受益於本說明書揭示之內容及所附圖式而構思出各種修改及其他實施方式。因此,應了解的是,本發明不限於本說明書所述之特定實施方式,且相關修改及實施方式均落入以下申請專利範圍所界定之範疇。Various modifications and other embodiments will come to mind to those skilled in the art to which this invention pertains having the benefit of the teachings disclosed in this specification and the accompanying drawings. Therefore, it should be understood that the present invention is not limited to the specific embodiments described in this specification, and related modifications and embodiments all fall within the scope defined by the following claims.

21,21’:底材 25,25’:超晶格 45a~45n,45a’~45n’:層群組 46,46’:基底半導體單層 46a~46n,46a’~46n’:基底半導體部份 50,50’:能帶修改層 52,52’:頂蓋層 121,121’,221,221’,321:底材 125,125’,225,225’,325,425:超晶格 146a,146a’,146b,146b’,146c,146c’,146d,146d’,146e,146e’,246a,246a’,246b,246b’,246c,246c’,246d,246d’,246e,246e,346a,346b,346c,346d,346e:基底矽部份 150a,150a’,150b,150b’,150c,150c’,150d,150d’,150e,150e’,150f,150f’,250a,250a’,250b,250b’,250c,250c’,250d,250d’,250e,250e’,250f,250f’,350a,350b,350c,350d,350e,350f:氧單層 152,152’,252,252’,352:頂蓋層 153,153’,253,253’,353:氮 154,154’,254,254’,354:氮化物層 420:半導體元件 421:半導體底材 422:源極區 423:汲極區 426:源極延伸部 427:汲極延伸部 430:源極矽化物層 431:汲極矽化物層 432:源極接點 433:汲極接點 434,435:殘留超晶格區 435:閘極 436:閘電極層 437:閘極絕緣層 440,441:側壁間隔物 21,21': Substrate 25,25': superlattice 45a~45n, 45a'~45n': layer group 46,46': base semiconductor monolayer 46a~46n, 46a'~46n': base semiconductor part 50, 50': can be modified with layers 52,52': top cover 121, 121', 221, 221', 321: Substrate 125, 125', 225, 225', 325, 425: superlattice 146a,146a',146b,146b',146c,146c',146d,146d',146e,146e',246a,246a',246b,246b',246c,246c',246d,246d',246e,246e,346a ,346b,346c,346d,346e: Base silicon part 150a,150a',150b,150b',150c,150c',150d,150d',150e,150e',150f,150f',250a,250a',250b,250b',250c,250c',250d,250d', 250e, 250e', 250f, 250f', 350a, 350b, 350c, 350d, 350e, 350f: Oxygen Monolayer 152, 152', 252, 252', 352: Cap layer 153, 153', 253, 253', 353: nitrogen 154, 154', 254, 254', 354: Nitride layer 420: Semiconductor Components 421: Semiconductor substrates 422: source region 423: drain region 426: source extension 427: drain extension 430: source silicide layer 431: drain silicide layer 432: source contact 433: Drain contact 434, 435: Residual superlattice regions 435: Gate 436: Gate electrode layer 437: gate insulating layer 440, 441: Sidewall Spacers

圖1為依照一示例實施例之半導體元件用超晶格之放大概要剖視圖。1 is an enlarged schematic cross-sectional view of a superlattice for a semiconductor device according to an example embodiment.

圖2為圖1所示超晶格之一部份之透視示意原子圖。FIG. 2 is a perspective schematic atomic diagram of a portion of the superlattice shown in FIG. 1 .

圖3為依照另一示例實施例之超晶格放大概要剖視圖。3 is an enlarged schematic cross-sectional view of a superlattice according to another example embodiment.

圖4A為習知技術之主體矽及圖1-2所示之4/1 矽/氧超晶格兩者從迦碼點(G)計算所得能帶結構之圖。FIG. 4A is a graph of the band structures calculated from the Gacode point (G) for both the prior art host silicon and the 4/1 silicon/oxygen superlattice shown in FIGS. 1-2.

圖4B為習知技術之主體矽及圖1-2所示之4/1 矽/氧超晶格兩者從Z點計算所得能帶結構之圖。4B is a graph of the band structures calculated from the Z point for both the conventional host silicon and the 4/1 silicon/oxygen superlattice shown in FIGS. 1-2.

圖4C為習知技術之主體矽及圖3所示之5/1/3/1 矽/氧超晶格兩者從G點與Z點計算所得能帶結構之圖。FIG. 4C is a graph of the band structures calculated from the G point and the Z point for both the conventional host silicon and the 5/1/3/1 silicon/oxygen superlattice shown in FIG. 3 .

圖5至圖9繪示不同示例性實施例中具有相異非半導體材料層之超晶格之概要剖視圖。5-9 illustrate schematic cross-sectional views of superlattices having layers of distinct non-semiconductor materials in various exemplary embodiments.

圖10繪示根據一示例性實施例製作包含圖5至圖9所繪示之任一超晶格之半導體元件之方法之流程圖。10 is a flowchart illustrating a method of fabricating a semiconductor device including any of the superlattices depicted in FIGS. 5-9 according to an exemplary embodiment.

圖11繪示可根據圖10之方法製作之示例性半導體元件之概要剖視圖。FIG. 11 shows a schematic cross-sectional view of an exemplary semiconductor device that may be fabricated according to the method of FIG. 10 .

420:半導體元件 420: Semiconductor Components

421:半導體底材 421: Semiconductor substrates

422:源極區 422: source region

423:汲極區 423: drain region

426:源極延伸部 426: source extension

427:汲極延伸部 427: drain extension

430:源極矽化物層 430: source silicide layer

431:汲極矽化物層 431: drain silicide layer

432:源極接點 432: source contact

433:汲極接點 433: Drain contact

434,435:殘留超晶格區 434, 435: Residual superlattice regions

435:閘極 435: Gate

436:閘電極層 436: Gate electrode layer

437:閘極絕緣層 437: gate insulating layer

440,441:側壁間隔物 440, 441: Sidewall Spacers

Claims (16)

一種半導體元件,其包括: 一半導體底材上之一超晶格,該超晶格包括複數個堆疊之層群組,各層群組包含複數個堆疊之基底半導體單層,其界定出一基底半導體部份,以及被拘束在相鄰的基底半導體部份之一晶格內之至少一非半導體單層; 其中被拘束在第一對相鄰的基底半導體部份之晶格內之第一至少一非半導體單層包含第一非半導體材料,且其中被拘束在第二對相鄰的基底半導體部份之晶格內之第二至少一非半導體單層包含不同於該第一非半導體材料之第二非半導體材料;且 其中至少一基底半導體部份包含分佈在整個該至少一基底半導體部份中之碳摻雜物。 A semiconductor element comprising: A superlattice on a semiconductor substrate, the superlattice comprising a plurality of stacked layer groups, each layer group comprising a plurality of stacked base semiconductor monolayers, defining a base semiconductor portion, and constrained in at least one non-semiconductor monolayer within a lattice of adjacent semiconductor portions of the substrate; The first at least one non-semiconductor monolayer wherein constrained within the lattice of a first pair of adjacent base semiconductor portions comprises a first non-semiconductor material, and wherein constrained between a second pair of adjacent base semiconductor portions The second at least one non-semiconductor monolayer within the crystal lattice comprises a second non-semiconductor material different from the first non-semiconductor material; and At least one of the base semiconductor portions includes carbon dopants distributed throughout the at least one base semiconductor portion. 如請求項1之半導體元件,其中該第一非半導體材料包括氧及氮。The semiconductor device of claim 1, wherein the first non-semiconductor material includes oxygen and nitrogen. 如請求項1之半導體元件,其中該第二非半導體材料包括碳及氧當中至少一者。The semiconductor device of claim 1, wherein the second non-semiconductor material includes at least one of carbon and oxygen. 如請求項1之半導體元件,其中被拘束在第三對相鄰的基底半導體部份之晶格內之第三至少一非半導體單層包含不同於該第一非半導體材料及該第二非半導體材料之第三非半導體材料。The semiconductor device of claim 1, wherein a third at least one non-semiconductor monolayer bound within the lattice of a third pair of adjacent base semiconductor portions comprises a material different from the first non-semiconductor and the second non-semiconductor The third non-semiconductor material of the material. 如請求項1之半導體元件,其中該第一非半導體材料包括氮,且其中該第一至少一非半導體單層位於該超晶格中之該第二至少一非半導體單層之上。The semiconductor device of claim 1, wherein the first non-semiconductor material comprises nitrogen, and wherein the first at least one non-semiconductor monolayer is located over the second at least one non-semiconductor monolayer in the superlattice. 如請求項1之半導體元件,其中包含分佈在整個該至少一基底半導體部份之碳摻雜物之該至少一基底矽部分,係介於該第一至少一非半導體單層與該第二至少一非半導體單層之間。The semiconductor device of claim 1, wherein the at least one base silicon portion comprising carbon dopants distributed throughout the at least one base semiconductor portion is interposed between the first at least one non-semiconductor monolayer and the second at least one between a non-semiconductor monolayer. 如請求項1之半導體元件,其中該些基底半導體單層包含矽。The semiconductor device of claim 1, wherein the base semiconductor monolayers comprise silicon. 如請求項1之半導體元件,其更包括形成在該超晶格內部定義出一通道之隔開的源極區和汲極區,以及覆於該通道上方之一閘極。The semiconductor device of claim 1, further comprising separated source and drain regions formed inside the superlattice to define a channel, and a gate overlying the channel. 一種用於製作一半導體元件之方法,該方法包括: 在一半導體底材上形成一超晶格,該超晶格包括複數個堆疊之層群組,各層群組包含複數個堆疊之基底半導體單層,其界定出一基底半導體部份,以及被拘束在相鄰的基底半導體部份之一晶格內之至少一非半導體單層; 其中被拘束在第一對相鄰的基底半導體部份之晶格內之第一至少一非半導體單層包含第一非半導體材料,且其中被拘束在第二對相鄰的基底半導體部份之晶格內之第二至少一非半導體單層包含不同於該第一非半導體材料之第二非半導體材料;且 其中至少一基底半導體部份包含分佈在整個該至少一基底半導體部份之碳摻雜物。 A method for fabricating a semiconductor device, the method comprising: A superlattice is formed on a semiconductor substrate, the superlattice includes a plurality of stacked layer groups, each layer group includes a plurality of stacked base semiconductor monolayers, which define a base semiconductor portion, and are constrained at least one non-semiconductor monolayer within a lattice of adjacent substrate semiconductor portions; The first at least one non-semiconductor monolayer wherein constrained within the lattice of a first pair of adjacent base semiconductor portions comprises a first non-semiconductor material, and wherein constrained between a second pair of adjacent base semiconductor portions The second at least one non-semiconductor monolayer within the crystal lattice comprises a second non-semiconductor material different from the first non-semiconductor material; and At least one of the base semiconductor portions includes carbon dopants distributed throughout the at least one base semiconductor portion. 如請求項9之方法,其中該第一非半導體材料包括氧及氮。The method of claim 9, wherein the first non-semiconductor material comprises oxygen and nitrogen. 如請求項9之方法,其中該第二非半導體材料包括碳及氧當中至少一者。The method of claim 9, wherein the second non-semiconductor material includes at least one of carbon and oxygen. 如請求項9之方法,其中被拘束在第三對相鄰的基底半導體部份之晶格內之第三至少一非半導體單層包含不同於該第一非半導體材料及該第二非半導體材料之第三非半導體材料。9. The method of claim 9, wherein a third at least one non-semiconductor monolayer bound within the lattice of a third pair of adjacent semiconductor portions of the base comprises a material different from the first non-semiconductor material and the second non-semiconductor material The third non-semiconductor material. 如請求項9之方法,其中該第一非半導體材料包括氮,且其中該第一至少一非半導體單層位於該超晶格中之該第二至少一非半導體單層之上。9. The method of claim 9, wherein the first non-semiconductor material comprises nitrogen, and wherein the first at least one non-semiconductor monolayer is located over the second at least one non-semiconductor monolayer in the superlattice. 如請求項9之方法,其中包含分佈在整個該至少一基底半導體部份之碳摻雜物之該至少一基底矽部分,係介於該第一至少一非半導體單層與該第二至少一非半導體單層之間。The method of claim 9, wherein the at least one base silicon portion comprising carbon dopants distributed throughout the at least one base semiconductor portion is between the first at least one non-semiconductor monolayer and the second at least one between non-semiconductor monolayers. 如請求項9之方法,其中該些基底半導體單層包含矽。The method of claim 9, wherein the base semiconductor monolayers comprise silicon. 如請求項9之方法,其更包括形成在該超晶格內部定義出一通道之隔開的源極區和汲極區,以及覆於該通道上方之一閘極。The method of claim 9, further comprising forming separate source and drain regions defining a channel within the superlattice, and a gate overlying the channel.
TW111118876A 2020-02-26 2021-02-19 Semiconductor device including a superlattice with different non-semiconductor material monolayers and associated methods TW202234700A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16/801,305 2020-02-26
US16/801,305 US11177351B2 (en) 2020-02-26 2020-02-26 Semiconductor device including a superlattice with different non-semiconductor material monolayers
US16/801,287 2020-02-26
US16/801,287 US11302823B2 (en) 2020-02-26 2020-02-26 Method for making semiconductor device including a superlattice with different non-semiconductor material monolayers

Publications (1)

Publication Number Publication Date
TW202234700A true TW202234700A (en) 2022-09-01

Family

ID=74870924

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110105867A TWI760113B (en) 2020-02-26 2021-02-19 Semiconductor device including a superlattice with different non-semiconductor material monolayers and associated methods
TW111118876A TW202234700A (en) 2020-02-26 2021-02-19 Semiconductor device including a superlattice with different non-semiconductor material monolayers and associated methods

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110105867A TWI760113B (en) 2020-02-26 2021-02-19 Semiconductor device including a superlattice with different non-semiconductor material monolayers and associated methods

Country Status (4)

Country Link
EP (1) EP4111504A1 (en)
CN (1) CN115336003A (en)
TW (2) TWI760113B (en)
WO (1) WO2021173463A1 (en)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61210679A (en) 1985-03-15 1986-09-18 Sony Corp Semiconductor device
US5216262A (en) 1992-03-02 1993-06-01 Raphael Tsu Quantum well structures useful for semiconductor devices
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US6376337B1 (en) 1997-11-10 2002-04-23 Nanodynamics, Inc. Epitaxial SiOx barrier/insulation layer
JP3443343B2 (en) 1997-12-03 2003-09-02 松下電器産業株式会社 Semiconductor device
GB9905196D0 (en) 1999-03-05 1999-04-28 Fujitsu Telecommunications Eur Aperiodic gratings
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US6897472B2 (en) * 2003-06-26 2005-05-24 Rj Mears, Llc Semiconductor device including MOSFET having band-engineered superlattice
US7153763B2 (en) * 2003-06-26 2006-12-26 Rj Mears, Llc Method for making a semiconductor device including band-engineered superlattice using intermediate annealing
WO2007011790A1 (en) * 2005-07-15 2007-01-25 Mears Technologies, Inc. Semiconductor device including a channel with a non-semiconductor monolayer and associated methods
WO2018231929A1 (en) * 2017-06-13 2018-12-20 Atomera Incorporated Semiconductor device with recessed channel array transistor (rcat) including a superlattice and associated methods

Also Published As

Publication number Publication date
TW202133438A (en) 2021-09-01
CN115336003A (en) 2022-11-11
TWI760113B (en) 2022-04-01
WO2021173463A1 (en) 2021-09-02
EP4111504A1 (en) 2023-01-04

Similar Documents

Publication Publication Date Title
US11664427B2 (en) Vertical semiconductor device with enhanced contact structure and associated methods
US9722046B2 (en) Semiconductor device including a superlattice and replacement metal gate structure and related methods
US7531828B2 (en) Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US7598515B2 (en) Semiconductor device including a strained superlattice and overlying stress layer and related methods
AU2006344095B2 (en) Method for making a semiconductor device including band-engineered superlattice using intermediate annealing
EP3662516A1 (en) Method of making a semiconductor device with a buried insulating layer formed by annealing a superlattice
JP2017126747A (en) Methods for making a semiconductor device including atomic layer structures using dinitrogen oxide as oxygen source
US20070020860A1 (en) Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US20070010040A1 (en) Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US11177351B2 (en) Semiconductor device including a superlattice with different non-semiconductor material monolayers
WO2020102283A1 (en) Finfet including source and drain regions with dopant diffusion blocking superlattice layers to reduce contact resistance and associated methods
US11302823B2 (en) Method for making semiconductor device including a superlattice with different non-semiconductor material monolayers
TWI760113B (en) Semiconductor device including a superlattice with different non-semiconductor material monolayers and associated methods
TWI772839B (en) Varactor with hyper-abrupt junction region including spaced-apart superlattices and associated methods
TWI751609B (en) Varactor with hyper-abrupt junction region including a superlattice and associated methods
TWI806553B (en) Semiconductor device including a superlattice and enriched silicon 28 epitaxial layer and associated methods
EP1905092A1 (en) Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions and associated methods
TW202105727A (en) Semiconductor devices including hyper-abrupt junction region including spaced-apart superlattices and associated methods
WO2022245889A1 (en) Semiconductor device including a superlattice providing metal work function tuning and associated methods