TW202231904A - Vapor deposition raw material for use in production of film containing indium and at least one another metal, and method for producing film containing indium and at least one another metal - Google Patents

Vapor deposition raw material for use in production of film containing indium and at least one another metal, and method for producing film containing indium and at least one another metal Download PDF

Info

Publication number
TW202231904A
TW202231904A TW110145133A TW110145133A TW202231904A TW 202231904 A TW202231904 A TW 202231904A TW 110145133 A TW110145133 A TW 110145133A TW 110145133 A TW110145133 A TW 110145133A TW 202231904 A TW202231904 A TW 202231904A
Authority
TW
Taiwan
Prior art keywords
general formula
indium
vapor deposition
raw material
film containing
Prior art date
Application number
TW110145133A
Other languages
Chinese (zh)
Other versions
TWI788126B (en
Inventor
高橋伸尚
水谷文一
東慎太郎
Original Assignee
日商高純度化學研究所股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2021189893A external-priority patent/JP2022089772A/en
Application filed by 日商高純度化學研究所股份有限公司 filed Critical 日商高純度化學研究所股份有限公司
Publication of TW202231904A publication Critical patent/TW202231904A/en
Application granted granted Critical
Publication of TWI788126B publication Critical patent/TWI788126B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic Table
    • C07F3/06Zinc compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

Provided are: a chemical vapor deposition raw material for use in the production of a film containing indium and at least one another metal, which can be stored stably for a long period of time and is easy to handle; and a method for producing the film. A vapor deposition raw material for use in the production of a film containing indium and at least one another metal comprises 100 mol of a compound represented by general formula (1) or (2) and 0.1 mol or more of at least one of compounds respectively represented by general formulae (3) to (6). (1): In(C5H4R) (2): In(C5(CH3)4R) (3): M1(C5H4R) (4): M2(C5H4R)n (5): M1(C5(CH3)4R) (6): M2(C5(CH3)4R)n (In general formulae (1) to (6), R's independently represent a hydrogen atom or an alkyl group having 1 to 6 carbon atoms; in general formulae (3) and (5), M1 represents a metal other than indium ; and in general formulae (4) and (6), M2 represents a metal other than indium and n represents an integer of 2 to 4.).

Description

用以製造含有銦以及一種以上其他金屬之膜的蒸鍍用原料以及含有銦以及一種以上其他金屬之膜的製造方法Raw material for vapor deposition for producing film containing indium and one or more other metals, and method for producing the film containing indium and one or more other metals

本發明係關於一種用以藉由化學蒸鍍(CVD(Chemical Vapor Deposition;化學氣相沈積))來形成含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料。The present invention relates to a raw material for chemical vapor deposition for forming a film containing indium and one or more other metals by chemical vapor deposition (CVD (Chemical Vapor Deposition)).

透明導電膜由於具有導電性、以及對於可見光線之優異的光線穿透性,故而被利用於太陽電池、液晶顯示元件、其他各種受光元件的電極等,進而有效利用近紅外線區域內的反射吸收特性而亦被利用於汽車或建築物的窗玻璃等所使用之反射膜或各種抗靜電膜等。Transparent conductive films are used for electrodes of solar cells, liquid crystal display elements, and various other light-receiving elements due to their electrical conductivity and excellent light transmittance to visible light, and also to effectively utilize the reflection and absorption properties in the near-infrared region. It is also used in reflective films or various antistatic films used in window glass of automobiles or buildings.

一般而言,前述透明導電膜中利用有包含鋁、鎵、銦或錫作為摻雜劑之氧化鋅、或包含錫、鎢或鈦作為摻雜劑之氧化銦等。尤其是,包含錫作為摻雜劑之氧化銦膜被稱為ITO膜,作為低電阻的透明導電膜而於工業上被廣泛利用。最近,將作為銦、鎵以及鋅之複合氧化物膜之被稱為IGZO之結晶性之氧化物半導體安裝於適於液晶面板之薄膜電晶體(TFT;Thin Film Transistor)。Generally, zinc oxide containing aluminum, gallium, indium, or tin as a dopant, or indium oxide containing tin, tungsten, or titanium as a dopant, etc. are used in the aforementioned transparent conductive film. In particular, an indium oxide film containing tin as a dopant is called an ITO film, and is widely used industrially as a low-resistance transparent conductive film. Recently, a crystalline oxide semiconductor called IGZO, which is a composite oxide film of indium, gallium, and zinc, is mounted on a thin film transistor (TFT; Thin Film Transistor) suitable for liquid crystal panels.

前述之ITO膜或IGZO膜係藉由物理蒸鍍(PVD(Physical Vapor Deposition;物理氣相沈積))或化學蒸鍍(CVD)而成膜。尤其是根據作為化學蒸鍍(CVD)之一的原子層沈積(ALD;Atomic Layer Deposition),能夠於可撓性的有機基板將原子級均勻厚度的覆膜形成於存在凹凸之表面(例如非專利文獻1)。The aforementioned ITO film or IGZO film is formed by physical vapor deposition (PVD (Physical Vapor Deposition)) or chemical vapor deposition (CVD). In particular, according to atomic layer deposition (ALD; Atomic Layer Deposition), which is one of chemical vapor deposition (CVD), it is possible to form a film with an atomically uniform thickness on a flexible organic substrate on a surface with irregularities (eg, non-patented). Reference 1).

作為供給於此種成膜製程之銦材料,已知有多種於供給溫度下為固體狀態之材料,但就容易供給且容易以均勻濃度供給蒸汽之觀點而言,液體材料要優於固體材料。於專利文獻1中揭示有一種氧化銦(In 2O 3)膜的成膜方法,係使用具有烷基環戊二烯基骨架之銦化合物及臭氧,利用高溫下之ALD法,能夠形成高產出量的含銦膜。於專利文獻1中揭示有藉由將具有分支結構之烴基作為取代基導入至環戊二烯基配位基,提高光穩定性以及熱穩定性。 As the indium material to be supplied to such a film-forming process, various materials that are in a solid state at the supply temperature are known, but liquid materials are preferable to solid materials in terms of easy supply and easy supply of vapor at a uniform concentration. Patent Document 1 discloses a method for forming an indium oxide (In 2 O 3 ) film, which uses an indium compound having an alkylcyclopentadienyl skeleton and ozone, and can form a high-yield ALD method at a high temperature. Amount of indium-containing film. In Patent Document 1, it is disclosed that light stability and thermal stability are improved by introducing a hydrocarbon group having a branched structure as a substituent to a cyclopentadienyl ligand.

關於用以形成氧化銦膜的液體原料,有一些報告。於專利文獻2中揭示有作為原料之烷基環戊二烯基銦(I)不穩定,但在填充至密封容器之前,若與微量之氧接觸則會穩定化,從而能夠實現長期保存。There are some reports on liquid raw materials for forming indium oxide films. In Patent Document 2, it is disclosed that alkylcyclopentadienyl indium (I), which is a raw material, is not stable, but it is stabilized when it comes into contact with a trace amount of oxygen before filling in a sealed container, and long-term storage is possible.

另外,亦有如下報告:藉由使烷基環戊二烯基銦(I)作為主成分,使烷基環戊二烯、二烷基環戊二烯、三烷基環戊二烯基銦(III)以及三環戊二烯基銦(III)之任意一種以上作為副成分而共存,能夠使烷基環戊二烯基銦(I)穩定化(專利文獻3)。In addition, it is also reported that by using alkylcyclopentadienyl indium (I) as a main component, alkylcyclopentadiene, dialkylcyclopentadiene, and trialkylcyclopentadienyl indium Any one or more of (III) and tricyclopentadienyl indium (III) can coexist as a subcomponent, and can stabilize alkylcyclopentadienyl indium (I) (Patent Document 3).

如專利文獻1,若將具有分支結構之烷基取代基導入環戊二烯基,則能夠使銦化合物穩定化。然而,關於專利文獻1中所報告之銦化合物,例如就實施例1中所記載之化合物而言,於熱重量分析(TGA;Thermogravimetric Analysis)中,至200℃時蒸發99.4%,殘渣為0.6%,未發生熱分解,但存在不可忽視之量的殘渣。該情況表明,該銦化合物緩慢地發生如歧化之產生殘渣之反應。因此,作為成膜原料之穩定性不充分,需要更長期的穩定性。另外,專利文獻1中所示之包含S、Ge或N之銦化合物及具有並非包含大量C之環戊二烯基系之配位基的銦化合物則有殘留這些元素之虞。As in Patent Document 1, when an alkyl substituent having a branched structure is introduced into a cyclopentadienyl group, the indium compound can be stabilized. However, regarding the indium compound reported in Patent Document 1, for example, the compound described in Example 1, in thermogravimetric analysis (TGA; Thermogravimetric Analysis), 99.4% evaporated at 200°C, and the residue was 0.6% , there is no thermal decomposition, but there is a non-negligible amount of residue. This situation shows that the indium compound slowly undergoes a residue-generating reaction such as disproportionation. Therefore, the stability as a film-forming raw material is insufficient, and longer-term stability is required. In addition, in the indium compound containing S, Ge, or N, and the indium compound having a ligand that does not contain a large amount of C in a cyclopentadienyl system shown in Patent Document 1, these elements may remain.

專利文獻2以及專利文獻3中所揭示之方法存在調整方法繁雜之問題,於殘留氧或三烷基環戊二烯基銦(III)等情形時,亦有與其他金屬的原料反應之虞。The methods disclosed in Patent Document 2 and Patent Document 3 have the problem that the adjustment method is complicated, and when oxygen or trialkylcyclopentadienyl indium (III) remains, there is a possibility of reacting with other metal raw materials.

另外,為了形成IGZO膜等複合氧化膜,必須分別添加多種金屬原料,成膜製程變得複雜,並且裝置規模亦變大。為了將此種成膜製程簡化,亦要求容易保管、操作以及供給的化學蒸鍍用之液體材料。 [先前技術文獻] [專利文獻] In addition, in order to form a composite oxide film such as an IGZO film, it is necessary to separately add a variety of metal raw materials, which complicates the film-forming process and increases the scale of the apparatus. In order to simplify such a film-forming process, a liquid material for chemical vapor deposition that is easy to store, handle, and supply is also required. [Prior Art Literature] [Patent Literature]

[專利文獻1]日本特開2020-143316號公報。 [專利文獻2]日本特開2018-90855號公報。 [專利文獻3]國際公開第2018/225668號。 [非專利文獻] [Patent Document 1] Japanese Patent Laid-Open No. 2020-143316. [Patent Document 2] Japanese Patent Laid-Open No. 2018-90855. [Patent Document 3] International Publication No. 2018/225668. [Non-patent literature]

[非專利文獻1] IEEE Transactions on Electron Devices, 2019, 66, 4, 1783-1788[Non-Patent Document 1] IEEE Transactions on Electron Devices, 2019, 66, 4, 1783-1788

[發明所欲解決之課題][The problem to be solved by the invention]

本發明的目的在於提供一種化學蒸鍍用原料以及化學蒸鍍用原料的製造方法,前述化學蒸鍍用原料係用以藉由化學蒸鍍來製造含有銦以及一種以上其他金屬之膜的原料,能夠穩定地長期保存,操作容易。 [用以解決課題之手段] An object of the present invention is to provide a raw material for chemical vapor deposition and a method for producing a raw material for chemical vapor deposition, wherein the raw material for chemical vapor deposition is a raw material for producing a film containing indium and one or more other metals by chemical vapor deposition, It can be stored stably for a long time and is easy to operate. [means to solve the problem]

本發明之化學蒸鍍用原料的特徵在於:係用以藉由化學蒸鍍法來製造含有銦以及一種以上其他金屬之膜的原料,相對於下述通式(1)或通式(2)所表示之化合物100mol,以0.1mol以上之比例含有下述通式(3)至通式(6)所表示之化合物之任意一種以上。 In(C 5H 4R) ・・・(1) In(C 5(CH 3) 4R) ・・・(2) M 1(C 5H 4R) ・・・(3) M 2(C 5H 4R) n・・・(4) M 1(C 5(CH 3) 4R) ・・・(5) M 2(C 5(CH 3) 4R) n・・・(6) The raw material for chemical vapor deposition of the present invention is characterized by being a raw material for producing a film containing indium and one or more other metals by a chemical vapor deposition method, with respect to the following general formula (1) or general formula (2) 100 mol of the represented compounds contain any one or more of the compounds represented by the following general formula (3) to (6) in a ratio of 0.1 mol or more. In(C 5 H 4 R) ・・・(1) In(C 5 (CH 3 ) 4 R) ・・・(2) M 1 (C 5 H 4 R) ・・・(3) M 2 (C 5 H 4 R) n・・・(4) M 1 (C 5 (CH 3 ) 4 R) ・・・(5) M 2 (C 5 (CH 3 ) 4 R) n・・・(6)

通式(1)至通式(6)中,R分別獨立地表示氫原子或碳數1以上至6以下之烷基,通式(3)以及通式(5)中,M 1表示銦以外的金屬,通式(4)以及通式(6)中,M 2表示銦以外的金屬,n表示2至4之整數。再者,上述之(C 5H 4R)以及(C 5(CH 3) 4R)表示針對金屬之配位基。 In general formula (1) to general formula (6), R each independently represents a hydrogen atom or an alkyl group having 1 to 6 carbon atoms, and in general formula (3) and general formula (5), M 1 represents other than indium In general formula (4) and general formula (6), M 2 represents a metal other than indium, and n represents an integer of 2 to 4. In addition, the above-mentioned (C 5 H 4 R) and (C 5 (CH 3 ) 4 R) represent a ligand to a metal.

前述通式(3)以及通式(5)中,M 1較佳為鎵,前述通式(4)以及通式(6)中,M 2較佳為鋅或錫。 In the aforementioned general formula (3) and general formula (5), M 1 is preferably gallium, and in the aforementioned general formula (4) and general formula (6), M 2 is preferably zinc or tin.

前述化學蒸鍍用原料較佳為通式(1)至通式(6)中之R相同,含有通式(1)所表示之化合物、及通式(3)以及/或者通式(4)所表示之化合物,或者含有通式(2)所表示之化合物、及通式(5)以及/或者通式(6)所表示之化合物。此時,由於有配位基交換之虞,故較佳為不含具有不同配位基之化合物。The raw material for chemical vapor deposition preferably has the same R in the general formula (1) to the general formula (6), and contains the compound represented by the general formula (1), and the general formula (3) and/or the general formula (4) The compound represented, or the compound represented by the general formula (2) and the compound represented by the general formula (5) and/or the general formula (6) are included. In this case, since there is a possibility of ligand exchange, it is preferable not to contain a compound having a different ligand.

前述化學蒸鍍用原料較佳為除通式(1)或通式(2)所表示之化合物、及通式(3)至通式(6)所表示之化合物之任意一種以上以外,進而含有溶媒。該情形時,通式(1)或通式(2)所表示之化合物與通式(3)至通式(6)所表示之化合物之任意一種以上之合計濃度較佳為於蒸鍍用原料中為0.01wt%以上。The raw material for chemical vapor deposition preferably further contains, in addition to the compound represented by the general formula (1) or the general formula (2), and any one or more of the compounds represented by the general formula (3) to (6) solvent. In this case, the total concentration of the compound represented by the general formula (1) or the general formula (2) and any one or more of the compounds represented by the general formula (3) to (6) is preferably in the raw material for vapor deposition Among them, it is 0.01 wt% or more.

本發明的製造方法的特徵在於:使用前述化學蒸鍍用原料,並藉由化學蒸鍍法來形成含有銦以及一種以上其他金屬之膜。 [發明功效] The production method of the present invention is characterized in that a film containing indium and one or more other metals is formed by a chemical vapor deposition method using the aforementioned raw material for chemical vapor deposition. [Inventive effect]

根據本發明,藉由於通式(1)或通式(2)所表示之化合物中混合通式(3)至通式(6)所表示之化合物中任意一種以上,能夠使所獲得之蒸鍍用原料在數日至數月期間、於室溫(23℃)下穩定地保存。根據本發明,能夠容易地形成包含銦及銦以外的金屬之複合氧化膜。According to the present invention, by mixing any one or more of the compounds represented by the general formula (3) to the general formula (6) with the compound represented by the general formula (1) or the general formula (2), the obtained vapor deposition can be The raw materials are stably stored at room temperature (23° C.) for several days to several months. According to the present invention, a composite oxide film containing indium and metals other than indium can be easily formed.

以下,對本發明詳細地進行說明。 本發明之用以製造含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料(以下簡稱為「蒸鍍用原料」)係相對於作為1價之銦化合物之下述通式(1)或通式(2)所表示之化合物100mol,以0.1mol以上之比例含有通式(3)至通式(6)所表示之化合物之任意一種以上。本發明之含有銦以及一種以上其他金屬之膜尤佳為氧化物。 In(C 5H 4R) ・・・(1) In(C 5(CH 3) 4R) ・・・(2) M 1(C 5H 4R) ・・・(3) M 2(C 5H 4R) n・・・(4) M 1(C 5(CH 3) 4R) ・・・(5) M 2(C 5(CH 3) 4R) n・・・(6) Hereinafter, the present invention will be described in detail. The raw material for chemical vapor deposition (hereinafter abbreviated as "raw material for vapor deposition") for producing a film containing indium and one or more other metals according to the present invention is a monovalent indium compound of the following general formula (1) or 100 mol of the compound represented by the general formula (2) contains any one or more of the compounds represented by the general formula (3) to (6) in a ratio of 0.1 mol or more. The films of the present invention containing indium and one or more other metals are particularly preferably oxides. In(C 5 H 4 R) ・・・(1) In(C 5 (CH 3 ) 4 R) ・・・(2) M 1 (C 5 H 4 R) ・・・(3) M 2 (C 5 H 4 R) n・・・(4) M 1 (C 5 (CH 3 ) 4 R) ・・・(5) M 2 (C 5 (CH 3 ) 4 R) n・・・(6)

通式(1)至通式(6)中,R表示氫原子或碳數1以上至6以下之烷基。 碳數1以上至6以下之烷基例如為甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基、正戊基、新戊基、異戊基、第二戊基、3-戊基、第三戊基、正己基、2-甲基戊基、3-甲基戊基、2,2-二甲基丁基以及2,3-二甲基丁基等。這些烷基中,較佳為甲基、乙基以及正丙基等,更佳為乙基以及正丙基,尤佳為正丙基。 In the general formulae (1) to (6), R represents a hydrogen atom or an alkyl group having 1 to 6 carbon atoms. Examples of alkyl groups having from 1 to 6 carbon atoms are methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, neopentyl , isopentyl, second pentyl, 3-pentyl, third pentyl, n-hexyl, 2-methylpentyl, 3-methylpentyl, 2,2-dimethylbutyl and 2, 3-dimethylbutyl, etc. Among these alkyl groups, methyl, ethyl, n-propyl, etc. are preferred, ethyl and n-propyl are more preferred, and n-propyl is particularly preferred.

通式(3)以及通式(5)中,M 1表示銦以外的金屬。M 1為1價之金屬,較佳為第13族之金屬,尤佳為鎵。 In general formula (3) and general formula (5), M 1 represents a metal other than indium. M 1 is a monovalent metal, preferably a metal of Group 13, particularly preferably gallium.

通式(4)以及通式(6)中,n表示2至4之整數,M 2表示銦以外的金屬。M 2為2價、3價或4價之金屬,就因配位基所致之空間位阻之觀點而言,價數以低為佳,較佳為2價之金屬。對於M 2,並無特別限制,較佳為第12族、第13族、以及第14族,其中,較佳為第2週期、第3週期、以及第4週期之金屬。具體而言,為鎵、鋅、鍺以及錫等。這些之中,較佳為鋅以及錫。 In general formula (4) and general formula (6), n represents an integer of 2 to 4, and M 2 represents a metal other than indium. M 2 is a divalent, trivalent or tetravalent metal, and from the viewpoint of steric hindrance due to a ligand, the valence is preferably low, and a divalent metal is more preferred. Although M 2 is not particularly limited, Group 12, Group 13, and Group 14 are preferred, and among them, metals of the second period, the third period, and the fourth period are preferred. Specifically, gallium, zinc, germanium, tin, and the like. Among these, zinc and tin are preferable.

通式(1)所表示之化合物的具體例為環戊二烯基銦(I)、甲基環戊二烯基銦(I)、乙基環戊二烯基銦(I)、正丙基環戊二烯基銦(I)、異丙基環戊二烯基銦(I)、以及第三丁基環戊二烯基銦(I)等,更佳為甲基環戊二烯基銦(I)以及乙基環戊二烯基銦(I),尤佳為乙基環戊二烯基銦(I)。 通式(2)所表示之化合物的具體例為四甲基環戊二烯基銦(InC 5H(CH 3) 4)、五甲基環戊二烯基銦(InC 5(CH 3) 5)、四甲基-乙基環戊二烯基銦(InC 5(CH 3) 4(C 2H 5))、四甲基正丙基環戊二烯基銦(InC 5(CH 3) 4(n-C 3H 7))、四甲基-異丙基環戊二烯基銦(InC 5(CH 3) 4(iso-C 3H 7))、以及四甲基正丁基環戊二烯基銦(InC 5(CH 3) 4(n-C 4H 9))等,更佳為五甲基環戊二烯基銦(InC 5(CH 3) 5)以及四甲基正丙基環戊二烯基銦(InC 5(CH 3) 4(n-C 3H 7)),尤佳為四甲基正丙基環戊二烯基銦(InC 5(CH 3) 4(n-C 3H 7))。 Specific examples of the compound represented by the general formula (1) are cyclopentadienyl indium (I), methylcyclopentadienyl indium (I), ethylcyclopentadienyl indium (I), n-propyl Cyclopentadienyl indium (I), isopropylcyclopentadienyl indium (I), tert-butylcyclopentadienyl indium (I), etc., more preferably methylcyclopentadienyl indium (I) and ethylcyclopentadienyl indium (I), particularly preferably ethylcyclopentadienyl indium (I). Specific examples of the compound represented by the general formula (2) are tetramethylcyclopentadienyl indium (InC 5 H(CH 3 ) 4 ), pentamethylcyclopentadienyl indium (InC 5 (CH 3 ) 5 ) ), tetramethyl-ethylcyclopentadienyl indium (InC 5 (CH 3 ) 4 (C 2 H 5 )), tetramethyl-n-propylcyclopentadienyl indium (InC 5 (CH 3 ) 4 (nC 3 H 7 )), tetramethyl-isopropylcyclopentadienyl indium (InC 5 (CH 3 ) 4 (iso-C 3 H 7 )), and tetramethyl-n-butylcyclopentadiene Indium (InC 5 (CH 3 ) 4 (nC 4 H 9 )), etc., more preferably pentamethylcyclopentadienyl indium (InC 5 (CH 3 ) 5 ) and tetramethyl-n-propylcyclopentadiene Alkenyl indium (InC 5 (CH 3 ) 4 (nC 3 H 7 )), particularly preferably tetramethyl-n-propylcyclopentadienyl indium (InC 5 (CH 3 ) 4 (nC 3 H 7 )).

通式(3)所表示之化合物的具體例為環戊二烯基鎵(I)、甲基環戊二烯基鎵(I)、乙基環戊二烯基鎵(I)、正丙基環戊二烯基鎵(I)、異丙基環戊二烯基鎵(I)、以及第三丁基環戊二烯基鎵(I)等。Specific examples of the compound represented by the general formula (3) are cyclopentadienylgallium (I), methylcyclopentadienylgallium (I), ethylcyclopentadienylgallium (I), n-propyl Cyclopentadienyl gallium (I), isopropylcyclopentadienyl gallium (I), and tert-butylcyclopentadienyl gallium (I) and the like.

通式(4)所表示之化合物的具體例為雙(環戊二烯基)鋅、雙(甲基環戊二烯基)鋅、雙(乙基環戊二烯基)鋅、雙(正丙基環戊二烯基)鋅、雙(異丙基環戊二烯基)鋅、雙(第三丁基環戊二烯基)鋅、雙(環戊二烯基)錫、雙(甲基環戊二烯基)錫、雙(乙基環戊二烯基)錫、雙(正丙基環戊二烯基)錫、雙(異丙基環戊二烯基)錫、以及雙(第三丁基環戊二烯基)錫等,較佳為雙(乙基環戊二烯基)鋅以及雙(乙基環戊二烯基)錫。Specific examples of the compound represented by the general formula (4) are bis(cyclopentadienyl)zinc, bis(methylcyclopentadienyl)zinc, bis(ethylcyclopentadienyl)zinc, bis(n- propylcyclopentadienyl)zinc, bis(isopropylcyclopentadienyl)zinc, bis(tert-butylcyclopentadienyl)zinc, bis(cyclopentadienyl)tin, bis(methyl) bis(ethylcyclopentadienyl)tin, bis(ethylcyclopentadienyl)tin, bis(n-propylcyclopentadienyl)tin, bis(isopropylcyclopentadienyl)tin, and bis( tert-butylcyclopentadienyl)tin, etc., preferably bis(ethylcyclopentadienyl)zinc and bis(ethylcyclopentadienyl)tin.

通式(5)所表示之化合物的具體例為四甲基環戊二烯基鎵(GaC 5H(CH 3) 4)、五甲基環戊二烯基鎵(GaC 5(CH 3) 5)、四甲基-乙基環戊二烯基鎵(GaC 5(CH 3) 4(C 2H 5))、四甲基正丙基環戊二烯基鎵(GaC 5(CH 3) 4(n-C 3H 7))、四甲基-異丙基環戊二烯基鎵(GaC 5(CH 3) 4(iso-C 3H 7))以及四甲基正丁基環戊二烯基鎵(GaC 5(CH 3) 4(n-C 4H 9))等,較佳為五甲基環戊二烯基鎵(GaC 5(CH 3) 5)以及四甲基正丙基環戊二烯基鎵(GaC 5(CH 3) 4(n-C 3H 7))。 Specific examples of the compound represented by the general formula (5) are tetramethylcyclopentadienyl gallium (GaC 5 H(CH 3 ) 4 ), pentamethylcyclopentadienyl gallium (GaC 5 (CH 3 ) 5 ) ), tetramethyl-ethylcyclopentadienyl gallium (GaC 5 (CH 3 ) 4 (C 2 H 5 )), tetramethyl-n-propylcyclopentadienyl gallium (GaC 5 (CH 3 ) 4 (nC 3 H 7 )), tetramethyl-isopropylcyclopentadienyl gallium (GaC 5 (CH 3 ) 4 (iso-C 3 H 7 )), and tetramethyl-n-butylcyclopentadienyl Gallium (GaC 5 (CH 3 ) 4 (nC 4 H 9 )), etc., preferably pentamethylcyclopentadienyl gallium (GaC 5 (CH 3 ) 5 ) and tetramethyl-n-propylcyclopentadiene base gallium (GaC 5 (CH 3 ) 4 (nC 3 H 7 )).

通式(6)所表示之化合物的具體例為雙(四甲基環戊二烯基)鋅(Zn[C 5H(CH 3) 4] 2)、雙(五甲基環戊二烯基)鋅(Zn[C 5(CH 3) 5] 2)、雙(四甲基-乙基環戊二烯基)鋅(Zn[C 5(CH 3) 4(C 2H 5)] 2)、雙(四甲基正丙基環戊二烯基)鋅(Zn[C 5(CH 3) 4(n-C 3H 7)] 2)、雙(四甲基-異丙基環戊二烯基)鋅(Zn[C 5(CH 3) 4(iso-C 3H 7)] 2)、雙(四甲基正丁基環戊二烯基)鋅(Zn[C 5(CH 3) 4(n-C 4H 9)] 2)、雙(四甲基環戊二烯基)錫(Sn[C 5H(CH 3) 4] 2)、雙(五甲基環戊二烯基)錫(Sn[C 5(CH 3) 5] 2)、雙(四甲基-乙基環戊二烯基)錫(Sn[C 5(CH 3) 4(C 2H 5)] 2)、雙(四甲基正丙基環戊二烯基)錫(Sn[C 5(CH 3) 4(n-C 3H 7)] 2)、雙(四甲基-異丙基環戊二烯基)錫(Sn[C 5(CH 3) 4(iso-C 3H 7)] 2)、以及雙(四甲基正丁基環戊二烯基)錫(Sn[C 5(CH 3) 4(n-C 4H 9)] 2)等,較佳為雙(四甲基正丙基環戊二烯基)鋅(Zn[C 5(CH 3) 4(n-C 3H 7)] 2)、以及雙(四甲基正丙基環戊二烯基)錫(Sn[C 5(CH 3) 4(n-C 3H 7)] 2)。 Specific examples of the compound represented by the general formula (6) are bis(tetramethylcyclopentadienyl)zinc (Zn[C 5 H(CH 3 ) 4 ] 2 ), bis(pentamethylcyclopentadienyl) ) zinc (Zn[C 5 (CH 3 ) 5 ] 2 ), bis(tetramethyl-ethylcyclopentadienyl) zinc (Zn[C 5 (CH 3 ) 4 (C 2 H 5 )] 2 ) , bis(tetramethyl-n-propylcyclopentadienyl)zinc (Zn[ C5 ( CH3 ) 4 ( nC3H7 )] 2 ) , bis(tetramethyl-isopropylcyclopentadienyl) ) zinc (Zn[C 5 (CH 3 ) 4 (iso-C 3 H 7 )] 2 ), bis(tetramethyl-n-butylcyclopentadienyl) zinc (Zn[C 5 (CH 3 ) 4 ( nC 4 H 9 )] 2 ), bis(tetramethylcyclopentadienyl) tin (Sn[C 5 H(CH 3 ) 4 ] 2 ), bis(pentamethylcyclopentadienyl) tin (Sn [C 5 (CH 3 ) 5 ] 2 ), bis(tetramethyl-ethylcyclopentadienyl)tin (Sn[C 5 (CH 3 ) 4 (C 2 H 5 )] 2 ), bis(tetramethyl-cyclopentadienyl) tin (Sn[C 5 (CH 3 ) 4 (C 2 H 5 )] 2 ) Methyl-n-propylcyclopentadienyl)tin (Sn[ C5 ( CH3 ) 4 ( nC3H7 )] 2 ) , bis(tetramethyl-isopropylcyclopentadienyl)tin (Sn [C 5 (CH 3 ) 4 (iso-C 3 H 7 )] 2 ), and bis(tetramethyl-n-butylcyclopentadienyl)tin (Sn[C 5 (CH 3 ) 4 (nC 4 H ) 9 )] 2 ) etc., preferably bis(tetramethyl-n-propylcyclopentadienyl)zinc (Zn[C 5 (CH 3 ) 4 (nC 3 H 7 )] 2 ), and bis(tetramethyl) n-propylcyclopentadienyl)tin (Sn[ C5 ( CH3 ) 4 ( nC3H7 )] 2 ) .

於通式(1)所表示之化合物中較佳為添加通式(3)以及/或者通式(4)所表示之化合物,於通式(2)所表示之化合物中較佳為添加通式(5)以及/或者通式(6)所表示之化合物。再者,此時,於通式(1)與通式(3)以及通式(4)中,或於通式(2)與通式(5)以及通式(6)中,R可相同亦可不同,但較佳為相同。It is preferable to add the compound represented by the general formula (3) and/or the compound represented by the general formula (4) to the compound represented by the general formula (1), and it is preferable to add the compound represented by the general formula (2). (5) and/or a compound represented by the general formula (6). Furthermore, at this time, in the general formula (1), the general formula (3) and the general formula (4), or in the general formula (2) and the general formula (5) and the general formula (6), R may be the same They may be different, but are preferably the same.

於M 1為鎵之情形時,通式(5)所表示之結構比通式(3)所表示之結構穩定,故較佳。 When M 1 is gallium, the structure represented by the general formula (5) is more stable than the structure represented by the general formula (3), so it is preferable.

本發明之蒸鍍用原料係相對於通式(1)或通式(2)所表示之化合物100mol,以0.1mol以上、較佳為50mol至1000mol、進而較佳為100mol至500mol之比例含有通式(3)至通式(6)所表示之化合物之任意一種以上。藉由以前述範圍含有通式(3)至通式(6)所表示之化合物之任意一種以上,能夠使通式(1)或通式(2)所表示之化合物穩定化。The raw material for vapor deposition of the present invention contains 0.1 mol or more, preferably 50 mol to 1000 mol, and more preferably 100 mol to 500 mol, based on 100 mol of the compound represented by the general formula (1) or the general formula (2). Any one or more of the compounds represented by the formula (3) to the general formula (6). By containing any one or more of the compounds represented by the general formula (3) to the general formula (6) within the aforementioned range, the compound represented by the general formula (1) or the general formula (2) can be stabilized.

本發明之蒸鍍用原料亦可進而含有溶媒。前述溶媒只要可較佳地用於CVD用液體材料氣化供給系統,則並無特別限制,就In原料之穩定化之觀點而言,較佳為低極性的有機溶媒,更佳為四氫呋喃(THF;Tetrahydrofuran)、乙基環己烷、以及甲苯等,進而較佳為不具有芳香族性之有機溶媒。此時,通式(1)或通式(2)所表示之化合物與通式(3)至通式(6)所表示之化合物之任意一種以上之合計濃度於蒸鍍用原料總量中,較佳為設為0.01wt%以上,更佳為1wt%以上。The raw material for vapor deposition of the present invention may further contain a solvent. The above-mentioned solvent is not particularly limited as long as it can be preferably used in a CVD liquid material vaporization supply system. From the viewpoint of stabilization of the In raw material, a low-polarity organic solvent is preferred, and tetrahydrofuran (THF) is more preferred. ; Tetrahydrofuran), ethylcyclohexane, and toluene, etc., and more preferably organic solvents without aromaticity. In this case, the total concentration of the compound represented by the general formula (1) or the general formula (2) and any one or more of the compounds represented by the general formula (3) to (6) in the total amount of raw materials for vapor deposition, It is preferably 0.01 wt % or more, more preferably 1 wt % or more.

可認為,於本發明之蒸鍍用原料中,通式(1)或通式(2)所表示之化合物與通式(3)至通式(6)所表示之化合物之任意一種以上呈現如以下之結構而穩定化。但是,此時,下述結構式中之n為0至3之整數。下述結構式中,M表示M 1或M 2。 [化1]

Figure 02_image001
It is considered that in the raw material for vapor deposition of the present invention, any one or more of the compound represented by the general formula (1) or the general formula (2) and the compounds represented by the general formula (3) to (6) are as follows The following structure is stabilized. However, in this case, n in the following structural formula is an integer of 0 to 3. In the following structural formula, M represents M 1 or M 2 . [hua 1]
Figure 02_image001

此處,列舉如使用通式(1)所表示之化合物之以下例子,說明本發明之蒸鍍用原料之穩定化之機制。通式(1)所表示之化合物為1價之銦化合物,於室溫下藉由光或熱而如以下所示般歧化為金屬銦與3價之銦化合物。 3(InC 5H 4R)→2In+In(C 5H 4R) 3在其中例如添加1價之鎵化合物作為通式(3)或通式(4)所表示之化合物,使之於蒸鍍用原料中共存,藉此發揮抑制前述歧化反應之進行之效果,從而能夠使通式(1)所表示之化合物穩定化。 Here, the mechanism of stabilization of the raw material for vapor deposition of the present invention will be described with reference to the following examples using the compound represented by the general formula (1). The compound represented by the general formula (1) is a monovalent indium compound, and is disproportionated into metal indium and a trivalent indium compound as shown below by light or heat at room temperature. 3(InC 5 H 4 R)→2In+In(C 5 H 4 R) 3 to which, for example, a monovalent gallium compound is added as the compound represented by the general formula (3) or the general formula (4), and it is used for vapor deposition By coexisting the raw materials, the effect of suppressing the progress of the disproportionation reaction is exhibited, and the compound represented by the general formula (1) can be stabilized.

本發明之蒸鍍用原料例如若用於熱CVD法、有機金屬化學氣相蒸鍍(MOCVD;Metal-organic Chemical Vapor Deposition)、低壓氣相蒸鍍(LPCVD;Low Pressure Chemical Vapor Deposition)、電漿強化氣相蒸鍍(PECVD;Plasma Enhanced Chemical Vapor Deposition)、或原子層蒸鍍(ALD)等化學蒸鍍,則能夠形成含有銦以及一種以上其他金屬之膜。For example, if the raw material for vapor deposition of the present invention is used for thermal CVD, metal-organic chemical vapor deposition (MOCVD; Metal-organic Chemical Vapor Deposition), low pressure vapor deposition (LPCVD; Low Pressure Chemical Vapor Deposition), plasma Enhanced vapor deposition (PECVD; Plasma Enhanced Chemical Vapor Deposition), or chemical vapor deposition such as atomic layer deposition (ALD), can form a film containing indium and one or more other metals.

利用這些化學蒸鍍法形成薄膜時,必須使用於低溫亦容易蒸發之化合物作為前驅物。就該方面而言,例如四甲基正丙基環戊二烯基銦(InC 5(CH 3) 4(n-C 3H 7))、四甲基正丙基環戊二烯基鎵(GaC 5(CH 3) 4(n-C 3H 7))以及雙(四甲基正丙基環戊二烯基)鋅(Zn[C 5(CH 3) 4(n-C 3H 7)] 2)均於室溫(23℃)為液體,且於低溫亦具有高蒸汽壓,故而適於CVD。 When a thin film is formed by these chemical vapor deposition methods, it is necessary to use a compound that is easy to evaporate at low temperature as a precursor. In this regard, for example, tetramethyl-n-propylcyclopentadienyl indium (InC 5 (CH 3 ) 4 (nC 3 H 7 )), tetramethyl-n-propyl cyclopentadienyl gallium (GaC 5 ) (CH 3 ) 4 (nC 3 H 7 )) and bis(tetramethyln-propylcyclopentadienyl)zinc (Zn[C 5 (CH 3 ) 4 (nC 3 H 7 )] 2 ) The temperature (23°C) is liquid, and also has high vapor pressure at low temperature, so it is suitable for CVD.

作為一例,說明使用含有InC 5(CH 3) 4(n-C 3H 7)以及GaC 5(CH 3) 4(n-C 3H 7)之蒸鍍用原料,並藉由化學蒸鍍(CVD)來形成薄膜之方法。CVD中,將填充有前述蒸鍍用原料之原料容器進行加熱使之氣化並供給至反應室。氣化可利用CVD中之通常之有機金屬化合物之氣化方法進行,例如將CVD裝置之原料容器中進行加熱或減壓。為了將蒸鍍用原料供給至反應室中的基板,自原料容器至反應室之配管以及反應室預先設置為不會使作為原料之InC 5(CH 3) 4(n-C 3H 7)以及GaC 5(CH 3) 4(n-C 3H 7)熱分解,而高於保持氣體狀態之溫度(亦即高於原料容器之溫度(使原料氣化之溫度),且低於原料之熱分解溫度。於使用前述蒸鍍用原料之情形時,加熱溫度為23℃至200℃左右。為了提高成膜溫度(基板溫度)設定的自由度,原料容器的溫度以儘可能低為宜。因此,可謂於低溫下具有充分的蒸汽壓之InC 5(CH 3) 4(n-C 3H 7)以及GaC 5(CH 3) 4(n-C 3H 7)適於CVD。另外,於利用如上述之熱CVD形成含有銦以及一種以上其他金屬之膜之情形時,通常必須分別準備各個金屬的原料,以能夠形成目標組成之膜之方式,調整各個原料的氣化速度或流量,將混合氣體供給至反應室,但於本發明之原料之情形時,只要預先調整組成,則亦可不分別調整氣化速度或流量,因此容易管理。進而,於利用CVD進行大量生產之情形時,多數情況下採用注射(injection)方式,亦即以液體狀態直接控制液體材料的流量,僅將必要量進行氣化供給之方式,但於本發明之原料之情形時,有即便是含有兩種以上之金屬之膜之成膜,氣化器亦可為一個之優點。於將注射方式用於固體材料之情形時,必須溶解於溶媒,但本發明之原料即便溶解於溶媒,亦不會損害穩定性故較佳。 As an example, the formation by chemical vapor deposition (CVD) using a raw material for vapor deposition containing InC 5 (CH 3 ) 4 (nC 3 H 7 ) and GaC 5 (CH 3 ) 4 (nC 3 H 7 ) will be described. method of thin films. In CVD, the raw material container filled with the above-mentioned raw material for vapor deposition is heated, vaporized, and supplied to the reaction chamber. The vaporization can be performed by a conventional method of vaporizing an organometallic compound in CVD, such as heating or depressurizing a raw material container of a CVD apparatus. In order to supply the raw material for vapor deposition to the substrate in the reaction chamber, the piping from the raw material container to the reaction chamber and the reaction chamber are set in advance so that InC 5 (CH 3 ) 4 (nC 3 H 7 ) and GaC 5 as raw materials are not used. (CH 3 ) 4 (nC 3 H 7 ) is thermally decomposed at a temperature higher than the temperature at which the gas is maintained (that is, higher than the temperature of the raw material container (the temperature at which the raw material is vaporized), and lower than the thermal decomposition temperature of the raw material. In the case of using the above-mentioned raw materials for vapor deposition, the heating temperature is about 23°C to 200°C. In order to increase the degree of freedom in setting the film formation temperature (substrate temperature), the temperature of the raw material container should be as low as possible. Therefore, it can be described as a low temperature InC 5 (CH 3 ) 4 (nC 3 H 7 ) and GaC 5 (CH 3 ) 4 (nC 3 H 7 ) with sufficient vapor pressure are suitable for CVD. In addition, indium containing In the case of a film of more than one other metal, it is usually necessary to prepare the raw materials of each metal separately, adjust the vaporization rate or flow rate of each raw material in such a way that a film of the target composition can be formed, and supply the mixed gas to the reaction chamber. During the situation of the raw material of the present invention, as long as the composition is adjusted in advance, then the vaporization speed or flow rate can not be adjusted respectively, so it is easy to manage. And then, when utilizing CVD to carry out the situation of mass production, the injection (injection) mode is adopted in most cases, That is, the flow rate of the liquid material is directly controlled in the liquid state, and only the necessary amount is vaporized and supplied. However, in the case of the raw material of the present invention, there is even a film formation of a film containing two or more metals, and the vaporization is performed. When the injection method is used for solid materials, it must be dissolved in the solvent, but even if the raw material of the present invention is dissolved in the solvent, it will not damage the stability, so it is better.

另外,本發明之原料亦可應用於CVD中一層一層地層疊之方法亦即原子層沈積(ALD)法。於利用ALD形成含有銦以及一種以上其他金屬之膜之情形時,通常多數情況下使用所謂超循環(super cycle)法,亦即分別準備各個金屬的原料,以能夠形成目標組成之膜之方式調整ALD循環,但存在無論如何組成均成為層狀之問題,但本發明之原料能夠始終供給固定混合組成之氣體,因此有容易控制膜質之優點,故而尤佳。另外,亦可不使用超循環法,而與熱CVD同樣地分別準備各個金屬的原料,以能夠形成目標組成之膜之方式,調整各個原料的氣化速度或流量,將混合氣體供給至反應室,但與CVD同樣地存在不容易管理之問題。 [實施例] In addition, the raw material of the present invention can also be applied to the layer-by-layer method in CVD, that is, the atomic layer deposition (ALD) method. In the case of forming a film containing indium and one or more other metals by ALD, a so-called super cycle method is usually used in many cases, that is, the raw materials of each metal are prepared separately and adjusted so that a film of the target composition can be formed. The ALD cycle has the problem of being layered regardless of the composition. However, the raw material of the present invention can always be supplied with a gas of a fixed mixed composition, so it has the advantage of being easy to control the film quality, which is particularly preferred. In addition, without using the super-circulation method, the raw materials for each metal can be prepared separately in the same manner as in thermal CVD, the vaporization rate or flow rate of each raw material can be adjusted so that a film of the target composition can be formed, and the mixed gas can be supplied to the reaction chamber, However, like CVD, there is a problem that it is not easy to manage. [Example]

以下,基於實施例更具體地說明本發明,但本發明並不受下述實施例限制。 [合成例1]四甲基正丙基環戊二烯基銦(InC 5(CH 3) 4(n-C 3H 7))之合成 於1L之四口燒瓶中加入己烷400mL、正丁基鋰己烷溶液82mL(1.6mol/L、0.13 mol)以及四甲基正丙基環戊二烯29.04g(0.17mol),於室溫下反應20小時後,於40℃進行減壓蒸餾去除,獲得C 5(CH 3) 4(n-C 3H 7)Li。 於-78℃向所獲得之C 5(CH 3) 4(n-C 3H 7)Li中添加甲苯400mL、氯化銦(I)(InCl) 17.84g(0.12mol),於室溫下攪拌20小時後,進行過濾。將所獲得之溶液於40℃進行減壓蒸餾去除而獲得溶液。 將所獲得之溶液裝入至單蒸餾裝置,以60℃、0.2torr進行2次真空蒸餾,結果獲得黃色液體。產量為20.06g(0.07mol),產率為60%(InCl基準)。 對所獲得之試樣進行 1H NMR(Nuclear Magnetic Resonance;核磁共振)以及 13C NMR之分析,結果識別為InC 5(CH 3) 4(n-C 3H 7)。 Hereinafter, the present invention will be described more specifically based on examples, but the present invention is not limited by the following examples. [Synthesis Example 1] Synthesis of tetramethyl-n-propylcyclopentadienyl indium (InC 5 (CH 3 ) 4 (nC 3 H 7 )) In a 1L four-necked flask, 400 mL of hexane and n-butyl lithium were added 82 mL (1.6 mol/L, 0.13 mol) of a hexane solution and 29.04 g (0.17 mol) of tetramethyl-n-propylcyclopentadiene were reacted at room temperature for 20 hours, and then distilled off under reduced pressure at 40°C to obtain C5 ( CH3 ) 4 ( nC3H7 )Li. To the obtained C 5 (CH 3 ) 4 (nC 3 H 7 )Li, 400 mL of toluene and 17.84 g (0.12 mol) of indium (I) chloride were added to the obtained C 5 (CH 3 ) 4 (nC 3 H 7 )Li at -78°C, followed by stirring at room temperature for 20 hours. After that, filter. The obtained solution was distilled off under reduced pressure at 40°C to obtain a solution. The obtained solution was charged into a single distillation apparatus, and vacuum distillation was performed twice at 60° C. and 0.2 torr, as a result of which a yellow liquid was obtained. The yield was 20.06 g (0.07 mol), a 60% yield (InCl basis). The obtained sample was analyzed by 1 H NMR (Nuclear Magnetic Resonance; nuclear magnetic resonance) and 13 C NMR, and as a result, it was identified as InC 5 (CH 3 ) 4 (nC 3 H 7 ).

1H NMR 測定條件(裝置:AVANCE NEO 500(500MHz),Bruker BioSpin,溶媒:THF-d 8,方法:1D) 2.45(2H,三重峰)ppm、2.06(6H,單峰)ppm、2.05(6H,單峰)ppm、1.41(2H,六重峰)ppm、0.93(3H,三重峰)ppm 1 H NMR measurement conditions (device: AVANCE NEO 500 (500 MHz), Bruker BioSpin, solvent: THF-d 8 , method: 1D) 2.45 (2H, triplet) ppm, 2.06 (6H, singlet) ppm, 2.05 (6H) ppm , singlet) ppm, 1.41 (2H, sextet) ppm, 0.93 (3H, triplet) ppm

13C NMR 測定條件(裝置:AVANCE NEO 500(125MHz),Bruker BioSpin,溶媒THF-d 8,方法:1D) 120.39ppm、113.41ppm、28.11ppm、27.93ppm、14.53ppm、10.26ppm 13 C NMR measurement conditions (device: AVANCE NEO 500 (125 MHz), Bruker BioSpin, solvent THF-d 8 , method: 1D) 120.39 ppm, 113.41 ppm, 28.11 ppm, 27.93 ppm, 14.53 ppm, 10.26 ppm

[合成例2]四甲基正丙基環戊二烯基鎵(GaC 5(CH 3) 4(n-C 3H 7))之合成 於1L之四口燒瓶中加入甲苯500mL、金屬鉀15.72g(0.40mol)以及四甲基正丙基環戊二烯70.61g(0.43mol),於室溫下反應3天後,於100℃進行減壓蒸餾去除,獲得C 5(CH 3) 4C 3H 7K。 於300mL三口燒瓶中加入金屬鎵25.01g(0.36mol)以及I 245.72g(0.18mol),利用加熱回流反應兩天,獲得GaI之懸浮液。 於-78℃向所獲得之C 5(CH 3) 4C 3H 7K中添加甲苯300mL以及GaI之懸浮液,於室溫攪拌19小時後,進行過濾。將所獲得之溶液於40℃進行減壓蒸餾去除而獲得溶液。 將所獲得之溶液裝入至單蒸餾裝置,以60℃、0.2torr進行2次真空蒸餾,結果獲得黃色液體。產量為44.63g(0.19mol),產率為53%(Ga基準)。 對所獲得之試樣進行 1H NMR以及 13C NMR之分析,結果識別為GaC 5(CH 3) 4(n-C 3H 7)。 [Synthesis example 2] Synthesis of tetramethyl-n-propylcyclopentadienyl gallium (GaC 5 (CH 3 ) 4 (nC 3 H 7 )) 500 mL of toluene and 15.72 g of metal potassium ( 0.40 mol) and 70.61 g (0.43 mol) of tetramethyl-n-propylcyclopentadiene, reacted at room temperature for 3 days, then distilled off under reduced pressure at 100 °C to obtain C 5 (CH 3 ) 4 C 3 H 7K . 25.01 g (0.36 mol) of metallic gallium and 45.72 g (0.18 mol) of I 2 were added to a 300 mL three-necked flask, and the mixture was heated and refluxed for two days to obtain a GaI suspension. To the obtained C 5 (CH 3 ) 4 C 3 H 7 K, 300 mL of toluene and a suspension of GaI were added at -78°C, stirred at room temperature for 19 hours, and then filtered. The obtained solution was distilled off under reduced pressure at 40°C to obtain a solution. The obtained solution was charged into a single distillation apparatus, and vacuum distillation was performed twice at 60° C. and 0.2 torr, as a result of which a yellow liquid was obtained. The yield was 44.63 g (0.19 mol), a yield of 53% (Ga basis). As a result of analyzing the obtained sample by 1 H NMR and 13 C NMR, it was identified as GaC 5 (CH 3 ) 4 (nC 3 H 7 ).

1H NMR 測定條件(裝置:AVANCE NEO 500(500MHz),Bruker BioSpin,溶媒THF-d 8,方法:1D) 2.40(2H,三重峰)ppm、2.00(6H,單峰)ppm、1.99(6H,單峰)ppm、1.43(2H,六重峰)ppm、0.93(3H,三重峰)ppm 1 H NMR measurement conditions (device: AVANCE NEO 500 (500 MHz), Bruker BioSpin, solvent THF-d 8 , method: 1D) 2.40 (2H, triplet) ppm, 2.00 (6H, singlet) ppm, 1.99 (6H, singlet) ppm, 1.43 (2H, sext) ppm, 0.93 (3H, triplet) ppm

13C NMR 測定條件(裝置:AVANCE NEO 500(125MHz),Bruker BioSpin,溶媒THF-d 8,方法:1D) 119.96ppm、113.71ppm、113.66ppm、27.54ppm、26.61ppm、14.42ppm、9.79ppm、9.77ppm 13 C NMR measurement conditions (device: AVANCE NEO 500 (125 MHz), Bruker BioSpin, solvent THF-d 8 , method: 1D) 119.96 ppm, 113.71 ppm, 113.66 ppm, 27.54 ppm, 26.61 ppm, 14.42 ppm, 9.79 ppm, 9.77 ppm

為了調查由混合所帶來之穩定化之效果,將四甲基正丙基環戊二烯基銦、四甲基正丙基環戊二烯基鎵、雙(四甲基正丙基環戊二烯基)鋅、四氫呋喃、乙基環己烷以及甲苯以表1所示之比例混合,按照以下之基準評價所得混合物的穩定性。 優良:在第3天進行觀測時,未觀測到顏色變化或沈澱。 良:在第3天進行觀測時,溶液的顏色為黃色,但析出少許固體。 不良:在第3天進行觀測時,溶液的顏色變化為褐色,析出灰色固體。 In order to investigate the effect of stabilization by mixing, tetramethyl-n-propylcyclopentadienyl indium, tetramethyl-n-propylcyclopentadienyl gallium, bis(tetramethyl-n-propylcyclopentadienyl gallium Dienyl)zinc, tetrahydrofuran, ethylcyclohexane and toluene were mixed in the proportions shown in Table 1, and the stability of the resulting mixture was evaluated according to the following criteria. Excellent: No color change or precipitation was observed when the observation was made on day 3. Good: When observed on the third day, the color of the solution was yellow, but a little solid was precipitated. Defect: When observed on the third day, the color of the solution changed to brown, and a gray solid was deposited.

[表1] InCppm /g GaCppm Zn(Cppm) 2 四氫呋喃/g 乙基環己烷/g 甲苯 /g 結果 /g 莫耳比 (Ga/In) /g 莫耳比 (Zn/In)           0.10 0.06 0.72 -   0.16 - - 優良 實施例1 0.10 0.04 0.48 -   0.14 - - 實施例2 0.10 -   0.15 1.06 0.25 - - 優良 實施例3 0.10 -   0.11 0.78 0.21 - - 實施例4 0.44 0.38 1.03 0.63 1.02 - - - 優良 實施例5 1.46 1.26 1.03 2.11 1.03 25.17 - - 優良 實施例6 1.46 1.26 1.03 2.11 1.03 - 25.17 - 優良 實施例7 1.46 1.26 1.03 2.11 1.03 - - 25.17 實施例8 0.10 -   -   - - - 不良 比較例1 0.10 -   -   0.10 - - 不良 比較例2 InCppm:四甲基正丙基環戊二烯基銦 GaCppm:四甲基正丙基環戊二烯基鎵 Zn(Cppm) 2:雙(四甲基正丙基環戊二烯基)鋅 [Table 1] InCppm/g GaCppm Zn(Cppm) 2 Tetrahydrofuran/g Ethylcyclohexane/g Toluene/g result /g Molar ratio (Ga/In) /g Molar ratio (Zn/In) 0.10 0.06 0.72 - 0.16 - - excellent Example 1 0.10 0.04 0.48 - 0.14 - - good Example 2 0.10 - 0.15 1.06 0.25 - - excellent Example 3 0.10 - 0.11 0.78 0.21 - - good Example 4 0.44 0.38 1.03 0.63 1.02 - - - excellent Example 5 1.46 1.26 1.03 2.11 1.03 25.17 - - excellent Example 6 1.46 1.26 1.03 2.11 1.03 - 25.17 - excellent Example 7 1.46 1.26 1.03 2.11 1.03 - - 25.17 good Example 8 0.10 - - - - - bad Comparative Example 1 0.10 - - 0.10 - - bad Comparative Example 2 InCppm: tetramethyl-n-propylcyclopentadienyl indium GaCppm: tetramethyl-n-propylcyclopentadienyl gallium Zn(Cppm) 2 : bis(tetramethyl-n-propylcyclopentadienyl)zinc

將乙基環戊二烯基銦、雙(乙基環戊二烯基)錫、以及四氫呋喃以表2所示之比例混合,按照以下之基準評價所得混合物的穩定性。 優良:在第3天進行觀測時,未觀測到顏色變化或沈澱。 不良:在第3天進行觀測時,析出灰色固體。 Ethylcyclopentadienyl indium, bis(ethylcyclopentadienyl)tin, and tetrahydrofuran were mixed in the proportions shown in Table 2, and the stability of the resulting mixture was evaluated according to the following criteria. Excellent: No color change or precipitation was observed when the observation was made on day 3. Defect: Gray solid precipitated when observed on the 3rd day.

[表2] InEtCp /g Sn(EtCp) 2 四氫呋喃/g 結果 /g 莫耳比 0.11 0.16 0.99 - 優良 實施例9 0.10 0.15 1.02 0.25 優良 實施例10 0.30 -   - 不良 比較例3 0.34 -   0.34 不良 比較例4 InEtCp:乙基環戊二烯基銦 Sn(EtCp) 2:雙(乙基環戊二烯基)錫 [Table 2] InEtCp/g Sn(EtCp) 2 Tetrahydrofuran/g result /g Morby 0.11 0.16 0.99 - excellent Example 9 0.10 0.15 1.02 0.25 excellent Example 10 0.30 - - bad Comparative Example 3 0.34 - 0.34 bad Comparative Example 4 InEtCp: ethylcyclopentadienyl indium Sn(EtCp) 2 : bis(ethylcyclopentadienyl)tin

以下表示實施例1至實施例11以及比較例1至比較例4之蒸鍍用原料的製備方法以及評價結果。 [實施例1] 將四甲基正丙基環戊二烯基銦(InC 5(CH 3) 4(n-C 3H 7))(0.10g、0.36mmol)、四甲基正丙基環戊二烯基鎵(GaC 5(CH 3) 4(n-C 3H 7))(0.06g、0.26mmol)、以及四氫呋喃(THF)(0.16g、2.2mmol)混合。將所混合之溶液加入至玻璃容器中並熔封後,於30℃進行保存,觀察狀態。 即便經過6天以上,亦未觀測到顏色變化或沈澱。 The preparation methods and evaluation results of the raw materials for vapor deposition of Examples 1 to 11 and Comparative Examples 1 to 4 are shown below. [Example 1] Tetramethyl-n-propylcyclopentadienyl indium (InC 5 (CH 3 ) 4 (nC 3 H 7 )) (0.10 g, 0.36 mmol), tetramethyl-n-propyl cyclopentadienyl Alkenyl gallium (GaC 5 (CH 3 ) 4 (nC 3 H 7 )) (0.06 g, 0.26 mmol), and tetrahydrofuran (THF) (0.16 g, 2.2 mmol) were mixed. The mixed solution was put into a glass container and sealed, and then stored at 30° C., and the state was observed. No color change or precipitation was observed even after 6 days or more.

[實施例2] 將四甲基正丙基環戊二烯基銦(0.10g、0.36mmol)、四甲基正丙基環戊二烯基鎵(0.04g、0.17mmol)以及四氫呋喃(THF)(0.14g、1.9mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。 即便經過一週以上,溶液的顏色亦未自黃色發生變化,但觀測到析出少許固體。 [Example 2] Tetramethyl-n-propylcyclopentadienyl indium (0.10 g, 0.36 mmol), tetramethyl-n-propylcyclopentadienyl gallium (0.04 g, 0.17 mmol) and tetrahydrofuran (THF) (0.14 g, 1.9 mmol) mixed. The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. Even after one week or more, the color of the solution did not change from yellow, but a little solid was observed to be precipitated.

[實施例3] 將四甲基正丙基環戊二烯基銦(0.10g、0.36mmol)、雙(四甲基正丙基環戊二烯基)鋅(Zn[C 5(CH 3) 4(n-C 3H 7)] 2)(0.15g、0.38mmol)、以及四氫呋喃(0.25g、3.5mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。 即便經過6天以上,亦未觀測到顏色變化或沈澱。 [Example 3] Tetramethyl-n-propylcyclopentadienyl indium (0.10 g, 0.36 mmol), bis(tetramethyl-n-propylcyclopentadienyl)zinc (Zn[C 5 (CH 3 ) 4 (nC 3 H 7 )] 2 ) (0.15 g, 0.38 mmol), and tetrahydrofuran (0.25 g, 3.5 mmol) were mixed. The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. No color change or precipitation was observed even after 6 days or more.

[實施例4]將四甲基正丙基環戊二烯基銦(0.10g、0.36mmol)、雙(四甲基正丙基環戊二烯基)鋅(0.11g、0.28mmol)以及四氫呋喃(0.21g、2.9mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。即便經過一週以上,溶液的顏色亦未自黃色發生變化,但觀測到析出少許固體。[Example 4] Tetramethyl-n-propylcyclopentadienyl indium (0.10 g, 0.36 mmol), bis(tetramethyl-n-propylcyclopentadienyl) zinc (0.11 g, 0.28 mmol) and tetrahydrofuran were mixed (0.21 g, 2.9 mmol) and mixed. The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. Even after one week or more, the color of the solution did not change from yellow, but a little solid was observed to be precipitated.

[實施例5]將四甲基正丙基環戊二烯基銦(0.44g、1.6mmol)、四甲基正丙基環戊二烯基鎵(0.38g、1.6mmol)以及雙(四甲基正丙基環戊二烯基)鋅(0.63g、1.6mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。即便經過一週以上,溶液的顏色亦未自黃色發生變化,亦未析出沈澱。[Example 5] Tetramethyl-n-propylcyclopentadienyl indium (0.44 g, 1.6 mmol), tetramethyl-n-propylcyclopentadienyl gallium (0.38 g, 1.6 mmol) and bis(tetramethyl) (n-propylcyclopentadienyl)zinc (0.63 g, 1.6 mmol). The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. Even after one week or more, the color of the solution did not change from yellow, and no precipitate was deposited.

[實施例6]將四甲基正丙基環戊二烯基銦(1.46g、5.3mmol)、四甲基正丙基-環戊二烯基鎵(1.26g、5.4mmol)、雙(四甲基正丙基環戊二烯基)鋅(2.11g、5.4mmol)以及四氫呋喃(25.17g、349mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。即便經過一週以上,溶液的顏色亦未自黃色發生變化,亦未析出沈澱。[Example 6] Tetramethyl-n-propylcyclopentadienyl indium (1.46 g, 5.3 mmol), tetramethyl-n-propyl-cyclopentadienyl gallium (1.26 g, 5.4 mmol), bis(tetrakis Methyl-n-propylcyclopentadienyl)zinc (2.11 g, 5.4 mmol) and tetrahydrofuran (25.17 g, 349 mmol) were mixed. The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. Even after one week or more, the color of the solution did not change from yellow, and no precipitate was deposited.

[實施例7] 將四甲基正丙基環戊二烯基銦(1.46g、5.3mmol)、四甲基正丙基環戊二烯基鎵(1.26g、5.4mmol)、雙(四甲基正丙基環戊二烯基)鋅(2.11g、5.4mmol)、以及乙基環己烷(25.17g、224mmol)混合。將所混合之溶液進行蒸餾,將蒸發成分全部量回收。將所回收之溶液的一部分加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。 即便經過3個月以上,亦未觀測到顏色變化或沈澱。 [Example 7] Tetramethyl-n-propylcyclopentadienyl indium (1.46 g, 5.3 mmol), tetramethyl-n-propylcyclopentadienyl gallium (1.26 g, 5.4 mmol), bis(tetramethyl) n-propylcyclopentadienyl)zinc (2.11 g, 5.4 mmol), and ethylcyclohexane (25.17 g, 224 mmol) were mixed. The mixed solution was distilled, and the entire amount of the evaporated component was recovered. A part of the recovered solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. Even after 3 months or more, no color change or precipitation was observed.

[實施例8]將四甲基正丙基環戊二烯基銦(1.46g、5.3mmol)、四甲基正丙基環戊二烯基鎵(1.26g、5.4mmol)、雙(四甲基正丙基環戊二烯基)鋅(2.11g、5.4mmol)以及甲苯(25.17g、273mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。即便經過一週以上,溶液的顏色亦未自黃色發生變化,但觀測到析出少許固體。[Example 8] Tetramethyl-n-propylcyclopentadienyl indium (1.46 g, 5.3 mmol), tetramethyl-n-propylcyclopentadienyl gallium (1.26 g, 5.4 mmol), bis(tetramethyl) n-propylcyclopentadienyl)zinc (2.11 g, 5.4 mmol) and toluene (25.17 g, 273 mmol) were mixed. The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. Even after one week or more, the color of the solution did not change from yellow, but a little solid was observed to be precipitated.

[實施例9]將乙基環戊二烯基銦(InEtCp)(0.11g、0.53mmol)、雙(乙基環戊二烯基)錫(Sn(EtCp) 2)(0.16g、0.52mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。即便經過3天以上,亦未觀測到顏色變化或沈澱。 [Example 9] Ethylcyclopentadienyl indium (InEtCp) (0.11 g, 0.53 mmol), bis(ethylcyclopentadienyl) tin (Sn(EtCp) 2 ) (0.16 g, 0.52 mmol) mix. The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. No color change or precipitation was observed even after 3 days or more.

[實施例10]將乙基環戊二烯基銦(InEtCp)(0.10g、0.48mmol)、雙(乙基環戊二烯基)錫(Sn(EtCp) 2)(0.15g、0.48mmol)以及四氫呋喃(0.25g、3.4mmol)混合。將所混合之溶液加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。即便經過3天以上,亦未觀測到顏色變化或沈澱。 [Example 10] Ethylcyclopentadienyl indium (InEtCp) (0.10 g, 0.48 mmol), bis(ethylcyclopentadienyl) tin (Sn(EtCp) 2 ) (0.15 g, 0.48 mmol) and tetrahydrofuran (0.25 g, 3.4 mmol). The mixed solution was put into a glass container and sealed, and then stored at 30°C, and the state was observed. No color change or precipitation was observed even after 3 days or more.

[實施例11]將與實施例7同樣地混合之溶液的一部分進行濕式分解所獲得之液體之ICP(Inductive Coupled Plasma;電感耦合電漿)發射光譜分析之結果為,In、Ga、Zn的含量分別為1.78%、1.10%、1.05%(理論值In:2.01%、Ga:1.26%、Zn:1.17%)。將所混合之溶液進行蒸餾,將揮發成分全部回收。將所回收之溶液進行濕式分解所獲得之液體之ICP發射光譜分析之結果為,In、Ga、Zn的含量分別為1.85%、1.15%、1.09%。這些結果表明,混合材料的比例在揮發前後無變化,可謂適合作為化學蒸鍍用之材料。[Example 11] The result of ICP (Inductive Coupled Plasma; Inductively Coupled Plasma) emission spectroscopic analysis of a liquid obtained by wet decomposition of a part of the solution mixed in the same manner as in Example 7 was that In, Ga, and Zn The contents were 1.78%, 1.10%, and 1.05% (theoretical values In: 2.01%, Ga: 1.26%, Zn: 1.17%). The mixed solution was distilled to recover all the volatile components. The result of ICP emission spectroscopic analysis of the liquid obtained by wet decomposition of the recovered solution showed that the contents of In, Ga, and Zn were 1.85%, 1.15%, and 1.09%, respectively. These results show that the ratio of the mixed material does not change before and after volatilization, and it can be said to be suitable as a material for chemical vapor deposition.

[比較例1]將四甲基正丙基環戊二烯基銦(0.10g、0.36mmol)加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。經過一天後,溶液的顏色變為茶色,並且析出灰色固體。[Comparative Example 1] After adding tetramethyl-n-propylcyclopentadienyl indium (0.10 g, 0.36 mmol) to a glass container and sealing it, it was stored at 30°C, and the state was observed. After one day, the color of the solution changed to tan, and a gray solid precipitated.

[比較例2]將四甲基正丙基環戊二烯基銦(0.10g、0.36mmol)及四氫呋喃(0.10g、1.39mmol)加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。在數分鐘之內,溶液的顏色變為黑色。經過一天後,溶液的顏色保持黑色不變,並且析出灰色固體。[Comparative Example 2] After adding tetramethyl-n-propylcyclopentadienyl indium (0.10 g, 0.36 mmol) and tetrahydrofuran (0.10 g, 1.39 mmol) to a glass container, melting and sealing, it was stored at 30°C and observed. state. Within minutes, the color of the solution turned black. After one day, the color of the solution remained black and a gray solid had precipitated.

[比較例3]將乙基環戊二烯基銦(InEtCp)(0.30g、1.44mmol)加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。經過一天後,析出灰色固體。[Comparative Example 3] After adding ethylcyclopentadienyl indium (InEtCp) (0.30 g, 1.44 mmol) to a glass container and sealing it, it was stored at 30°C, and the state was observed. After one day, a grey solid precipitated out.

[比較例4]將乙基環戊二烯基銦(InEtCp)(0.34g、1.63mmol)以及四氫呋喃(0.34g、4.72mmol)加入至玻璃容器並熔封後,於30℃進行保存,觀察狀態。 經過一天後,析出灰色固體。[Comparative Example 4] After adding ethylcyclopentadienyl indium (InEtCp) (0.34 g, 1.63 mmol) and tetrahydrofuran (0.34 g, 4.72 mmol) to a glass container, melting and sealing, it was stored at 30°C, and the state was observed. . After one day, a grey solid precipitated out.

Claims (6)

一種用以製造含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料,相對於下述通式(1)或通式(2)所表示之化合物100mol,以0.1mol以上之比例含有下述通式(3)至通式(6)所表示之化合物之任意一種以上; In(C 5H 4R) ・・・(1) In(C 5(CH 3) 4R) ・・・(2) M 1(C 5H 4R) ・・・(3) M 2(C 5H 4R) n・・・(4) M 1(C 5(CH 3) 4R) ・・・(5) M 2(C 5(CH 3) 4R) n・・・(6) 通式(1)至通式(6)中,R分別獨立地表示氫原子或碳數1以上至6以下之烷基,通式(3)以及通式(5)中,M 1表示銦以外的金屬,通式(4)以及通式(6)中,M 2表示銦以外的金屬,n表示2至4之整數。 A raw material for chemical vapor deposition for producing a film containing indium and one or more other metals, containing the following in a ratio of 0.1 mol or more to 100 mol of the compound represented by the following general formula (1) or general formula (2) Any one or more of the compounds represented by the general formula (3) to the general formula (6); In(C 5 H 4 R) ・・・(1) In(C 5 (CH 3 ) 4 R) ・・・(2 ) M 1 (C 5 H 4 R) ・・・(3) M 2 (C 5 H 4 R) n・・・(4) M 1 (C 5 (CH 3 ) 4 R) ・・・(5) M 2 (C 5 (CH 3 ) 4 R) n・・・(6) In the general formulae (1) to (6), R each independently represents a hydrogen atom or an alkyl group having 1 to 6 carbon atoms , in general formula (3) and general formula (5), M 1 represents a metal other than indium, in general formula (4) and general formula (6), M 2 represents a metal other than indium, and n represents an integer from 2 to 4 . 如請求項1所記載之用以製造含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料,其中前述通式(3)以及通式(5)中,M 1表示鎵,前述通式(4)以及通式(6)中,M 2表示鋅或錫。 The raw material for chemical vapor deposition for producing a film containing indium and one or more other metals according to claim 1, wherein in the general formula (3) and the general formula (5), M 1 represents gallium, and the general formula ( 4) and in general formula (6), M 2 represents zinc or tin. 如請求項1或2所記載之用以製造含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料,其中前述通式(1)至通式(6)中之R相同; 含有前述通式(1)所表示之化合物、及通式(3)以及/或者通式(4)所表示之化合物;或者 含有前述通式(2)所表示之化合物、及通式(5)以及/或者通式(6)所表示之化合物。 The raw material for chemical vapor deposition for producing a film containing indium and one or more other metals as described in claim 1 or 2, wherein R in the aforementioned general formula (1) to general formula (6) is the same; Contains the compound represented by the aforementioned general formula (1), and the compound represented by the general formula (3) and/or the general formula (4); or The compound represented by the aforementioned general formula (2) and the compound represented by the general formula (5) and/or the general formula (6) are contained. 如請求項1或2所記載之用以製造含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料,進而含有溶媒,且於前述化學蒸鍍用原料中,通式(1)或通式(2)所表示之化合物與通式(3)至通式(6)所表示之化合物之任意一種以上之合計濃度為0.01wt%以上。The raw material for chemical vapor deposition for producing a film containing indium and one or more other metals as described in claim 1 or 2, further comprising a solvent, and in the aforementioned raw material for chemical vapor deposition, the general formula (1) or the general formula The total concentration of the compound represented by (2) and any one or more of the compounds represented by the general formula (3) to (6) is 0.01 wt % or more. 如請求項3所記載之用以製造含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料,進而含有溶媒,且於前述化學蒸鍍用原料中,通式(1)或通式(2)所表示之化合物與通式(3)至通式(6)所表示之化合物之任意一種以上之合計濃度為0.01wt%以上。The raw material for chemical vapor deposition for producing a film containing indium and one or more other metals as described in claim 3, further comprising a solvent, and in the aforementioned raw material for chemical vapor deposition, the general formula (1) or the general formula (2) The total concentration of the compound represented by ) and any one or more of the compounds represented by the general formula (3) to (6) is 0.01 wt % or more. 一種含有銦以及一種以上其他金屬之膜的製造方法,係使用如請求項1至5中任一項所記載之用以製造含有銦以及一種以上其他金屬之膜的化學蒸鍍用原料,並藉由化學蒸鍍法來形成含銦氧化膜。A method for producing a film containing indium and one or more other metals, using the raw material for chemical vapor deposition for producing a film containing indium and one or more other metals as described in any one of claims 1 to 5, and using The indium-containing oxide film is formed by chemical vapor deposition.
TW110145133A 2020-12-04 2021-12-03 Raw material for vapor deposition for producing film containing indium and one or more other metals and method for producing film containing indium and one or more other metals TWI788126B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2020201422 2020-12-04
JP2020-201422 2020-12-04
JP2021-189893 2021-11-24
JP2021189893A JP2022089772A (en) 2020-12-04 2021-11-24 Vapor deposition raw material for manufacturing film including indium and one or more kind of other metal and method for manufacturing film including indium and one or more kind of other metal

Publications (2)

Publication Number Publication Date
TW202231904A true TW202231904A (en) 2022-08-16
TWI788126B TWI788126B (en) 2022-12-21

Family

ID=81853548

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110145133A TWI788126B (en) 2020-12-04 2021-12-03 Raw material for vapor deposition for producing film containing indium and one or more other metals and method for producing film containing indium and one or more other metals

Country Status (4)

Country Link
US (1) US20240003004A1 (en)
KR (1) KR20230108319A (en)
TW (1) TWI788126B (en)
WO (1) WO2022118744A1 (en)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4720560A (en) * 1984-10-25 1988-01-19 Morton Thiokol, Inc. Hybrid organometallic compounds, particularly for metal organic chemical vapor deposition
JP4710481B2 (en) * 2005-08-17 2011-06-29 住友化学株式会社 Organometallic compound supply container
JP2008091470A (en) * 2006-09-29 2008-04-17 Showa Denko Kk Method for forming film of group iii nitride compound semiconductor laminated structure
US8997775B2 (en) * 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
JP6237882B2 (en) * 2014-03-27 2017-11-29 宇部興産株式会社 Organometallic compound-containing gas supply device
JP6777933B2 (en) 2016-12-02 2020-10-28 株式会社高純度化学研究所 A raw material for chemical vapor deposition and a method for producing the same, and a method for producing an indium-containing oxide film formed by using the raw material for chemical vapor deposition.
US11655538B2 (en) 2017-06-09 2023-05-23 Kojundo Chemical Laboratory Co., Ltd. Precursor for chemical vapor deposition, and light-blocking container containing precursor for chemical vapor deposition and method for producing the same
JP7240903B2 (en) 2019-03-05 2023-03-16 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Indium compound and method for forming indium-containing film using said indium compound

Also Published As

Publication number Publication date
KR20230108319A (en) 2023-07-18
TWI788126B (en) 2022-12-21
US20240003004A1 (en) 2024-01-04
WO2022118744A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
JP5180040B2 (en) Metal complexes of tridentate beta ketoiminates
EP0873343B1 (en) Metal complex source reagents for chemical vapor deposition
US7442407B2 (en) Tantalum and niobium compounds and their use for chemical vapour deposition (CVD)
KR102367495B1 (en) Raw material for chemical vapor deposition, light-shielding container containing raw material for chemical vapor deposition, and method for manufacturing the same
TWI826713B (en) Method for manufacturing tin-containing thin film
JP2021507124A (en) Method of forming a metal-containing film
JP2018090855A (en) Raw material for chemical vapor deposition, production method thereof, and production method of oxide film containing indium formed by using raw material for chemical vapor deposition
Hatanpää et al. Study of bismuth alkoxides as possible precursors for ALD
TW201714890A (en) A novel compound, a raw material for forming a thin film and a process for producing a thin film
JP2023100705A (en) Raw material for chemical phase vapor deposition, raw material for atomic layer deposition, and manufacturing method of thin film containing tin
TWI788126B (en) Raw material for vapor deposition for producing film containing indium and one or more other metals and method for producing film containing indium and one or more other metals
JP4696454B2 (en) Novel organic iridium compound, method for producing the same, and method for producing the film
KR102355133B1 (en) Precursor For Forming A Thin Film, Method For Preparing Thereof, Method For Preparing The Thin Film, and The Thin Film
Han et al. Synthesis and characterization of novel zinc precursors for ZnO thin film deposition by atomic layer deposition
JP2022089772A (en) Vapor deposition raw material for manufacturing film including indium and one or more kind of other metal and method for manufacturing film including indium and one or more kind of other metal
KR20150097429A (en) Precursor compositions for forming germanium antimony telurium alloy and method of forming germanium antimony telurium alloy layer using them as precursors
JP4225607B2 (en) Method for producing bismuth-containing composite metal oxide film
JP2019056133A (en) Method for depositing atomic layer of metal thin film
KR102548031B1 (en) Novel Organo-Indium Compounds and Method for forming thin film using the same
TWI439564B (en) Method for producing film and film for film formation
JP2021024846A (en) Bis(ethylcyclopentadienyl)tin
Su Design and Synthesis of Tungsten Oxo Complexes as Single Source Precursors for Chemical Vapor Deposition of Tungsten Oxide Films
US8431719B1 (en) Heteroleptic pyrrolecarbaldimine precursors
KR20040014266A (en) Organozirconium Composite and Method of Synthesizing the Same, Raw Material Solution Containing the Same, and Method of Forming Lead Zirconate Titanate Thin Film
Park et al. Evaluation of tin nitride (Sn 3 N 4) via atomic layer deposition using novel volatile Sn precursors