TW202228409A - 無線晶片對晶片高速資料傳送 - Google Patents

無線晶片對晶片高速資料傳送 Download PDF

Info

Publication number
TW202228409A
TW202228409A TW110133732A TW110133732A TW202228409A TW 202228409 A TW202228409 A TW 202228409A TW 110133732 A TW110133732 A TW 110133732A TW 110133732 A TW110133732 A TW 110133732A TW 202228409 A TW202228409 A TW 202228409A
Authority
TW
Taiwan
Prior art keywords
antenna
transmission
antennas
receive
chip
Prior art date
Application number
TW110133732A
Other languages
English (en)
Inventor
托加 阿西卡林
梁泰榮
狄巴巴尼 喬荷利
山田修平
羅亞 杜斯內傑德
豪山恩 尼格柏
艾思 凱帕尼思
奧納 歐漢
曼納茲 拉曼
肯尼斯 佛斯特
克里斯托福 霍爾
泰勒斯佛 坎格因
歐姆卡爾 卡哈德
史蒂芬諾 佩拉諾
彼得 賽格卓
薩 維德拉曼尼
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202228409A publication Critical patent/TW202228409A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/38Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith formed by a conductive layer on an insulating support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/28Combinations of substantially independent non-interacting antenna units or systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B5/00Near-field transmission systems, e.g. inductive or capacitive transmission systems
    • H04B5/20Near-field transmission systems, e.g. inductive or capacitive transmission systems characterised by the transmission technique; characterised by the transmission medium
    • H04B5/22Capacitive coupling
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B5/00Near-field transmission systems, e.g. inductive or capacitive transmission systems
    • H04B5/70Near-field transmission systems, e.g. inductive or capacitive transmission systems specially adapted for specific purposes
    • H04B5/72Near-field transmission systems, e.g. inductive or capacitive transmission systems specially adapted for specific purposes for local intradevice communication
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6627Waveguides, e.g. microstrip line, strip line, coplanar line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6644Packaging aspects of high-frequency amplifiers
    • H01L2223/6655Matching arrangements, e.g. arrangement of inductive and capacitive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/142HF devices
    • H01L2924/1421RF devices
    • H01L2924/1422Mixer
    • H01L2924/14222Frequency mixer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15159Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15313Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a land array, e.g. LGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Transceivers (AREA)
  • Transmitters (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

各種裝置、系統及/或方法進行無線晶片對晶片高速資料傳送。用於這類傳輸的策略包括:使用改善的微凸塊天線,無線晶片對晶片互連,預編碼及解碼策略,用以達成在視線傳輸中空間多工增益的通道設計,用於改善的傳輸之開放式腔室晶片設計及/或混合信號通道等化。

Description

無線晶片對晶片高速資料傳送
本揭露之各種態樣係關於無線晶片對晶片通訊,例如像是在多晶片封裝內、小晶片(chiplet)之間、多晶片封裝之間及/或在三維晶片封裝背景內。
現今的新興技術和應用持續漸增地需求更大的計算能力(computational power)。傳統上,能夠憑藉由摩爾定律(Moore’s law)所描述的固有進步來符合增加的計算要求或效能要求,其解釋了包括在積體電路中的電晶體之數目約每十八個月將翻倍。
然而,隨著在積體電路中電晶體之數目顯得正趨近由物理定律所支配地限制,電晶體翻倍的頻率緩慢下來了。於此同時,包含有人工智慧、機器學習、神經計算、資料服務、雲端計算的技術持續需求較高的計算效能。為符合現今漸增的計算需求的一方法早已是在單一封裝或模組中解離的資源之整合。在一些情形中,解離的資源為以晶片或小晶片的形式的硬體組件。小晶片能為以積體電路形式的功能方塊,其能具體地設計來與其它小晶片一起工作來形成較大或更複雜的晶片。亦即,小晶片可以指的是獨立組成,其建構出於多個較小的小晶片或晶粒建立的大晶片。小晶片可為多晶片模組(MCM; multichip module)或MCM裝置之積體電路方塊。另以陳述的是,小晶片可被了解為子處理單元(sub-processing unit)或解離功能資源(disaggregated functional resource)。小晶片可選擇地具有專門的功能且被設計以與相同多晶片裝置或模組之其它小晶片整合。小晶片可選擇地在其本身個別半導體晶粒上以通常小於其它晶片或處理器的實體維度來製造。
在本揭露的態樣中,在當適當的時候,術語「晶粒」可指組件(例如晶片或小晶片)被製造於其上的半導體材料之方塊。在適當的情形中,可使用術語「晶粒」來指的是從半導體材料製造的積體電路(例如,晶片、小晶片等)。
於此說明的裝置可以多晶片模組的形式。於此說明的多晶片模組為電子組合件,其中整合多個小晶片及/或其它離散的組件。在如此的整合中,多個小晶片能被當作彷彿他們是較大的積體電路。
藉由將小晶片整合於模組中之解離資源之整合可有效繞過摩爾定律的限制且提供對於現今應用需要的計算能力。然而,解離資源之整合就實現效能改善、成本效率及設計彈性而言引發許多挑戰。例如,在模組中小晶片或其它功能方塊之間的連接能給予困難及挑戰。
為了符合對於現今的應用之計算需求,使用了包括且整合多個解離資源的晶片或模組或小晶片。增加處理器之效能或能力的一個方式是增加在處理器上的計算元件或電晶體。然而,隨著電晶體之尺寸縮小,在摩耳定律工業中注解的電晶體翻倍的頻率已降速,從而限制此策略的有用性。提升效能的一個替代已為使用聚合異構的(aggregated heterogeneous)小晶片。
如於此所使用的,術語「小晶片」包括多晶片模組(MCM; multichip module)或MCM裝置之積體電路方塊。小晶片能被視為具有被設計來與相同多晶片裝置或模組整合之專門功能的子處理單元或解離功能資源。小晶片可在其本身個別半導體晶粒上以通常小於其它晶片或處理器的實體維度來製造。MCM單元提供小晶片之互連以使得形成完整的電子功能。
在本揭露的態樣中,在當適當的時候,術語「晶粒」可指組件(例如晶片或小晶片)被製造於其上的半導體材料之方塊。在適當的情形中,可使用術語「晶粒」來指的是從半導體材料製造的積體電路(例如,晶片、小晶片等)。
一MCM或MCM能為電子組合件,其可為包括多個組件或模組或電路的單一封裝。在於此的範例中,MCM能為佈設於包括晶粒對晶粒互連配置的單一封裝中之複數個小晶片,以用於將小晶片連接。在這類情形中,MCM之小晶片能被整合且裝設到統合的基板上,使得在使用上其能被當作彷彿其為較大的IC。統合的基板可為封裝載體或封裝載體基板。MCM之小晶片(和可能的其它組件)亦可共用共同的包裝(encapsulation)和共同的積體散熱器(IHS; integrated heat spreader)。
在一些情形中,MCM包括除小晶片的其它組件。亦即,MCM可包括具有其本身封裝的積體裝置,例如像是中央處理單元(CPU)、圖形處理單元(GPU)、場可程式化閘陣列(FPGA)等。該些具有他們本身封裝的這類組件能被佈設在共同基板上或在MCM中彼此相對靠攏鄰近內的基底層。
如於此所使用的,「機架(rack)」或「機架外殼(rack enclosure)」可為用於收置電子設備之任何類型的設備。機架收置多個類型或成組的電子設備,其具有在機架之單機架單元內收置的個別組的電子設備。機架之機架單元可(在一些情形中,例如垂直地)緊密地堆疊在一起。在本揭露之態樣中,機架單元可包含有或持有一或更多電路板,或簡稱「板」。各個板能包括複數個電子裝置,例如裝設該板的一或更多的多晶片裝置。機架可包括多個機架單元,其可被包入或包含於共同框結構或機櫃(chassis)中。
圖1繪示多晶片電子裝置100之簡化表示。裝置100包括複數個小晶片110a-f。小晶片110a-f之各者可包括一或複數個處理器核心或核心。除了小晶片110a-f之外,電子裝置100可包括如方塊150a及150b所表示的其它硬體及/或軟體資源。例如,電子裝置100可包括元件或組件(例如像是處理器(例如,CPU、GPU、AI引擎等)、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、可抹除可程式化ROM(EPROM)、電可抹除可程式化記憶體(EEPROM)、特定應用積體電路(ASIC)等)、軟體、硬體及/或韌體。
裝置100可包括用於裝設的基底層或基板120,小晶片和其它組件能被裝設在其上。在一些情形中,基板120可為印刷電路板(PCB),其包括在組件之間的有線連接,例如小晶片之間的有線連接和對於資源150a-b的有線連接。
圖1的裝置100能被視為2D(二維)裝置,因為組件裝設在單一平面上。然而,上面的方法可為較小價值的,因為裝設平面的面積(例如,佔面積(real estate))對於特定應用可能不足以允許足夠的組件。進一步,像是PCB的基底層之連接(例如,導電跡線)可能不合適用於需要快速互連的應用。
用於增加計算能力的另一架構方式為使用2.5維度(2.5 D)封裝。2.5D封裝之一範例係繪示於圖2的裝置200中。如本領域已知的,2.5D封裝能包括多個組件,例如裝設在中介層上的晶片或小晶片。傳統上,2.5D半導體封裝在矽中介層上擺置幾個或併排的晶片。此能見於圖2中,其中在裝置200中,在中介層230上使用凸塊245裝置晶片或小晶片210a及210b。中介層本身可被裝設在基底層、封裝載體或基板220上。
中介層為連接器之間的電介面。例如,中介層能提供組件(例如,晶片或小晶片等)之間的互連以及透過使用穿透基板通孔(through-substrate via)或穿透矽通孔(TSV; through-silicon via)的外部輸入/輸出(I/O)。中介層能為矽中介層,其具有比他們互連的晶片或組件還大的側向維度。
進一步,2.5D封裝裝置亦可包括橋接器(bridge)。例如,矽橋為小塊的矽,其能被嵌入在二組件之邊緣之下且提供其之間的互連。此能允許大多的晶片或組件在多個維度上被附接,因而消除在理論限制內異構晶片附接上額外的物理侷限。換言之,EMIB或橋接器必要地嵌入到標準封裝基板中且被使用來當切確需要時提供高互連密度,同時剩餘的標準封裝基板能被使用於剩餘的互連。
用於改善裝置的另一架構方式為使用半導體裝置或組件的三維(3D)堆疊。組件(例如,晶片或小晶片)能被佈設於3維中,取代佈設於2維中。這允許裝置或模組之組件在彼此更靠攏鄰近上來被擺置。
圖3A的模組裝置300為積體電路或組件(例如,小晶片)之3D異構整合的一範例。裝置300將解離的組件整合於垂直堆疊中。裝置包括至少第一垂直堆疊的小晶片310a-d和第二垂直堆疊的小晶片310e-f。在一些範例中,小晶片可為任何類型的硬體組件,例如包括任何類型的處理器(例如,CPU、GPU等)、AI引擎、加速器、記憶體或其它合適的或所欲的組件。如所繪示,垂直鄰接的小晶片係使用TSV 340及凸塊345來彼此連接。進一步,對於各個堆疊提供裝架的封裝基板320能進一步包括橋接器330以用於將小晶片之垂直堆疊連接。具體而言,橋接器330能直接將一堆疊的下方小晶片/組件310d與第二堆疊的下方小晶片/組件310h直接連接。橋接器的一範例為嵌入式多晶粒互連橋(EMIB; embedded multi-die interconnect bridge)。
隨著平均線長度變短,3D整合可提供組件(例如,晶片)之間改善的速度,因而導致較短的傳播延遲和改善的整體效能。3D異構積體裝置可以曼哈頓類(Manhattan-like)架構來建立,其包括異構小晶片之大的X-Y陣列(例如,CPU、GPU、AI、記憶體等),並且各個小晶片如在棋盤上的定位,其具有數個堆疊的晶粒。圖3B描繪裝置350,其為以曼哈頓架構來實行的MCM。垂直維度允許較佳的連接性和更大的設計可能性。進一步,資源之3D異構整合可提供由於較短導線(其導致較低功率消耗和低少寄生電容)而提供改善的效能同時消耗較低功率的裝置。降低功率預算導致較小熱產生、延長的電池壽命以及較低運算之成本。
然而,上述的技術對於大量3D整合無法良好的定比(scale),因為每線的資料率可能僅為2到10Gbps。例如,參照對於裝置300有線互連方式,除下兩個小晶片310d和310h外,沒有小晶片或組件具有直接連接。因此,若小晶片310a需要與小晶片310f連接且通訊,則資料路徑330會必需為延伸通過在第一堆疊上小晶片310b-310之TSV 340、通過EMIB 330且接著在到達小晶片310f之前通過小晶片310g及310h之TSV 340之者。因此,小晶片之間的通訊通常會需要使用許多連接。添加愈來愈多的組件,從而彼此需要通訊,在TSV、EMIB、中介層等中更多流量增加。此在流量上的增加在需要高傳送資料連接的情形中出現問題。
例如,為了創建1 Tbps的聚合資料傳送,會需要100到500的互連線。在當這樣的資料傳送對於相鄰晶片之間的通訊是可能的同時,其會物理上及經濟上不可實行來提供這樣的資料傳送以用於含有水平及垂直堆疊晶片之間上百條互連線的較大整合。
進一步,矽中介層之成本成比例於該中介層之面積。所以,在需要的數個或許多本地化高密度互連的情形中,成本會快速地累加。
簡而言之,TSV矽中介層相對地昂貴且對於需要大量數目的組件(例如,小晶片)的應用未良好的定比。進一步,將晶片或小晶片連接一起的線(互連)隨著定比在效能上衰減。亦即,線能主導IC之效能、功能性及功率消耗。
無線晶片對晶片互連的使用為用於實現高速傳送的方式,其會符合對於高效能計算產品及應用的要求。無線晶片對晶片(WC2C)技術能對有線通訊互補。WC2C藉由致能廣播和對於動態可重組態資料中心網路具有明顯益處的多點對多點連結來對於高效能計算產品提供額外的彈性。
圖4繪示包含有無線互連的MCM(MCM)400之範例。MCM 400包括解離資源(例如,小晶片410a-f)之3D整合。
小晶片410能被堆疊且裝設在封裝基板420上。為了致能無線通訊,各個小晶片或組件能包括天線或天線結構415以及射頻電路(例如,收發器電路412)。此外,模組400能包括或提供組件之間的有線通訊。類似於圖3的模組300,小晶片410可包括TSV(未繪示)和凸塊445,其能允許垂直互連。進一步,封裝基板420能包括橋接器(例如,EMIB)和其它類型的互連或走線的線(routing line),以用於提供組件之間的連接。
WC2C通訊可允可緻密的小晶片式的產品且輔助現存晶片對晶片通訊,例如有線互連。如在圖4的範例中所繪示,小晶片410a能與小晶片410f直接無線通訊。因此,在本揭露之態樣中,WC2C通訊能被使用來較大大地緩解或降低通過TSV、中介層或橋接器的資料流量,並且改善裝置效能、效率以及允許較大或更多大量3D異構整合。
依據本揭露之態樣,為了實行WC2C通訊,像是模組400的MCM可實行能被分成控制層(control plane)和資料層(data plane)的協定。
依據控制層之指引(directive),資料層攜載網路資料(例如,模組中資料(in-module data))。亦即,資料層依據由控制層所管理及提出的組態或走線路徑來進行實際轉發資料。
在至少一些情形中,WC2C通訊之資料層可使用具有經濟功率效率(economical power efficiency)的CMOS電路以在110-170GHz D帶中的頻率來操作。例如,在一些態樣中,天線可具有約1mm的間距。隨著CMOS技術持續演進和改善,頻率愈高,能實現降低天線元件之尺寸及間距和愈高的頻寬。
能使用不同的實行或情形以用於提供封裝中(in-package communication)通訊,像是WC2C通訊。例如,三類型的通訊對於封裝中或模組中通訊是可能的,包括無線超短距離(WXSR; wireless extra-short reach)、無線短距離(WSR; wireless short reach)和無線長距離(WLR; wireless long reach)。
圖5A-5C繪示這3類型的無線連結之範例,其能被使用於裝置內組態。在各個情形中,晶粒可被形成於共同基底層上,例如封裝層或載體。
在圖5A中所示出的MCM 500a或簡稱模組500a包括WXSR,其允許鄰接或直接相鄰的晶片之間點對點連結550a。在一範例中,鄰接或相鄰晶粒可被分開了1-4 mm間距。這類型的無線通訊相類似於橋連接,例如EMIB。
在圖5B中所示出的MCM 500b包括WSR通訊。如所繪示的,WSR通訊連接550b包括點對點連結類WXSR通訊,但亦包括斜對角晶粒的對角線連結,因而提供或致能多點-多點連結。例如,晶粒0除了晶粒1及4以外現直接具有與晶粒5的無線連結。相較之下,在實行WXSR通訊的模組500a中,晶粒0僅具有與晶粒1及晶粒4的直接無線連結。可組態對角線連結在目前有線互連之上添加了一定程度的設計彈性,因為其致能斜對角晶粒之間的直接連結,而不必跳轉切跳地(hop-switch-hop)跨晶粒。
在圖5C中示出的MCM 500c刻劃了使用WLR的WC2C通訊。如所繪示,WLR通訊550c能致能數個晶粒之間網格類型無線通訊。如在WSR中,晶粒之間的通訊為直接連結。亦即,資料通訊能在不具有於許多晶粒之上跳轉切跳下達成。WLR亦能致能對在網格上許多或所有晶粒(例如,在500c中的晶粒0-7)廣播。在各個情形中,例如模組500a、500b及500c,WC2C可能夠全雙工通訊。
圖5D繪示用於晶片對晶片通訊的非限制示範性通訊組態,包括:組態用於點對點通訊的超短範圍;組態用於多點對多點通訊的短範圍;以及組態用於多點對多點通訊的長範圍。
能完成使用WC2C通訊以用於封包中連結,且可類似地被使用於或應用到封包對封包無線通訊。
在當圖5中的WXSR、WSR及WLR通訊的範例已繪示以平面或2D環境中實行的同時,這樣類型的或類似類型的通訊亦可垂直地延伸。無線連結(例如點對點、廣播等)亦可被實行來允許一組件(例如,小晶片)與置於另外不同高度上的小晶片通訊。換句話說,無線通訊連結可允許沿著z方向(垂直)的通訊。
實行WC2C通訊的模組能包括控制層能力。亦即,為了擴增上述高速無線資料連結或資料層,控制層能力或功能性能被包括在模組中。使用無線控制信令實行的控制層功能可以建立於此所述的無線資料連接。能使用控制層協定來建立在模組或封裝內的無線通訊,並且更用以對於資料界定走線路徑。例如,包括WiFi、I 2C、USB及/或其它已知協定的工作協定為可能的。
控制層訊息或控制信令可以封包的形式來通知其它組件轉發資料或資料訊息到哪去。在一些態樣中,MCM之控制層訊息可藉由使用不同於用以管理的資料或資料層的頻率來實行,並且將網路資料或正被傳輸到多晶片裝置之組件/正自多晶片裝置之組件被傳輸的資料來組態。在一些情形中,訊息可以封包對封包類型的通訊配置來實行。例如,如於此所述的,多晶片裝置可包括具有他們本身個別封裝的組件。(此為相較於其可被封裝在一起的小晶片之MCM(例如,小晶片之晶粒共用共同的封裝))。在這樣的情形中,多晶片裝置可包括無線封裝對封裝通訊。此為圖6之情境,其中在裝置600中,數個組件(GPU 610、CPU 620、神經引擎630、加密處理器640、場可程式化閘陣列(FPGA)660、記憶體裝置670)具有他們本身的封裝,其包括用以實行無線封裝對封裝通訊的無線電路。
控制層可不僅針對多晶片封裝內管理通訊(亦意指為封裝中通訊),而亦可管理在模組或封裝(例如,MCM或封裝)之間的通訊。此類型的通訊可被視為無線封裝對封裝通訊。進一步而言,控制層可被使用於促進圖7中板對板通訊。亦即,於此所述的裝置或MCM能被裝設到板上,像是板720,其依次被收置於機架單元中,像是機架單元780。在板對板通訊中,無線通訊可發生於不同板720之裝設的裝置710(例如MCM)之間。此外,圖8繪示了可將無線通訊延伸為例如在機架800之機櫃810內的機架單元對機架單元通訊。
在本揭露之態樣中,控制層電路能被提供於MCM之晶粒中且組態以提供用於涉及於此所述的裝置(例如,MCM)、晶粒及封裝之無線通訊的控制層功能。控制層電路可如範例子-10GHz RF載波技術來使用或操作,用以針對各種情境致能點-多點、可廣播、全雙工無線控制/可管理性連結,例如板-板、封裝-封裝及封裝內小晶片-小晶片類型的通訊。控制信令可以封包的形式,其反映任何合適類型的控制層協定。控制層電路可以在模組中特定應用方式來整合。控制層電路之組件,像是收發器電路或天線結構,可與於此所述的任何部分的MCM來整合或合併。進一步,控制層電路(像是天線、連接或波導)之態樣或組件亦可被包括或合併到持有或含有MCM的其它組件中,像是板、機櫃、機架等。
依據本揭露之態樣,子-10GHz技術可被使用於控制信令。在子10 Ghz處的操作能允許過程可攜性和易採用射頻(RF; radio frequency)收發器且可使用近場耦合器/天線。RF連結之彈性能允許在來自機架單元對機架單元的產品機櫃內方便擺置及使用,且允許3D異構積體半導體產品。例如,在本揭露之至少一些態樣中,控制信令位元率在多達20 cm的距離之上可在0.5-2 Gbps的範圍中,支援對稱和非對稱拓撲兩者。此距離可隨增加的頻率而減少,例如對於多達約100 GHz的頻率,距離可在約1 cm之範圍中。
對於WC2C通訊,資料層和控制層兩者需要使用RF電路。圖9繪示了繪示無線電路900的方塊圖。無線電路900包括硬體組件,例如用於基帶信號處理的基帶積體電路950、用於射頻信號處理的無線電電路910以及天線或天線結構940。
無線電電路910可包括RF積體電路(IC)920,其包括一或更多RF收發器(TRX)和共同RF前端(FE)930。RF IC 920可接收一或更多資料及控制信號(亦意指為OSI模型之控制層的信號)且操作以接收來自基帶IC的通訊信號並且從用於來自電路900的無線電傳輸的通訊信號產生RF電信號,或是接收RF電信號且從RF電信號產生通訊信號以用於提供給基帶IC。RF FE 930可將RF電信號轉換成用於經由天線940傳輸的格式及/或將從天線940接收的信號轉換成用於RF IC 920的RF電信號。
圖10繪示RF前端部930之範例,其可在電路900中實行。圖10之RF前端930的接收信號路徑(Rx路徑)包括用於放大接收的RF信號的LNA(低雜訊放大器)1010且將放大的所接收的RF信號提供作為輸出。圖10之RF前端930的傳輸信號路徑(Tx路徑)包括用於放大輸入RF信號的PA(功率放大器)1030。可包括一或更多濾波器以用於產生用於傳輸及接收的合適的RF信號。此外,圖10之RF前端930可包括其它組件1020或電路,例如像是調諧器或匹配網路、交換器、多工器及/或用於將RF前端930耦合至天線940的其它電路,如在圖9中所示出的。此外,可包括其它組件以支援傳輸及接收模式兩者。
至少圖9之RF FE 930可將從天線940獲得的信號提供給RFIC 920。收發器鏈或RFIC 920能在RF FE 930與一或更多其它組件之間介接。
圖11繪示RFIC或收發器電路920之一範例。如所繪示的,收放器鏈/RFIC 920能包括組件,諸如混頻器電路1110、合成器電路1120(例如,本地振盪器)、濾波器電路1130(例如,基帶濾波器)、放大器電路1140、類比至數位轉換器(ADC; analog-to-digital converter)電路1150、數位至類比(DAC)電路1160、處理電路1170以及其它合適的數位前端(DFE; digital front end)組件1180,用來舉一些例子。處理電路1170可包括處理器,至少在一範例中諸如一或更多時間域及/或頻率域處理器/組件。
其餘組件1180可包括邏輯組件、調變/解調元件以及用於與另一個組件介接的介面電路。
DFE(數位前端)組件1180可包括任何合適的數目及/或類型的組件,其組態以進行已知要與數位前端關聯的功能。此可包括處理處理電路、處理電路之部分、具有專用數位前端功能性的板上小晶片之一或更多部分(例如,數位信號處理器)等。DFE組件1180可基於無線電電路910之操作模式選擇性地進行特定功能,例如可促進波束形成(beamforming)。數位前端組件亦可包括與資料傳輸關聯的其它組件,例如像是傳輸器減損校正(transmitter impairment correction)(諸如,LO校正、DC偏移校正、IQ不平衡校正以及ADC偏斜)、數位預失真(DPD; digital pre-distortion)計算、校正因子(CF)計算以及預強調(pre. emp.)計算。為了提供額外的範例,數位前端組件1180可促進或進行接收器或傳輸器數位增益控制(DGC; digital gain control)、升取樣、降取樣、零交越偵測演算法、相位調變、進行波束管理、數位阻擋器去除、接收信號強度指示符(RSSI; received signal strength indicator)測量、PDP以及校準加速度器、測試信號產生等。
在至少一範例中,收發器鏈(RF IC 920的)能包括接收信號路徑,其包括混頻器電路1110、放大器電路1140以及濾波器電路1130。在一些態樣中,收發器鏈920之傳輸信號路徑可包括濾波器電路1130及混頻器電路1110。收發器鏈920亦可包括合成器電路1120以用於將對於藉由接收信號路徑和傳輸信號路徑之混頻器電路1110使用的頻率信號合成。在一些態樣中,接收信號路徑之混頻器電路1110可被組態以基於由合成器電路1120所提供的合成頻率來下轉換從RF FE 930接收的RF信號。
在一些態樣中,輸出基帶信號和輸入基帶信號可為數位基帶信號。在這樣的態樣中,無線電電路910可包括類比至數位轉換器(ADC)1150和數位至類比轉換器(DAC)電路1160。
在至少一範例中,收發器鏈920亦可包括傳輸信號路徑(Tx路徑),其可包括用以上轉換(up-convert)例如由數據機提供的基帶信號的電路並且提供RF輸出信號給RF FE 930以用於傳輸。在一些態樣中,接收信號路徑可包括混頻器電路1110、放大器電路1140及濾波器電路1130。在一些態樣中,RFIC 920之傳輸信號路徑可包括濾波器電路1130及混頻器電路1110。RFIC 920可包括合成器電路1120以用於將對於藉由接收信號路徑和傳輸信號路徑之混頻器電路1110使用的頻率信號合成。接收信號路徑之混頻器電路1110可被組態以基於由合成器電路1120所提供的合成頻率來下轉換從RF FE 930接收的RF信號。
在各種態樣中,放大器電路1140可被組態成放大下轉換的信號,並且濾波器電路可為低通濾波器(LPF; low-pass filter)或帶通濾波器(BPF; band-pass filter),其被組態以從下轉換的信號移除不想要的信號,用以產生輸出基帶信號。輸出基帶信號可被提供到另一個組件以用於進一步的處理。在一些態樣中,輸出基帶信號可為零頻基帶信號,雖然這並非必要。
接收信號路徑之混頻器電路1110可包含被動混頻器,雖然本揭露之範圍並不限於此態樣。在一些態樣中,傳輸信號路徑之混頻器電路1110可被組態以基於由合成器電路1120所提供的合成頻率來上轉換輸入基帶信號,用以產生用於RF FE 930的RF輸出信號。
在一些態樣中,接收信號路徑之混頻器電路1110及傳輸信號路徑之混頻器電路1110可包括二或更多混頻器,並且可被分別安排用於正交(quadrature)下轉換或上轉換。在一些態樣中,接收信號路徑之混頻器電路1110及傳輸信號路徑之混頻器電路1110可包括二或更多混頻器,並且可被分別安排用於影像拒斥(例如,哈特利影像拒斥(Hartley image rejection))。在一些態樣中,接收信號路徑之混頻器電路1110及混頻器電路1110可以被分別安排用於直接下轉換或直接上轉換。在一些態樣中,接收信號路徑之混頻器電路1110及傳輸信號路徑之混頻器電路1110可被組態用於超級外差運算(super-heterodyne operation)。
在一些態樣中,合成器電路1120可以為分數N(fractional-N)合成器或分數N/N+1(fractional N/N+1)合成器,雖然本態樣之範圍並不限於此態樣中,如其它類型的頻率合成器可以為合適的。例如,合成器電路1120可為德爾塔-錫格瑪合成器(delta-sigma synthesizer)、倍頻器(frequency multiplier)或包括具有除頻器(frequency divider)的鎖相迴路的合成器。
合成器電路1120可被組態以基於頻率輸入和分頻器(divider)控制輸入合成用於藉由無線電電路1120之混頻器電路1110使用的輸出頻率。在一些態樣中,合成器電路1120可以為分數N/N+1合成器。
在一些態樣中,頻率輸入可以由電壓控制振盪器(VCO; voltage controlled oscillator)提供,雖然這並非必要。在各種態樣中,分頻器控制輸入可藉由RFIC 920之處理組件所提供,或是可由任何合適的組件所提供。在一些態樣中,分頻器控制輸入(例如,N)可以基於由外部組件所指示的通道從查找表來決定。
在一些態樣中,RFIC 920之合成器電路1120可包括分頻器、延遲鎖定迴路(DLL; delay-locked loop)、多工器及相位累加器。在一些態樣中,分頻器可為雙模數分頻器(DMD; dual modulus divider)並且相位累加器可為數位相位累加器(DPA; digital phase accumulator)。在一些態樣中,DMD可被組態以將輸入信號除以N或N+1其一者(例如,基於實施)以提供分數除法比(fractional division ratio)。在一些態樣中,DLL可包括成組串接的(cascaded)、可調諧的延遲元件、相位偵測器、充電幫浦及D類正反器(flip-flop)。延遲元件可被組態以將VCO周期分成相位之Nd相等封包,其中Nd為在延遲線中延遲元件之數目。這樣一來,DLL提供負回授以幫助確保通過延遲線的總延遲是一個VCO循環。
在一些態樣中,合成器電路1120可被組態以產生載波頻率,作為輸出頻率,同時在其它態樣中,輸出頻率可為載波頻率之倍數(例如,兩倍的載波頻率、四倍的載波頻率)並且連同正交產生器及分頻器電路一起使用來以相對彼此的多個不同的相位在該載波頻率產生多個信號。在一些態樣中,輸出頻率可為LO頻率(fLO)。在一些態樣中,RFIC 920可包括IQ/極性轉換器(IQ/polar converter)。
圖12繪示可被實行的收發器鏈/RFIC 920之一範例。接收信號路徑(Rx路徑)電路下轉換從RF FE 930接收的RF信號且提供基帶信號。具體而言,接收信號路徑可包括混頻器1110b和ADC 1150。傳輸信號路徑(Tx路徑)電路上轉換提供的基帶信號且將RF輸出信號提供給RF前端930以用於傳輸。具體而言,傳輸信號路徑可包括DAC 1160和混頻器1110a。在圖12中繪示的收發器鏈包括合成器電路,具體而言,至少一本地振盪器(LO; local oscillator) 1120,用以產生用於混頻器1110a及1110b的參考信號。
在圖9中示出的天線940可包括用於傳輸及接收的單天線。在其它情形中,天線或天線結構940可包括以傳輸天線陣列之形式的多個傳輸天線以及以接收天線陣列之形式的多個接收天線。
在其它情形中,天線940可為一或更多天線,用以被使用為傳輸和接收天線。在這樣的情形中,RF FE 930可例如包括雙工器,用以將傳輸的信號與接收的信號分開。
在當於此說明的收發器包括傳統的超級外差配置或架構的同時,可使用其它類型的收發器或傳輸器架構及配置。在一些態樣中,RFIC 920之收發器鏈可包括組件以使得實行近零IF配置、直接轉換配置或數位傳輸配置,例如像是數位IQ傳輸、數位極性傳輸諸如此類。
在一範例中,RFIC 920之收發器鏈可包括傳輸路徑,其包括或實行直接數位傳輸器(DDT; direct digital transmitter)。亦即,在一簡單的範例中,DDT可包括數位信號處理器、RF數位至類比轉換器(RFDAC; RF digital-to-analog converter)、PA以及RF濾波器/天線耦合器。請參照回圖12,在DAC 1160為直接從數位輸出生成RF輸出的直接RF DAC的情形中,混頻器1110a可從傳輸路徑被省略。
例如,DDT可以或不以IQ混頻器來實行。一般而言,RF-DAC可被包括在RFIC中以將數位輸入轉換成RF信號。DDT可包括其它數位組件,像是數值控制振盪器(NCO; numerically controlled oscillator),以用於將輸入信號移至所欲的頻率。DDT之使用能降低在傳輸器或傳輸路徑中需要的類比組件的數目。例如,當運用直接數位傳輸器(DDT)時,類比LO、類比濾波器、類比混頻器等等可從RFIC消除。進一步,這樣的數位傳輸器或數位傳輸配置之使用可帶來能源節約和效率。
例如,圖12B繪示可被實行的收發器鏈之另一範例。接收信號路徑(Rx路徑)電路下轉換從RF FE 930接收的RF信號且提供基帶信號。具體而言,接收信號路徑可包括混頻器1110b和ADC 1150。傳輸信號路徑(Tx路徑)電路上轉換提供的基帶信號且將RF輸出信號提供給RF前端930以用於傳輸。具體而言,傳輸信號路徑可包括DAC 1160和混頻器1110a。在圖12中繪示的收發器鏈包括合成器電路,具體而言,至少一本地振盪器(LO)1120,用以產生用於混頻器1110a及1110b的參考信號。
DP#13
在異構晶片整合上的許多工作已有賴矽中介層及/或用於小晶片之間通訊的嵌入式多晶粒互連橋(EMIB)。然而,以製造困難度和成本來說,這類中介層及EMIB可載有明顯的缺陷。這樣的挑戰在多晶片封裝及/或三維晶片佈設中出現增加的晶片之數目中更明顯地增長。為了克服這些困難,所欲的是實行小晶片之間的無線通訊過程,從而在MCM之背景中允可無線通訊(例如,從一小晶片到另一者的通訊、從一小晶片到多個小晶片的通訊及/或廣播通訊)。
先前在MCM中的無線通訊上的嘗試已遭遇明顯的困難。主要在他們之間的問題是,角度的涵蓋、距離範圍及有效率的寬頻操作趨向於相當的受限,其至少由於不利的封裝中/矽通道環境及對於他們天線的低調形式因子要求的緣故。例如,由於小晶片之短且寬的形式因子,無線互連(例如,微凸塊天線)通常利用水平極化的天線。然而,由於從鏡像電流的放射電流去除,當封裝之頂層由金屬圖案所主導時,水平極化的天線可經歷有限的通訊範圍。當考量在氧化矽層之間的虛設填充圖案時,能進一步限制水平極化的天線之阻抗頻寬和輻射效能。
有鑑於此,其可有益的是,利用垂直極化的微凸塊天線;然而,由於微凸塊高度限制(例如,微凸塊高度通常小於100 μm),其能為極度挑戰的是獲得寬帶及有效率的天線效能。圖13繪示基於相較於定比的電尺寸(ka)之輻射品質因子(輻射Q or Q rad)的的天線尺寸和效能之基本限制理論之闡述,其中k為波數(2π /波長),並且a為僅包入天線結構的球體之半徑。另以陳述的是,圖13描繪針對給定天線之尺寸的特定天線之估計的輻射Q。因此,估計的輻射Q被繪製為單一點。輻射Q可例如關於3-dB頻寬。因此,
Figure 02_image001
可被了解為
Figure 02_image003
,或者
Figure 02_image005
(a/波長),其可被了解為天線之定比的電尺寸。元素
Figure 02_image001
因此變為用於描述天線輻射效能之球體波函數的共同輸入變數,其為用於界定限制理論的基本公式之其中一者。此外,如在圖13之底部相對沿著x軸之「1」所描繪的,對於決定天線是否電性地小或大,「ka == 1」是有用的準則。
圖14繪示用於各種輻射效率準則(0、-3、-6、-9、-12dB)(例如,限制曲線)之在200 µm的第一天線、在150 µm的第二天線及在100 µm的第三天線之放射Q及定比的電尺寸。此限制曲線被描繪為實線或虛曲線。正常來說,特定天線之輻射Q應高於輻射Q限制曲線。若否,則天線輻射效率應低於原始假定的輻射效率。依據這些圖,減少的尺寸應直接與至少減少的頻寬或減少的效率其一者關聯。然而,在多晶片背景中微凸塊天線之減少的尺寸可為意料之中(foregone conclusion),因而其必然發展策略及實行來創建夠小的天線以在小晶片之層中及/或於小晶片之層之間形成,同時相對圖13之理論限制達成改善的頻寬及/或效率。如在圖14中所示出的,具有110-170 GHz之100-μm之操作頻寬之垂直極化微凸塊天線的輻射效率會低於-12 dB。給定微凸塊之高度典型地小於100 µm,垂直極化微凸塊天線之間的無線連結效能會極度地不良,且實用無線通訊範圍會明顯地受限(<<1 mm)。
依據本揭露之態樣,在1302中所描繪的理論挑戰能使用寬帶、低調(low-profile)「槽孔(slot)」天線大大地或完全克服。這樣的天線可為垂直極化的、寬帶的微凸塊天線(3 SKUs),其可致能點對點和多點對多點無線通訊。他們可像是在積體散熱器(IHS)和封裝接地平面之間善用焊錫凸塊(solderbump)/微凸塊(例如,C4凸塊)及/或波導現象,用以量產具有可接受有效率及/或寬帶能力的極度低調天線,以用顧在多晶片封裝背景中的傳輸及/或接收。
依據本揭露之態樣,如於此所述的微凸塊天線可至少克服傳統無線互連天線之窄頻寬和不良的連結效能議題;在有限互連解決方案之過度複雜及拓撲限制上改善;允許3D積體產品之彈性布圖規劃(floor planning)來緩和熱/機械侷限;降低上市(time-to-market)時間;以及降低成本和遲延。
圖15描繪依據本揭露之態樣的示範性2×2 MIMO天線組態。如於此所述的一或更多寬帶、微凸塊天線(一這類天線被標籤為1502)可位於矽基板1504之邊緣處。依據本揭露之態樣,基板可具有約10 S/m的傳導性。基板可包括多個層,例如像是9個氧化物和8個金屬層。要假定的是,各個金屬層有著具有細微的圖案的高金屬密度,且適合塑造為固態金屬接地平面。方形金屬密封環1506可在矽基板之外周部上出現。積體散熱器(僅在側視圖中畫出)可放擺置在矽晶粒上。這些晶片可被連接至一或更多其它晶片,像是透過微凸塊經由覆晶片球格陣列(fcBGA; flip chip ball grid array)封裝。如於此所揭示的,如將於此更詳細說明的,這些微凸塊之一或更多者可作用為協同耦合結構的寬帶天線。依據本揭露之態樣,該些一或更多微凸塊天線可位於最底部金屬層,像是在第8金屬層上(m8層,在具有8金屬層中的底部金屬層)。封裝天線饋入(antenna feed)可包括或由封裝探針組成。為了本說明的目的,2×1天線陣列之間的分離距離假定為1 mm;然而,在給定的實行中,此分開距離可大於或小於1 mm。例如,於此揭示的微凸塊天線對於在<1 mm、<10 mm、<20mm或以上的距離上晶片對晶片傳輸可充分良好地進行。雖然為了簡化於此描繪2-D幾何,於此揭示的微凸塊天線可在3-D MCM背景中操作(例如,當晶片彼此堆疊時)。例如,對於3-D晶片對晶片傳輸,各個矽基板可包括MIMO天線,用以致能3-D異構的小晶片整合。
圖16描繪依據本揭露之態樣的寬帶微凸塊天線之詳細幾何。在此圖中,具有他們對應墊1603的複數個第一通孔被描繪在m7和m8 1602層之間。該m8層1602被描繪為至少藉由複數個終端或通孔被連接至鄰接層(複數個這類終端或通孔其中二者被標籤為1604和1606)。金屬層(在此範例中,m8層)可包括切斷(cutout),其形成耦合元件1608。該部分的相關功能將對照圖17來討論。複數個封裝通孔1604及1606為層m7~層m8通孔,併同對應的微凸塊。併同對應的微凸塊之封裝通孔1604及1606和封裝連接創建如於此所述垂直極化的微凸塊天線。
在圖17中,第一終端1604可被組態為用於微凸塊天線的饋入。微凸塊1702可被裝設在饋入終端1604上且與其電性傳導地連接。耦合元件1608可被裝設在饋入微凸塊1702上且與其電性傳導地連接。在此範例中,耦合元件1608被描繪為具有矩形形狀,雖然耦合元件可具有曲線的、圓形的、方形的、矩形的或可造成如將於此說明的適合的電感及/或電容的其它形狀。耦合元件1608可被組態以與被勵天線(driven antenna)1704(例如,放射微凸塊)電容耦合,其可被裝設在終端或通孔1704上。被勵天線1704(天線微凸塊)和周圍微凸塊可連接至封裝接地層,並且亦透過於m7和m8(例如,1608或1704)之間的通孔連接至m7接地層。特別是,被勵天線可連接至具有傳輸線的封裝接地層,其可不同於SKU#2,如下面將說明的。
饋入微凸塊1702可連接至在m8上曲線的傳輸線結構而非連接至m7接地層、m8接地層或封裝接地層。在此範例中,微凸塊之節距(包括饋入微凸塊和被勵天線微凸塊已為110.3 µm;然而,可取決於實行來利用其它節距)。對於微凸塊天線1704的寬帶阻抗匹配可由於下列的結果被進行:(1)在饋入之傳輸線和被勵天線之額外傳輸線之間寬度比,(2)在饋入與被勵天線微凸塊之間的分離距離,以及(3)從饋入微凸塊之曲線傳輸線耦合至被勵天線微凸塊。依據本揭露之一態樣,對於阻抗匹配需用的參數可透過各種全域搜尋最佳化(global-search optimization)技術來決定,包括(但不限於)基因演算法(genetic algorithm)、粒子群(particle swarm)、CMA演進策略。有關係的是,可以針對阻抗頻寬之聯合最佳化(joint optimization)、輻射效率及/或連結響應來寫成本函數。給定於此所提出的資訊,本領域具有通常知識者將了解如何更改該些上述的因子來達成所欲的阻抗匹配。
微凸塊周圍饋入和被勵天線微凸塊(例如,如在圖17中所畫出在耦合元件1608下面的微凸塊,如在圖17中所畫出的到耦合元件1608之左邊及到其右邊)可被電短接(electrically shorted)。該些短接的微凸塊可針對被勵天線1704界定放射孔徑,如於此所描繪的,其可為U形孔徑。
圖18繪示用於如於此所描繪具有1-mm天線分離距離和Port#1激勵/激發(excitation)的2x2 MIMO寬帶天線陣列組態之模擬的S參數結果(S 11:反射1802, S 21:隔離1804, S 31:直接連結1806, 及 S 41:交叉連結1808)。如在此圖中所能看見的,阻抗頻寬可為~45 Ghz ,具有10-dB回傳損耗準則。考量25 dB之範例最大通道損耗準則,用於直接連結的傳輸頻寬可超過60 GHz(110-170 Ghz),具有有效/顯著的dB邊限。在相同矽基板上鄰接元件天線之間的隔離可超過15 dB。交叉連結級數可小於~20 dB。
有鑑於此,寬帶微凸塊天線(SKU#1)可允許點對點超短範圍無線互連網路拓撲,像是具有多個晶粒的組態,用以將聚合的流量最大化。這類的無線互連拓撲係描繪在圖19中。在此圖中,八個晶粒或小晶片,標號為0-7,係依據本揭露之態樣的組態中來描繪。各個晶粒經由成組的雙向箭頭連接至二或更多其它晶粒。這些雙向箭頭指示晶粒之間的傳輸能力。亦即,角落晶粒0可被組態以傳輸至鄰接的晶粒1及4,及/或從其接收。一些晶粒(例如,如於此所描繪的內部晶粒1、2、5及6)可被組態以傳輸到至少三個其它晶粒並,及/或從其接收。例如,晶粒1可被組態以傳輸至鄰接的晶粒0、2及5,及/或從其接收。一或更多晶粒/小晶片可被組態以藉由傳輸到一或更多鄰接的晶粒及/或從其接收來傳輸到非鄰接晶粒/小晶片及/或從其接收,從而形成在多個晶粒/小晶片之間的傳輸鏈及/或接收鏈。雖然在圖19內的晶粒/小晶片於此二維地描繪,但該晶粒/小晶片亦可被組三維地組態,其中複數個晶粒彼此堆疊。如此一來,晶粒可不僅被組態來傳輸到/接收自與相同x-y平面鄰接地設置的晶粒,而亦傳輸到/接收自沿著z維度鄰接地設置的晶粒,其中x-y平面係由在圖19中描繪的平面所表示,且其中z軸為垂直於在圖19中描繪的平面的軸。
依據本揭露之態樣,一或更多天線可包括封裝饋入。天線可從在矽上一或更多收發器電路接收饋入(例如,用於無線傳輸的電信號)。以此方式,整體天線結構可被翻轉(例如,倒轉),並且曲線的傳輸線可位於封裝之頂層。
依據本揭露之態樣,(例如,SKU#2),可能的是利用選替的組態以不同封裝設計來造成改變的輻射行為。圖20描繪依據本揭露的天線組態。在此圖中,被勵天線2002包括焊錫微凸塊2004,其可為被勵天線2002之主要放射元件。此亦可包括一或更多短接元件2006,像是為了阻抗匹配的目的,如於此將更詳細說明的。被勵天線2002電連接至頂端負載(top-loading)元件2008,並且其可由一或更多電短接元件2006所包圍。頂端負載元件2008可為曲線的、圓形的、方形的、矩形的、或另外形狀的結構,其可由在金屬層(像是m8層)內一或多個切口所導致。
圖21描繪關於天線組態的m8層。如於此所繪示的,耦合元件2008係從m8層被切出。被勵天線凸塊的頂部可連接至耦合元件2008(例如,在m8上曲線的傳輸線圖案)。依據本揭露之態樣,m8金屬圖案可作用為頂端負載結構。其它周圍的微凸塊可被電短接,像是短接至矽基板接地平面和至封裝接地平面兩者。此電短接可形成輻射孔徑,其可進一步將引導射頻傳輸之主發射。頂端負載的、被勵微凸塊(例如,在圖21中的2004)可激發輻射孔徑。
圖22描繪依據本揭露之態樣在圖20及21中描繪的用於天線組態之模擬的S參數結果。在此圖中,S 11:反射係繪示為2202,S 21:隔離係繪示為2204,S 31:直接連結係繪示為2206,以及S 41:交叉連結係繪示為2208,以用於具有1-mm天線分離距離及Port#1激發/激勵的2×2 MIMO寬帶天線陣列組態。考量25 dB之範例最大通道損耗準則,用於直接及交叉連結的傳輸頻寬可超過60 GHz(110-170 Ghz),具有有效/顯著的dB邊限。按前述,依據本揭露所揭示的寬帶微凸塊天線(例如,SKU#2)可合適於點對多點超短範圍無線互連網路拓撲。這類拓撲可包括多個晶粒,像是在其中進行廣播訊息或最大化聚合流量的拓撲。圖23描繪晶片拓撲,其可許可訊息之廣播及/或用於天線拓撲的最大化聚合流量係揭示於圖20及21中。此圖描繪八個晶粒/小晶片(例如,晶粒0-7),安排用於展示的目的和矩形形成。晶粒可被組態以進行無線傳輸到複數個鄰近的晶粒,像是在第一方向上、在垂直於該第一方向的第二方向上及/或在對該第一方向及第二方向偏斜的第三方向上(例如,在相對於該第一方向及/或第二方向的45˚角處)。以此方式,晶粒可被組態用於傳輸到及/或接收自多個其它晶粒,用以允可晶片對晶片通訊及/或在多晶片背景中的廣播通訊。雖然為了方便圖23之晶片以二維格式來描繪,但晶片可選替地或額外地以三維格式來組態,使得複數個小晶片/晶粒係在彼此之上堆疊,並且通訊可在如上所陳述的第一、第二及/或第三方向及/或亦在沿著z軸的一或更多額外的方向上(例如,垂直於在圖23中所繪示的二維平面)作用(例如,被路由)。
給定實行可包括於此揭示的天線組態(例如,SKU#1和SKU#2)其一者或兩者。亦即,雖然多晶片實行可使用僅依據在某些組態中的SKU#1或SKU#2之天線組態,但多晶片實行可被組態以使用依據SKU#1的一或更多天線組態和依據SKU#2的一或更多天線組態兩者。要注意的是,SKU#1和SKU#2在矽基板上可共用同一的天線相關設計,並且僅使用不同封裝設計來組態天線,從而在他們的實行中給予彈性。
依據本揭露之另一態樣,於此揭示的天線設計可善用在積體散熱器(或散熱片(heat sink))和接地封裝頂部接地平面之間的波導現象。如此,他們的輻射效能可不會遵循周知的弗里斯自由空間( Friis’ free-space)路徑損耗方程式。例如,試想如在圖24中所示出的10-mm天線分離距離,其描繪包括一或更多天線2402的第一晶片和包括一或更多天線2404的第二晶片,其被分開了10-mm(描繪為10,000.00 µm)。按照弗里斯方程式,預期的路徑損耗在140 GHz上會是~25 dB,假定對於Tx及Rx天線兩者的5-dB增益。然而,在圖25中的模擬結果顯示隨寬帶操作路徑損耗反而是 ~15 dB。特別是,SKU#2之交叉連結勝過直接連結,其例如將SKU#2呈現對於矽晶粒之間對角無線通訊的良好候選者。
下列描述依據本揭露之第三態樣在MIMO設定中的天線組態,其被描繪於圖26中。可例如以4×4mm 2矽基板和1-mm天線分離距離來實行各種4×4 MIMO陣列組態。在第一組態中,圖26描繪群鏡像組態,其中第一群的天線結構被定結構成垂直且水平兩者來彼此鏡射(頂部四個天線彼此垂直地且水平地鏡射,並且用於天線的底部彼此垂直地且水平地鏡射)。雖然如包括八個天線的群鏡像形成係為了展示的目的來描繪,但於此揭示的裝置及原理可例如基於晶粒之數目、基於小晶片之數目或基於在給定組態中使用得晶片之數目而使用任何數目的天線。亦即,天線可以各種方式來實行,並且選定的天線之數目可取決於複數個因子之任一者,包括(但不限於):晶粒之數目;小晶片之數目;晶粒之間、小晶片之間的資料傳送要求;或其任何組合。
圖26選替地描繪併排鏡像組態,在其中在左側上第一直行的天線係同一地定向,並且在右側上第二直行的天線係同一地定向,使得在左側上的天線為在右側上的天線之鏡射影像。
圖26選替地描繪併排的旋轉佈設,其中在左側上第一直行的天線被定向於第一定向,而在右側上第二直行的天線被定向於第二定向(基本上自第一定向旋轉180˚)。
圖26選替地描繪單中心饋入定向,其中各個晶片/晶粒包括單中心饋入天線(例如,沒有於此所述的耦合元件)以及一或更多短接的天線,用以創建輻射孔徑。在此情形中,輻射孔徑沿著左直行的天線之左側來形成,使得主放射方向將朝向晶片形成之中央垂直軸,並且輻射孔徑沿著右直行的天線之右側形成,使得主放射方向將朝向晶片形成之中央垂直軸。
用於氧化層、金屬層、積體散熱器、模製材料及封裝的組態可與在SKU#1中的該些者為同一或類似的。群鏡射、併排鏡射以及併排旋轉陣列可包括SKU#1之元件天線。然而,如上面所述的,單中央饋入組態可被組態不具有耦合元件(例如,在m8層上的曲線的傳輸線)。取代的是,此組態可僅使用單中央設置的微凸塊以用於傳輸,其中此微凸塊可被電短接至m8層,其被稱為SKU#3。饋入微凸塊可位於從電短接的周圍微凸塊形成的U形輻射孔徑之中央。
圖27描繪在各種4×4 MIMO陣列組態(如在圖26中所說明的)之間模擬的直接連結比較。在此圖中,群鏡像組態被描繪為2702;併排鏡像組態被描繪為2704;併排旋轉組態被描繪為2706;單一、中央饋入組態被描繪為2708。天線組態SKU#3在寬頻率範圍(110-170 GHz)之上可提供相對平坦的響應,其可藉由降低等化器功率消耗來達成1 pJ/位元的功率規格。
圖28繪示依據本揭露之態樣用於極寬帶(DC-500 GHz)的模擬反射效能。此模擬指示天線組態SKU#3之阻抗頻寬為用於10-dB回傳損耗準則的~125GHz(175-300 GHz),但指示其亦可以額外的阻抗匹配電路從100-350 GHz來作用。
圖29描繪如於此所揭示的多天線組態,其中該天線被組態以進行直接連結(例如,無線連結到直接在晶片對面的晶片,亦即從左到右)和交叉連結(例如,斜向傳輸(oblique transmission))。對於從DC到500 GHz的直接及交叉連結的幅度(magnitude)及相位響應之比較係繪示於圖30中。
圖30繪示在圖29中所繪的直接連結和交叉連結之比較。直接連結#1係描繪為3002;交叉連結 #1-1係描繪為3004;交叉連結 #1-2係描繪為3006;以及交叉連結#1-3係繪示為3008。這些指示著SKU#3為對於無線互連應用要求高流量的優秀候選者。
如上所述,並且依據本揭露之態樣,於此所述的寬帶微凸塊天線一般可被分成兩個基本組態,如將更詳細說明的。至少在圖31中描繪共同元件(為了簡化視覺化,此圖之元件有意未按比例繪畫),其繪示兩者的組態可包括第一小晶片3102,其具有第一側和對立於該第一側的第二側;該小晶片可包括第一終端3104,其延伸於第一側和第二側之間;裝設在該第一終端上的第一焊錫元件3106;延伸於該第一側和該第二側之間的第二終端3108,裝設在該第二終端上的第二焊錫元件3110;以及電性傳導地連接至該第二焊錫元件的金屬元件2212;其中該金屬元件具有其外周至少部分包圍該第一終端的形狀。寬帶微晶片天線可包括一或更多電短接微凸塊(標籤為3114且由在圖31中的各個實心圓形狀所表示)。這些周圍、電短接的微凸塊3114形成放射孔徑(例如,反射體或槽孔孔徑),其可從寬帶微凸塊天線引導射頻信號。雖然為了展示的目的由複數個短接的微凸塊3114所形成的放射孔徑被形成為「U」形,孔徑可選替地由各種其它形狀形成。例如,孔徑可以「L」形狀、「_」形狀或「V」形狀來形成,其可更精製輻射圖案。例如,「L」形狀的放射孔徑將造成更指引的圖案,其在右向的方向上放射。「_」形狀的孔徑會造成較寬的視域(field of view)。「V」形狀的孔徑會在準向(boresight)上提供更指引的光束,其會造成較高的指引性,但較窄的視域。
在微凸塊天線之第一組態中,電路封裝可更包括第一傳輸線,其可電性傳導的連接至該第一終端;其中該第一終端可組態以從該第一傳輸線接收電信號且從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的電信號。以此方式,第一終端之第一焊錫凸塊可作用為被勵天線。
在此第一組態中,金屬元件可組態以將第二焊錫元件與第一焊錫元件電感地或電容地之至少其中一者來耦合。電容的及/或電感的耦合可例如由金屬元件之實體設計所導致。亦即,藉由金屬元件之外周,其在第一終端附近延伸或幾乎在第一終端旁,金屬元件形成一種迴圈,因而作用為電感器。更進一步而言,金屬元件對第一終端之靠攏鄰近(close proximity)造成電容耦合。給定與金屬元件關聯的電容及電感兩者的函數,金屬元件可建構地形成LC電路,其可更改第一焊錫元件之阻抗。亦即,金屬元件與第一焊錫元件之電感或電容耦合其中至少一者可進行關於第一終端及/或第一焊錫元件的阻抗匹配功能。第一終端可電性傳導的連接至第一小晶片之封裝接地層及/或m7接地層。
在此第二組態中,金屬元件可被組態為部分的主放射天線且可作用為頂端負載元件。依據此態樣的電路封裝可更包括第一傳輸線(在描繪於此圖中),其可電性傳導的連接至第二終端。第二終端可組態以從第一傳輸線接收電信號且組態以從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的電信號。以此方式,金屬元件及/或電性傳導的連接至金屬元件的焊錫元件變成主放射元件,並且第一終端被短接以引導所造成的射頻發射。以此方式,金屬元件可為頂端負載的天線及/或進行頂端負載功能。
不論是否實行如上所述的第一組態或第二組態,下列之任一者可為真。第一焊錫元件可為焊金凸塊及/或微凸塊;並且第一終端可為通孔。第二焊錫元件可為焊金凸塊及/或微凸塊;並且第二終端可為通孔。
不論是否實行第一組態或第二組態,電路封裝可更包括一或更多第三終端,其沿著金屬元件之至少一側擺置,其中該一或更多第三終端被電短接。以此方式,該一或更多第三終端可形成孔徑來引導射頻信號。該一或更多第三終端為通孔。金屬元件可係從電路封裝(像是從電路封裝之m8層)之至少一層被切出。
DP#3
市場壓力和製造成本創造出對於大製程晶粒的設計和製造之額外的挑戰。與創造單一、大製程晶粒相佐的是,通常較佳的是將晶粒解一體化(de-integrate)成為多個積體電路。此簡化可製造性(manufacturability)且亦可有助於終端產品之簡化空間設計考量。如上所述,這些細分的晶片可已知為小晶片。
隨著晶片架構變的更細分,小晶片之間的互連愈加地變為關鍵。這類互連必需支援具有高流量、高效率及低遲延特性的晶片對晶片連接性。傳統的互連可能不能提供具有低遲延之高效率、高流量連接。
依據本揭露之態樣,無線晶片對晶片廣播互連技術在具有低遲延的小晶片之間可產生高效率、高流量連接。藉由利用極短距離無線廣播通道協同在封裝/基底晶粒上的低損耗傳輸媒體,像是帶狀線(stripline), 所提出的解法致能具有高流量、高效率、低遲延以及可變距離的多晶片對多晶片互連,同時避免大數目的凸塊及走線壅塞。這些技術致能多個晶粒之間改善的互連,其可明顯地改善小晶片系統之效能。
在開發多晶片互連上一些傳統工作已有賴基於封裝的或基於PCB的有線互連。這類基於封裝或基於PCB有線互連一般不能符合由現今積體小晶片所需的頻寬及流量需求。再者,這類基於封裝或基於PCB有線互連係與走線困難關聯,而其通常困難的是在多晶片對多晶片通訊拓撲中實行有線互連。在開發多晶片互連上其它傳統工作已有賴於矽中介層/橋的有線互連。然而,對於此,增加的流量需要增加的跡線和凸塊的數量,其導入了走線壅塞。這些跡線和凸塊亦負面的影響良率,因而影響晶片製造之成本。再者,信號完整性和機械要求限制了通訊連結距離。在開發多晶片互連上其它傳統工作已有賴於光學互連。矽中介層/橋一般不允可多晶片對多晶片通訊。光學互連需要電至光轉換,其通常增加功率消耗和形狀因子(form factor)及成本。再者,對於雷射嚴格的熱要求亦可能引發額外的技術風險。如同矽中介層/橋,光學互連不允可多晶片對多晶片通訊。最後,藉由利用無線互連,無線互連已被嘗試於多晶片通訊;然而,高的空中(over-the-air)路徑損耗,或在材料媒體之上的路徑損耗明顯將SNR劣化。如此,有賴於無線互連的系統一般不能支援長距離通訊且可造成高功率消耗。此外,由於串流之間有限的隔離,困難的是增加無線串流的數目,其一般可造成有限的流量。最終,給定由於周圍的組件而無線通道能為富有多路徑環境,能更劣化效能。
於此揭示了用於多晶片對多晶片互連的(亦即,所有晶粒可彼此通訊)裝置和方法。這些裝置和方法可在極短距無線廣播通道中協定低損耗傳輸線或波導來操作。藉由將無線通道維持盡可能地短,同時利用低損耗傳輸媒體來延伸通道長度,於此所述的裝置及方法降低對於較長距離通道的無線連結損耗,同時保留多晶片對多晶片連結的選項。好處可包括晶粒對晶粒距離延伸、高流量、低遲延以及多晶片對多晶片互連能力。於此揭示的該裝置及方法可致能多晶粒之間無縫通訊,並且可改善小晶片系統之效能。所提出的方式亦能針對各種資料中心互連應用來實行。
圖32描繪依據本揭露之態樣的核心無線廣播多晶片對多晶片互連架構。在此圖中,第一晶粒3202經由第一傳輸線(未標示)連接至第一互連3203;第二晶粒3204經由第二傳輸線(未標示)連接至第二互連3205;第三晶粒3206經由第三傳輸線(未標示)連接至第三互連3207;以及第四晶粒3208經由第四傳輸線(未標示)連接至第四互連3209。在此情形中,互連可被組態為無線天線。互連/無線天線可具有致使許互連之可非常靠攏鄰近的形狀,如將更詳細地討論的。
彼此靠攏鄰近擺置的互連可界定無線通道並且可最小化或大大降低無線路徑損耗(例如,空中還是材料媒體之上),同時致能無線廣播以支援多晶片對多晶片通訊(例如,彼此多晶粒通訊)。藉由連接此無線通道且各個晶粒具有低損耗傳輸媒體,像是具有帶狀線、微帶狀線、共面波導、基板積體波導等,所提出的技術更延伸晶粒對晶粒通訊距離。
在圖32中繪示的拓撲係為了展示的目的而描繪為將四顆晶粒互連,在其中兩晶粒之組合能建立每次通過此通道的雙向通訊連結(亦即,在晶粒1-2、1-3、1-4、2-3、2-4或3-4之間)。雖然在此圖中描繪四晶粒架構,本概念不限於僅四顆晶粒並且能應用於任何數目的晶粒組態。無線廣播通道和傳輸媒體能在封裝或矽(像是,基底晶粒或橋晶粒)上形成。晶粒對晶粒的距離可係可調整與總路徑損耗一樣(例如,無線路徑損耗及傳輸線路徑損耗),滿足系統之最小SNR要求。本概念亦在需要多點對多點連接性的架構上在任何其它媒體中形成。
圖33描繪依據本揭露之態樣用於多晶片架構3302的範例互連(例如,天線元件)。在此組態中,天線被組態為使用堆疊的通孔的多層(例如,在此實例中的,14層)疊加封裝,用以實現垂直極化雙極天線。請注意,為了展示目的,此設計利用14層封裝基板疊加,並且於此所述的裝置及方法可以較小或較多的基板層來作用。
圖34及35進一步描繪圖33之互連。在圖34中,互連再被描繪為堆疊通孔之14層封裝。依據本揭露之態樣,堆疊的通孔可為相異長度的,使得中間部分(沿著互連的垂直軸的中間部分)可包括比頂部分或底部分還長的通孔。以此方式,此可造成連接終端的產生。如在圖35中所描繪的,頂部/或底部通孔可例示地約為135 µm長,並且通孔之長度可朝向互連的中間增加(例如,沿著垂直軸),使得最長通孔約為517.5 µm。
圖36描繪在圖32至35之組態中互連之模擬結果。如所繪示的,互連在140GHz 中央頻率展現~44GHz的頻寬和~3.6dB的天線增益。
圖37描繪如在圖32到35中所描繪的互連(例如,天線)之模擬結果。
圖38描繪依據本揭露之態樣使用對照圖32到35所述互連天線元件的所提出之無線廣播通道。於此所描繪的此拓撲可藉由允可天線被擺置極度彼此靠近而最小化無線連結路徑損耗,同時致能廣播能力以支援多晶片對多晶片互連。天線至天線的距離並非固定,但相反的如用於給定實行所欲的來調整。例如,距離可較大,只要結果滿足傳輸/接收之相關SNR要求。在此特定範例中(其僅被提供用於展示的目的),互連天線以300µm間隙被擺置於彼此對面的天線之間。
圖39及40描繪由圖38之互連天線所創建的無線通道之模擬結果。如由圖39所描繪的,模擬的回傳損耗已是 ~50Ghz 的頻寬。(在圖39中,各個連結被繪示為在分開的線/曲線中;然而,由於實質重疊,連結之間不太有意義的差距能被視覺的描繪)。如在圖40中所描繪的,在各個連結中(S21(P1到P2), S31(P1到P3)以及S41(P1到P4))傳輸已展示<10dB的路徑損耗。
更進一步而言,為了改善效能,吾人可以合適的材料選擇來最佳化在圖38中繪示的無線廣播通道(請參照互連天線之間的空間)。材料可選擇地相異於用於給定層之其餘的基板材料。例如,對於給定的實行,可所欲的是區域地使用材料(及/或使用基板孔洞),其中材料及/或孔洞提供具有最佳化的材料性質的較佳耦合媒體。
吾人可實行於此揭示用於無線互連的裝置及方法,用以透過低損耗傳輸媒體將各個晶粒和此無線通道連接,像是透過微帶狀/帶狀線,和可延伸晶粒對晶粒通訊距離的基板積體波導(SIW; substrate integrated waveguide)。圖41描繪在170GHz 遍佈各種距離之模擬的帶狀線之傳輸效能。圖42描繪用於圖41之模擬的「疊加」。疊加包括頂部接地層4202、基板4204、帶狀線4206、基板4208以及底部接地4210。在此圖42之疊加中,並且有鑑於圖41之模擬結果以及假定系統接受多達30dB的總路徑損耗,因為來自圖40之<10dB的無線路徑損耗,帶狀線路徑損耗可以多達20dB,以其線長度能多達~60mm,如在圖42中所描繪的。因此,例如,所提出的系統能如在圖33中所繪示被組態。此系統組態可在彼此被擺置相離多達 ~60mm的多個晶粒之間致能晶片對晶片通訊之無線廣播。此技術之實行可致能無縫晶片對晶片互連,從而明顯改善小晶片系統之效能。
如上所述,因為各種類型和數目的互連天線/耦合器能被使用來組態於此所述提出的無線通道,故注意力現將轉到依據本揭露之各種態樣的各種可能的實行。
圖43、44及45繪示依據本揭露之各種態樣的無線通道組態。在這些圖中,無線通道包括在封裝疊加上形成的頂端負載單極天線。在圖43中的天線被標籤為4302。在此範例中,天線之圓形頂端負載形狀可在具有微通孔的封裝之第1層上。各個天線之信號饋入可在第2層上,並且整個第3層可為接地平面。圖44從不同視角描繪圖43之天線組態。在此圖中,天線被間隔使得375 µm之間隙存在於橫臥在彼此對面的天線之間。如上所陳述的,天線之間的間隙並非固定在375 µm,而相反的可較大或較小,受到給定實行之需要且受到所欲的SNR之影響。如在圖44中所繪示的,天線之各者可經由傳輸線具有對天線埠的連接。以此方式,各個小晶片可控制其自身的天線。如上所述,一或更多不同的基板材料及/或孔洞通可改善天線之負載特性。圖45從側視角描繪圖43及44之天線組態。在此視角中,其能見到的是,天線可為單極天線,其藉由一或更多通孔4502形成/包括該一或更多通孔。可選的頂端負載結構4504可覆蓋天線以許可頂端負載天線功能。
於此所述的天線拓撲係為了展示的目的來繪示,具有給定數量的天線(例如,圖43、44及45之天線結構被繪示具有四個天線);然而於此所揭示的天線組態並不限於四個天線,而能選替地以更多或更少天線來形成。例如,圖46、47及48描繪如於此另以揭示的天線組態,但具有八個天線。圖47描繪所造成的8天線組態之通道,其中對立天線(例如,本質上沿著共同線或軸延伸的天線)之間的間隙為500 µm。如上所陳述的,此間隙可較大或較小,如對於給定實行之需要和用於給定SNR的要求所欲的。圖48描繪圖46及47之天線組態之側視圖,在其中天線埠(L2)藉由傳輸線連接到單極天線4802(例如,以一或更多通孔形成的天線)。在圖48中的天線被描繪由可選的頂部載入結構所覆蓋,用以致能天線頂端負載功能。由於在圖46、47及48中天線的增加數目,連接至此通道之總數目的晶粒亦增加。
圖49描繪具有8天線廣播通道的多晶片對多晶片互連系統,例如像是在圖46、47及48中描繪的廣播通道。在此圖中,各個晶粒4902經由傳輸線4902連接至其分的天線4903。天線4903(僅標籤一天線)可如對於給定實行所欲的及所欲的SNR來間隔。依據本揭露之態樣,將天線間隔使得約500µm之間隙出現在相對立的天線之間(例如,本質上沿著接合線或軸延伸的天線)。
圖50、51及52描繪依據本揭露之態樣的無線通道之態樣,在其中一或更多天線包括矽穿通孔(TSV; through-silicon-via)天線。通道可包括一或更多TSV天線5002,以及一或更多TSV反射體5004。矽之背側由於積體散熱器/導熱介面材料可被連接,其作動為用於TSV單極天線的頂端負載結構。如同於此揭露的其它組態,TSV天線各者可經由傳輸線連接至天線埠。TSV反射體可被組態以反射電磁輻射,從而其可增加抵達打算接收的天線的信號強度及/或改善SNR。
圖51描繪依據本揭露之態樣的圖50之天線結構的範例組態。在此範例中,TSV反射體可非常靠近在一起,像是在距相對立的天線約110.3 µm的距離處。一或更多TSV反射體可位於一或更多天線的附近,用以反射信號。如所描繪的,一或更多TSV反射器可例如被擺置在方形的形成中,具有長度上500 µm之側邊。再來,用於擺置天線和反射體的維度於此提供作為範例;然而,天線和反射體各者彼此可比在圖中所描繪的距離較靠近或較遠離地擺置。任何的空間要求、成本及/或所欲的SNR可關係到選擇的距離。
圖52描繪依據本揭露之態樣圖50之TSV天線組態的側視圖。在此圖中,天線被描繪為被嵌入到矽基板內。TSV天線係繪示為在直徑上9 µm和90 µm的高(例如,長)。一或更多積體散熱器可覆蓋一或更多天線。
圖53描繪依據本揭露之另一態樣的TSV天線通道之實行。在此組態中,第五晶粒(於標籤為「晶粒0」)5302可創建用於晶粒1-4的廣播通道。第五晶粒,其可位於晶粒1-4之間,像是在封裝的中央。如於此所述的,第五晶粒5302可包括複數個TSV天線5304。第五晶粒5302可包括複數個TSV反射體5306。TVS反射體5306可TSV天線5304之一些或所有附近的圖案中(例如,包圍或部分包圍TSV天線)。TSV反射體5306可組態以將電磁放射反射朝向一或更多天線5304,像是用以增加信號強度及/或改善SNR。依據本揭露之態樣,在圖53中所述的組態可允可任何一晶片之天線發送無線信號到任何其它晶片之天線。在如在5308及5302所描繪的四個晶片情境中(例如,四個傳輸晶片和一個天線晶片),晶片可能夠與鄰接的晶片及或斜向定位的晶片通訊。
圖54繪示依據本揭露之態樣在基底晶粒中TSV天線通道之實行。在此圖中,無線通道和晶粒之各者係透過傳輸線5132來連接,其亦被創建在基底晶粒上。吾人能使用任何已知的方式(例如,蝕刻、沉積等)在基底晶粒上創建傳輸線。TSV天線5404之各者可電性傳導地分別連接至傳輸線其中一者。一或更多TSV反射體5406可包圍或部分包圍TSV天線5404。該些一或更多TSV反射體5406可組態以將射頻發射反射朝向一或更多天線5404,像是用以增加信號強度及/或改善SNR。如在系統圖5408中所繪示,此佈設允可各個晶粒將射頻傳輸發送到其它晶粒之任一者或各者,無論相對於發送晶粒是鄰接的或斜向定位的。
圖55繪示依據本揭露之另一態樣在橋接晶粒中TSV天線通道之實行。在此組態中,傳輸晶粒(例如,小晶片)5502各者可經由傳輸線5504連接到無線通道。一或更多TSV反射體5506可包圍或部分包圍無線通道之天線。該一或更多TSV反射體5506可組態以將射頻發射反射朝向一或更多天線,像是用以增加信號強度及/或改善SNR。傳輸線5504可被裝設到橋接晶粒5508上。依據本揭露之態樣且其應欲用於給定實行,橋接晶粒可為多個橋接晶粒,完全嵌入於基板中及/或擺置於開放基板腔室,具有他們頂部表面高於基板之頂部表面。如在系統圖5510中所繪示,此佈設允可各個晶粒將射頻傳輸發送到其它晶粒之任一者或各者,無論相對於發送晶粒是鄰接的或斜向定位的。
圖56繪示依據本揭露之另一實施例的多串流TSV天線通道之實行。如在圖中所繪示的,TSV廣播通道之數目可大於或小於所描繪的通道之數目。該些TSV廣播通道可彼此隔離。此拓撲至少藉由增加線之數目上可為有益的,其可造成增強流量。在此圖中,額外的傳輸線係被描繪為5602。系統圖5604描繪通訊可能從各個晶粒到任何鄰接的晶粒,無論是否到左或右、頂或底、或對角線地/斜向地。如於此所揭示的,在圖中提到「x2」指示將傳輸線加倍以增加流量。
圖57描繪依據本揭露之態樣的多線道多串流的多晶片對多晶片互連系統架構。藉由結合多個廣播通道同時維持彼此之間的隔離,此系統包括在封裝(例如,圖50、51及52)和矽(例如,圖56)兩者上的組態的通道,用以增加總串流之數目。給定增加的線之數目,此可選的組態可更增強流量和能源效率。
於此揭示的該裝置和方法亦可應用到資料中心互連,其需要在某些材料媒體或空中內PCB對PCB和機架對機架長距離互連。為此,波導及/或其它傳輸媒體能與天線元件整合以允許多點對多點通訊情境。利用僅嵌入式傳輸媒體,像是波導、光纖或其它基於Cu的互連,一般不能有效率地實行多點對多點通訊架構。然而,具有奈米天線的光學傳輸線連結能使用這類結構/實行對於資料中心類型應用產生多點對多點連接性。
依據本揭露之態樣,多晶片射頻傳輸裝置可包括:第一小晶片;第一天線;以及第一傳輸線,其將第一天線連接至第一小晶片。其可更包括:第二小晶片;第二天線;以及第二傳輸線,其將第二天線連接到第二小晶片。以此方式,可組態及/或佈設裝置之元件使得第一小晶片與第二小晶片之間的最小距離大於第一天線與第二天線之間的最小距離。
雖然多晶片射頻傳輸裝置在正上方按照具有第一天線的第一小晶片和具有第二天線的第二小晶片來說明,但如於此所述多晶片射頻傳輸裝置可包括任何數目的小晶片及對應的天線。例如,在圖33中所描繪的,多晶片射頻傳輸裝置可包括四個小晶片及對應的天線。小晶片之數目可大於或少於四。小晶片之數目可僅藉由封裝空間及/或將多個對應的天線擺置於足夠彼此靠攏鄰近內來限制。
如於此所述,依據本揭露之態樣,天線可包括或由複數個垂直堆疊的通孔所組成。以此方式,通孔對於封裝之一或更多態樣是內建的,用以形成天線結構。選替地或此外,一或更多天線可包括一或更多矽穿通孔(TSV)及/或一或更多頂端負載單極天線。
如於此所述,複數個小晶片之各者可經由傳輸線連接至複數個天線之一者。傳輸線可為一或更多帶狀線、一或更多微帶狀線;及/或一或更多波導。在當使用一或更多波導下,一或更多波導可包括一或更多基板積體波導。
依據本揭露之另一態樣,傳輸線之一或更多者的長度為可變的。以此方式,晶粒對晶粒距離為可調的。此距離可為任何長度,其至少由可用空間(例如,在封裝上的空間)及/或總路徑損耗(例如,無線路徑損耗和傳輸線路徑損耗)來限制。
DP#6
隨著多得片實行變為更複雜,且隨著在多晶片處理器上的需求增加,其將變為越發地需要設計具有低複雜度、低功率多天線處理的陣列架構,來達成在視線(LOS; Line-of-sight)無線晶片對晶片(WC2C)通訊中的全空間多工(SM; spatial multiplexing),用以改善聚合資料流量。於此揭示用於
Figure 02_image007
MIMO的各種傳輸/接收方案,其考量跨天線的非線性減損及相位偏移。於此揭示的架構將MIMO解碼與每串流等化拆解以降低接收器的複雜度。
晶片對晶片資料通訊傳統上有賴於金屬線或導引的(光纖)光學其一者。在這些傳統組態中,多條線可將兩個晶片連接以增加聚合資料流量。如於此所述,在無線晶片對晶片連接性的背景中,MIMO天線架構可以固定低複雜度MIMO預編碼器/解碼器致能空間多工。
線和光學兩者遭受到物理空間要求、不良的網路可組態性、過度的功率消耗以及高成本。有線的拓撲負面地影響在小晶片中連接性的擴縮性(scalability)和彈性。例如,小型晶片之針腳的數目能使其受限,並且此限制了線的添加以增加資料流量。
然而,在無線晶片對晶片連接性中,隨著假定對稱的MIMO通道,使用固定的MIMO預編碼器/解碼器可提供更是最佳的效能。然而,使用此策略,在傳輸器/接收器處天線之間的任何相位偏移,或是在MIMO通道中任何失準(misalignment)可會負面地影響MIMO接收器之效能。
低複雜度預編碼器/解碼器係於此揭示用於以
Figure 02_image009
來使用以用來改善資料流量,像是太赫茲(THz; Terahertz)無線晶片對晶片連接性。此揭露之各種態樣可補償損害以及達成更是最佳的MIMO串流之分離。所提出的MIMO解碼器可在等化器之前。
無線連接性可提供彈性,其能擴增或取代用於小晶片之間傳輸的線之使用。此揭露之態樣致能具有低功率接收器的空間多工,即使出現失準和減損。
對於無線通訊的關鍵挑戰為,在現存系統(例如,有線系統)中所需的資料率已為在每秒數百個十億位元(gigabit)中。太赫茲通訊能生成幾百個Gbits/s的資料率,但單一無線連結仍不能達成對於晶片對晶片所需的資料流量(>500 Gbps)。減損及傳輸器/接收器失準係已知負面減損MIMO效能。
在晶片對晶片通訊中,通道可具有視線(LOS)特性,意味發射的射頻信號之至少一些可直接行進於傳輸天線和一或更多天線之間,而沒有首先被物件重新引導。在短距離中,球面波模型(SWM; spherical-wave model)為適當的通道模型,然而在多晶片背景中,出發方向(DOD; direction of departure)和到達方向(DOA; direction of arrival)對於傳輸天線和接收天線之各對是不同的。此性質可創建全級別(full-rank)的MIMO通道,在其中能達成等於天線之數目的SM增益。為了提供高級別的(high-rank)MIMO通道,其中D為傳輸器和接收器之間的距離,N為天線元件之數目以及
Figure 02_image011
波長,天線元件之間的間隔(d)為:
Figure 02_image013
。要注意的是,接收器架構並非d的函數。
在這類的短範圍LOS安裝中,若傳輸器和接收器對準,則
Figure 02_image009
通道能被塑造為循環矩陣(對稱通道):
Figure 02_image015
結果是,能藉由在傳輸器/接收器處固定的DFT預編碼/解碼來實行用以最佳地在接收器處將空間串流分開的特徵波束成形(eigen beamforming)。對於特徵波束成形實行的一個益處為所提出的設計將MIMO串流分離和每串流等化器拆解,從而幫助降低接收器之複雜度。
在實踐中,下列減損可造成非對稱MIMO通道,在其中DFT預編碼/解碼將為未達最佳的(suboptimal)。關於跨天線的相位偏移,各個天線具有分離的鎖相回路(PLL; Phase-locked loop)。能跨天線共用相同時脈,例仍將有在傳輸器(
Figure 02_image017
)及/或接收器(
Figure 02_image019
)處天線之間的相位偏移,特別是若天線未共用相同PPL。在傳輸器和接收器陣列之間可有失準(例如,如將在下文對照至少圖58討論的)。可有天線元件之相對置換。可有非對稱環境,其可包括與不同尺寸的小晶片異構整合。
圖58描繪依據本揭露之態樣的MIMO天線組態。在此圖中,傳輸裝置(例如,傳輸小晶片)包括兩個Tx天線5802及5804。接收裝置(例如,接收小晶片)包括兩個Rx天線5806及5808。理想地,精準的、預定的幾何組態會維持在Tx和Rx天線之間。亦即,Tx天線之各者會從Rx天線為精準的一或更多已知距離。然而,在實踐中,此可能不會發生。由於複數個原因之任一者,可發生在幾何組態中的變異,包括(但不限於)製造抖動(jitter)、扭曲(warping)、彎曲的、熱機械改變等。
由於在天線組態中這些變異的結果,MIMO通道可為非對稱,如下:
Figure 02_image021
循環矩陣之假定將非為有效的,並且固定的DFT預編碼/解碼將不會為最佳的,除非其被補償。
等式(2)能被簡化為:
Figure 02_image023
Figure 02_image025
藉由將接收器MIMO解碼器定義為:
Figure 02_image027
(定標器(隨著在MIMO解碼器之後其將在等化器中被吸收,
Figure 02_image029
能被忽略。))
傳輸器MIMO預編碼器可被計算為:
Figure 02_image031
Figure 02_image033
其能被顯示的是:
Figure 02_image035
因此,在出現相位偏移和非對稱通道(例如,製造抖動)中,應用DFT MIMO預編碼/解碼,亦在傳輸器(
Figure 02_image037
和接收器(
Figure 02_image039
兩者處皆需一複雜權重,用以校準及補償振幅以及相位抖動,使得空間串流可選擇地於接收器中被分開(請見在等式-8中的對角線矩陣)。
圖59描繪依據本揭露之態樣的MIMO預編碼器/解碼器。在此圖中,描繪包括傳輸部5902及接收部5908的MIMO通道。傳輸部5902包括具有第一傳輸信號(x1)的第一傳輸饋入5904和具有第二傳輸信號(x2)的第二傳輸饋入5906。傳輸部可將傳輸信號x1和x2求和,並且在第二饋入5906上將經求和的輸出加權(如在5907中所見的)。第一饋入59040可將x1輸出為與x2相加,並且第二饋入5906可將x1和x2之加權的加總輸出。接收部5908包括具有第一傳接收號(y1)的第一接收饋入5910和具有第二接收信號(y2)的第二接收饋入5912。解碼器可將接收信號y1和y2求和,並且在第二饋入5912上將經求和的輸出加權(如由5913所繪示的)。第一饋入5910可將y1輸出為與y2相加到等化器,並且第二饋入5912可將y1和y2之加權的和輸出到等化器。在此方法中,預編碼器/解碼器可對於每串流的符碼間干擾(ISI; inter-symbol interference)和RF減損補償。
用於MIMO預編碼器和解碼器來校準減損的第一程序現描述為:
按前述,在圖59中,下列程序可計算
Figure 02_image041
Figure 02_image043
(等式7):
首先,一或更多處理器可引起Tx天線傳輸相同訓練符碼而不用預編碼。此與考量情形(
Figure 02_image045
相同且進行預編碼。在MIMO解碼之前,接收器可測量在兩者的天線中接收的信號之功率。可定義複雜權重
Figure 02_image041
(例如,在可能的選項之上透過窮舉搜尋(exhaustive search)),使得在兩者的天線上接收的功率相等。一或更多處理器可控制天線來將用於最佳的索引
Figure 02_image041
從接收器發送回傳輸器,像是透過背後通道(backchannel)。下列展示程序:
Figure 02_image047
Figure 02_image049
第二,兩個空間串流係使用MIMO預編碼器來發送。一或更多處理器可控制傳輸天線來傳輸不同的符碼。以此方式,一或更多處理器可調整
Figure 02_image041
。複雜權重
Figure 02_image043
可以等化器透過聯合訓練來定義。例如,若使用線性均方的(LMS; linear-mean-squared)訓練來調整等化器階數(equalizer tap),則接著
Figure 02_image043
亦可被聯合地最佳化以將在接收器鏈處的錯誤最小化。
用於兩符碼的傳輸功率能透過注水(water filling)來定義。在定義
Figure 02_image051
之後,於MIMO解碼器之後,信號之平均功率(均方根值)被測量為
Figure 02_image053
。在傳輸器處的功率係數可被計算如下:
Figure 02_image055
其中
Figure 02_image057
回饋給傳輸器。在應用預編碼器之前,資料符碼係由
Figure 02_image057
來加權。在獲得
Figure 02_image059
之前,
Figure 02_image061
被設定成在等式(6)中。
依據本揭露之態樣,對於傳輸天線及接收天線之失準的一個原因可能是由於工廠校準錯誤/失準。這類傳輸器及/或接收器的失準可造成非對稱通道,其可造成靜態減損且能在工廠中校準如下:(1)在傳輸天線上的迴圈:一傳輸器為開且其它為關;(2)發送低速率串流;(3)決定在各個接收通道上的幅度及相位;以及(4)記錄振幅及相位抖動"
Figure 02_image063
。 在這類WC2C之短範圍中,可能的是
Figure 02_image065
,若進行工廠校準,上可步驟可作用來補償在傳輸器和接收器處的相位偏移(
Figure 02_image067
)。下文顯示對於此情境的最佳化之細節。
依據本揭露之態樣,上面對於以用於WC2C連接性的非對稱MIMO通道之空間多工所討論的原理及裝置可被實行為包括小晶片的MCM,其中該小晶片包括:第一接收天線;以及第二接收天線;一或更多接收器,組態以在該第一接收天線及該第二接收天線上接收結合的無線傳輸,其中該結合的無線傳輸代表由第一傳輸天線所傳輸的第一無線傳輸和由第二傳輸天線所傳輸不同於該第一無線傳輸的第二無線傳輸;依據一或更多預定義的解碼參數來解碼該結合的無線傳輸,用以從該結合的無線傳輸決定該第一無線傳輸和該第二無線傳輸;以及將該解碼的第一無線傳輸發送到等化器且將該解碼的第二無線傳輸發送到等化器。
解碼該結合的無線傳輸的步驟可包括,將預定的權重應用到如在該第一接收天線上接收的該結合的無線傳輸或是如在該第二接收天線上接收的該結合的無線傳輸之至少一者。該預定的權重可為適應性複雜權重。該預定權重可基於關於該第一接收天線和該第二接收天線的該第一傳輸天線和該第二傳輸天線之間的空間關係。依據本揭露之態樣,預定的權重可代表第一傳輸天線或第二傳輸天線其中一者與第一接收天線或第二接收天線其中一者之間的相位移位。
MCM可包括一或更多等化電路,其中該一或更多等化電路可被組態以接收該解碼的第一無線傳輸和該解碼的第二無線傳輸,並且組態以依據一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸。此依據該一或更多等化參數修改該解碼的第一無線傳輸和該解碼的第二無線傳輸之步驟可包括,降低符碼間干擾及/或進行前標(precursor)等化操作或後標(postcursor)等化操作之至少一者。
如上所述,MCM可被組態以進行訓練操作。訓練操作可包括:接收如在至少第一射頻天線或第二射頻天線上由第一傳輸天線傳輸的第一訓練傳輸;以及基於該第一訓練傳輸決定第一接收訓練參數。依據本揭露之態樣,第一接收訓練參數為第一接收器權重。在一些組態中,MCM可更組態以:接收如在至少第一射頻天線或第二射頻天線上由第一傳輸天線所傳輸的第二訓練傳輸;決定接收的第一訓練傳輸之第一信號參數和接收的第二訓練傳輸之第二信號參數;以及基於第一信號參數或第二信號參數之至少一者傳輸代表用於第一訓練傳輸或第二訓練傳輸其一者之索引的資料。依據本揭露之態樣,第一信號參數和第二信號參數包括信號強度。
如於此所述,MCM可利用在接收器處的適應性複雜權重,其可允可MCM來補償通道之相位偏移及/或不對稱性質。複雜權重可在傳輸器處被利用,使得接收器可接著基於適應性複雜權重對於跨MIMO通道的振幅/相位偏移補償。接收器可組態以決定在一或更多訓練操作期間的適應性複雜權重。一旦決定適應性複雜權重,接收器可組態以發送適應性複雜權重(例如,非無線地或透過一或更多背後通道)到傳輸器。
DP#5
依據本揭露之另一態樣,可使用具有低複雜度、多天線處理的陣列架構來達成在用於無線晶片對晶片(WC2C)連接性的視線(LOS)通道中全空間多工(SM)。如於此所討論的陣列架構可增加聚合資料流量以符合對於WC2C所需的高資料率,同時維持低功率接收器處理。
用於封裝中的封裝中資料通訊(例如,晶片、小晶片等之間的通訊)或封裝對封裝通訊傳統上已有賴於金屬線或導引的(光纖)光學。在這類組態中,使用多條線(例如,金屬或導引的光學線)來將兩個晶片連接以增加聚合資料流量。雖然一些等級的多晶片通訊以這些傳統方法是可能的,但線和光學兩者係關聯於多個劣勢,像是多晶片組態之有限的實體空間、關於網路可組態性的挑戰、過度或不欲的功率消耗、組合件成本、服務時間以及整體成本。更進一步而言,小晶片封裝能具有的針腳之數目是受限的。由於能被擺置在小晶片上針腳的數目是受限的,這類有線的拓撲可負面地影響在小晶片中連接性之擴縮性和彈性,並且這轉而限制了線之添加來增加資料流量。
將無線收發器和天線整合到晶片封裝中可提供通訊頻寬而不需(一樣多的)針腳或光學連接器到晶片封裝。這樣使用無線收發器亦可善用多播(multicast)/廣播能力以藉由提供從一晶片到另一者的直接通訊來降低遲延。對於無線通訊的關鍵挑戰為,在現存系統中所需的資料率已是在每秒數百個十億位元中。為符合這些需要,並且為了在未來將無可避免發生的更嚴苛的需要來計畫,要提出的是使用太赫茲(THz)無線通訊,以用於無線晶片對晶片通訊。這類太赫茲無線通訊具有至少兩個關鍵益處,其能被結合以達成所需的資料率。首先,在各個頻率附近可使用的頻率帶大的多,所以各個通道能具有高的多的資料率。此單獨地能增加資料率至數百的Gbits/s;然而,單一無線連結不能達成目前所需的資料流量(>500 Gbps),更不用說在未來的實行中預計的流量需要。達成這樣的高資料率將無可避免地需MIMO天線。於此揭示的裝置及方法包括固定的MIMO預編碼器及解碼器,其能被實行具有低功率收發器,用來以多晶片環境之空間及功率侷限來達成必要的資料率。這些裝置及方法致能空間多工,甚至在LOS通道中。這類空間多工可以固定的MIMO解碼器來操作,而不需要複雜MIMO處理,其可明顯增加資料流量。進一步而言,THz頻率允許較小天線及天線間隔,其可在相同 陣列孔徑內供給更多的MIMO通道。
在晶片對晶片通訊中,可以視線(LOS)特徵來創建通道。在傳統的MIMO通道中,用於LOS通道的自由度已知為一,且因此傳統上沒有空間多工(SM)增益。此有可能已被了解為在多晶片背景中對抗LOS MIMO組態的理論基礎。然而,在短距離中,像是在當 收發器距離與陣列孔徑之尺寸相容時,此假定不再為真。在這樣的短距離中,球面波模型(SWM)為更適當的通道模型,其中,出發方向(DOD)和到達方向(DOA)對於傳輸天線和接收天線之各對是不同的。可使用此性質創建全級別的MIMO通道,在其中能達成等於天線之數目的SM增益。
在此短範圍情境中,Tx/Rx天線之擺置和傳輸配置應受到給定波長及連結距離而進行聯合地最佳化。瑞利距離( Rayleigh distance),
Figure 02_image069
,被定義為近場及遠場域之間的「邊界」。若通訊範圍(D(傳輸器和接收器之間的距離)),比瑞利距離(D
Figure 02_image071
)大的多,則可以沒有多工增益。瑞利距離可被定義為
Figure 02_image073
其中
Figure 02_image075
=傳輸(接收)元件之間的距離;N=天線元件之數目;以及
Figure 02_image077
=波長。
對於給定的D之連結距離,在傳輸器/接收器處天線之間的距離被設計以使得:
Figure 02_image079
圖60描繪假定
Figure 02_image081
用於頻率
Figure 02_image083
=140 GHz(
Figure 02_image077
=2.14 mm)之瑞利距離對上天線距離的繪圖。從此圖來看,明顯的是,對於此頻率,對於小直徑在mm上的天線直徑粗略地等同於在mm上的瑞利距離;然而,隨著天線直徑增加,其符合非線性且在瑞利距離上相對增加的更大。
有鑑於前述,所欲的是設計用於可符合於此所述的流量要求的無線晶片對晶片通訊的天線架構。所要提出的是,將在陣列內天線之間的距離(例如,傳輸天線之間的距離或接收天線之間的距離)決定為在Tx天線陣列和Rx天線陣列之間天線之數目的計算,波長則如下:
Figure 02_image085
其中N為天線之數目;
Figure 02_image077
為波長;以及D為天線陣列之間的距離。
藉由範例,假定天線之數目(N=2),Tx天線陣列和Rx天線陣列之間1 mm之距離,並且
Figure 02_image083
=140 GHz(
Figure 02_image077
=2.14 mm)的頻率,給定陣列(例如,Rx或Tx)之天線之間的最小距離可以被計算為:
Figure 02_image087
對於兩天線,在各側處的元件可例如被擺置彼此離1.2 mm,用以保證全SM增益。對於全級別的通道,奇異值分解(SVD; Singular-Value-Decomposition)造成在接收器處不同空間串流之分離中最佳效能,而沒有符碼間干擾。此要求的是,通道估計發生在接收器處並且進行SVD以及將最佳預編碼器資料回饋給傳輸器。在傳統的OFDM系統中,此係傳統地每載波進行;然而,依據本揭露之態樣,並且為了維持功率消耗,可能不會使用OFDM調變。相反的,可利用寬帶預編碼器。
當在傳輸器/接收器處的天線被對準時,通道矩陣為循環的並且SVD造成未取決於通道的固定預編碼器/解碼器。圖61描繪包括二個傳輸天線6102及6104以及兩個接收天線6106及6108的2×2 MIMO組態。在圖61中,於這些天線之間的通道矩陣能被塑造為:
Figure 02_image089
MIMO預編碼器及解碼器可被定義為
Figure 02_image091
DFT矩陣,使得:
Figure 02_image093
其中
Figure 02_image095
為對角線距陣。
圖62描繪依據本揭露之態樣的MIMO架構,包括MIMO預編碼器及解碼器。如在圖62中所繪示的,MIMO解碼係在信號抵達等化器之前進行。在此圖中,傳輸天線6102及6104被組態以傳輸無線信號給接收天線6106及6108。在傳輸側上,信號x1及x2在元件6202處直接總和,並且在6204處得到逆直接總和。為而展示的目的,接收天線6106及6108之各者接收來自傳輸天線6102及6104的信號,在6106上接收的信號於此被描繪為y1,並且在6108上接收的信號被描繪為y2。如描繪的,y1及y2在元件6206處直接求和,並且在6208處得到逆直接和。元件6206之輸出被發送到第一等化器,並且元件6208之輸出被發送到第二等化器。亦即,在各個鏈之上應用通道等化器之前,將空間串流分開。
在下面,針對具有四個傳輸天線及四個接收天線的組態,將討論兩個選項。為了公式(18)的目的,天線(N)之數目為4;傳輸天線陣列和接收天線陣列之間的距離為1 mm;以及頻率為
Figure 02_image083
=140 GHz(
Figure 02_image077
=2.14 mm)。
依據本揭露之態樣,在上述條件之下的第一實行如下:
Figure 02_image097
對於四天線,在各側處的元件可例如被擺置彼此離.8mm((擺置>d)),用以保證全SM增益。晶片可利如為4x4(mm)並且能以.8 mm距離容納4個元件。有鑑於上述,MIMO預編碼器及解碼器可被定義為
Figure 02_image099
DFT矩陣,使得:
Figure 02_image101
對於4天線通道,並且具有DFT MIMO預編碼器,預期有輕微的符碼間干擾,其能在接收器處被去除。
依據本揭露之另一態樣,在上述條件之下的第二實行如下:如在圖63中所繪示,提出兩個2元件子陣列之陣列。在各個子陣列中元件之間的距離可為<λ/2,並且在兩個子陣列之間的距離遵循用於兩天線的設計,如在方程式(18)中的。兩個空間串流可以MIMO預編碼器來傳輸為:
Figure 02_image103
依據本揭露之態樣,此天線實行被預期實行二的SM增益,加上3 dB的陣列增益,其可更改善連結預算。在此組態中,有預期在接收器處為零的符碼間干擾。據此,無論在等化器之前或等化器之後,不必要實行用於干擾去除的策略。
於此揭示的原理及方法可被實行為多晶片封裝,其可包括:第一小晶片,其包括無線電電路;複數個接收天線,連接到無線電電路,並且組態以接收從第二小晶片之複數個傳輸天線在預定波長上傳輸的視線無線信號;其中複數個接收天線之各者與複數個接收天線之相鄰天線之間的距離大於預定最小距離;以及其中該預定最小距離係從包括至少預定波長的函數來決定。
如上所述,預定最小距離可從包括至少預定波長與在複數個接收天線中接收天線之總數或在傳輸天線之總數中傳輸天線之總數其一者的函數來計算。以此方式,複數個傳輸天線之各個天線和複數個傳輸天線之相鄰天線之間的距離可等於複數個接收天線之各個天線與複數個接收天線之相鄰天線之間的距離。
依據本揭露之另一態樣,預定最小距離可被計算為
Figure 02_image105
,其中D為複數個傳輸天線之任一者與複數個接收天線之任一者之間的距離;λ為預定波長;並且N為在複數個傳輸天線中傳輸天線之總數或在複數個接收天線中接收天線之總數。
上述多晶片封裝可被組態為多晶片系統,其中該多晶片系統可包括第一小晶片,該第一小晶片包括:第一無線電電路;及複數個傳輸天線,其連接至該第一無線電電路,且組態以在預定的波長上傳輸視線無線信號;以及亦可包括第二小晶片,其包括:第二無線電電路;以及複數個接收天線,其連接至該第二無線電電路,且組態以在預定波長上接收視線無線信號;其中複數個傳輸天線之各者相對於複數個傳輸天線之相鄰天線之間的距離和複數個接收天線之各者相對於複數個接收天線之相鄰天線之間的距離大於預定最常距離;且其中該預定最小距離係從包括至少該預定波長的函數來計算。
於此揭示的原理及方法可被實行為一或更多無線傳輸預編碼器及/或一或更多無線傳輸解碼器。對照預編碼器,預編碼器可被組態在小晶片的內容內。以此方式,小晶片可包括天線陣列,其包括第一傳輸天線和第二傳輸天線以及無線傳輸預編碼器。預編碼器可包括預編碼電路,組態以:接收第一信號,其代表用於無線傳輸的第一資料;接收第二信號,其代表用於無線傳輸的第二資料;如直接總和該第一信號和該第二信號產生第一預編碼信號;如直接總和該第一信號和該第二信號之反逆(inverse)產生第二預編碼信號;以及將在第一傳輸天線上的該第一預編碼信號和在該第二傳輸天線上的該第二預編碼信號發送。預編碼器可使用一或更多處理器(其可為部分的預編碼器)進行上述之任一者。
依據本揭露之態樣,排除電路可從一或更多等化器接收上述的第一信號和第二信號。預編碼電路可組態以進行視線傳輸到解碼電路。以此方式,預編碼電路和解碼電路可能夠利用上述的在視線傳輸的背景中用於空間多工增益的原理和方法。
如上所陳述的,於此所述的原理和方法可被實行為小晶片,其可包括接收器和解碼電路。接收器可包括天線陣列,其包括第一接收天線和第二接收天線;解碼電路可組態以接收在第一接收天線上的第一無線信號,其中該第一無線信號包括第一預編碼信號和第二預編碼信號;接收在第二接收天線上的第二無線信號,其中該第二無線信號包括該第一預編碼信號和該第二預編碼信號;如直接總和該第一無線信號和該第二無線信號產生第一解碼信號;以及如直接總和該第一無線信號和該第二無線信號之反逆產生第二解碼信號。依據本揭露之態樣,解碼電路可更組態以將該第一解碼信號和該第二解碼信號發送到一或更多等化器。
DP#16
遍及本揭露,除其它事物以外,係用於對於在多晶片背景中晶片對晶片傳輸的無線傳輸的各種技術和實行。許多或所有的這些技術及實行有賴於用以例如從一或更多收發器傳送信號到一或更多天線的一或更多傳輸線結構。在發展用於小晶片之間通訊的THz電路中的主要阻礙為如何整合或建立這些傳輸線結構(例如,傳輸線、天線的線、波導等)的議題。給定高頻操作,最重要的是將天線結構擺置非常靠近電路,其可需要的是,天線與相關電路在相同封裝上。然而,在電路上簡單擺置這些元件趨向於增加封裝尺寸(例如,封裝高度、封裝寬度及/或封裝長度)。例如,D帶空氣波導(D-band air waveguide)可為1.8mm x 0.6mm,並且其一般不可能將D帶空氣波導擺置在封裝基板上而不增加整體封裝高度。
在致力於擺置用於多晶通訊的傳輸線之前已包括使用封裝跡線、中介層及/或嵌入式矽橋來形成小晶片之間的通訊連結;使用封裝級互連和PCB跡線以形成小晶片之間的通訊連結;或直接將波導元件擺置於封裝上。這類工作已產出未達最佳的結果。至少由於實體佈線限制,這類策略趨向於不具彈性。再者,關於功率消耗及信號完整性的問題可表現於對於用於高資料率的封裝對封裝通訊之PCB走線而非對實行的挑戰。關於光封裝對封裝通訊,這類的策略需專門的電路、光纖以及複雜纖體對準技術,其轉而增加了封裝成本及複雜度。最終,如上所陳述的,將天線或波導元件直接擺置於封裝上增加了封裝尺寸。相反的,藉由部分地或完全地將這些結構建立到封裝中,能獲得相對電路激勵源點的較近擺置。再者,直接整合這些結構在所造成的封裝中賦予較小形式因子。使用在有機封裝中形成的腔室亦增加彈性,像是藉由使用以有機封裝製程不可用的技術。
於此,描述了用於包括具有降低或沒有在尺寸上增加的用於晶片對晶片通訊的傳輸線的裝置及方法。這些可包括在一或更多有機封裝中創建實體(physical)或實質(virtual)腔室並且善用封裝製程技術來形成這些天線/波導元件作為部分的封裝,及/或允許外部建立的元件來被整合到有機封裝中。這類整合技術可用以賦予子THz電路之間高頻通訊連結,無論位於相同封裝中或不同封裝中,其中天線/波導元件完全地或部分地內建於有機封裝中。
如一般原理,在封裝中的腔室能使用兩個主要程序來形成:(1)實質或添加的,或(2)實體或減去的。對照實質或添加程序,具有間隙或孔洞的層可添加起來(例如,在彼此上層疊、堆積、擺置)以形成腔室。例如,有機基板層可在高密度中介層板之頂部上建立以形成腔室。對於實體或減去程序,腔室可由機器或化學手段來挖空。例如,能使用加工、蝕刻及/或釋出犧牲層來從有機基板移除材料以形成腔室。
天線可藉由將微凸塊擺置在矽晶粒和封裝基板之間來形成;然而,對於垂直極化的天線,這些微凸塊可能不夠高以形成最佳天線元件。在當額外的天線高度為所欲的或所需之下,開放腔室方式(例如,創建開放腔室以容納高較高度的天線)能允許可延伸的天線長度來適配用於操作天線頻率的最佳高度。
圖64描繪依據本揭露之態樣在有機封裝中天線之形成及整合。使用有機封裝(例如,有機印刷電路板),例如如在6402中所描繪的,可如在6404中描繪的形成腔室,並且一或更多天線元件可原位6408擺置或生長。如在6408中所繪示的,晶粒6409可被擺置在這些天線元件6407之上。使用此策略,能確保的是,天線6407之間的空間(例如,通道)摒除金屬結構。相較之下,若這些天線延伸要使用標準有機封裝製程來形成,則天線之間的空間會需要包含有至少一些數量的金屬以用於有機封裝可製造性(manufacturability)。明顯地,所欲的是降低在封裝天線之間任何不必要的金屬。要注意的是,天線饋入結構及來源可為晶粒內部或晶粒外部其一者(例如,使用重分佈層,RDL)。
在腔室內側的天線元件能由多個方法之任一者來製造。一個這類方法是將天線生長為金屬結構,自位於腔室之底部表面處的墊開始的銅柱。這類金屬結構的生長可使用任何程序,無論是沉積、打印或其它者。另一個這類方法可簡單地來在形成腔室之後擺置天線,並且使用焊錫或化學、熱機械接合方法來將天線附接到腔室。
如在圖65中所描繪,在創建天線本身以後,一或更多反射體元件亦可被建在腔室內側。依據本揭露之態樣,此圖描繪天線腔室6502之頂部視圖和天線腔室6510之側視圖。在6502中,第一類的反射體元件被描繪為沿著腔室之一或更多側壁的複數個反射體,如由6504所表示。這樣的反射體可選擇地大約與在腔室中生長的一或更多天線相同直徑。依據本揭露之另一態樣,一或更多天線可被擺置於腔室之外側,如由6506所表示。在此情形中,複數個反射體元件可連續鄰接地擺置及/或擺置在封裝內。依據本揭露之另一態樣,反射體可被組態為如由6508所表示的單一反射體元件。在此組態中,反射體元件可為單一金屬元件,其沿著腔室之一或更多側來擺置。可選擇地使用與用以生長一或更多天線相同的程序來生長一或更多反射體元件。一或更多反射體元件可選擇地被擺置到腔室中(例如,形成的腔室之外側且接著擺置/裝設於腔室中)。一或更多反射體元件可為或包括附接到腔室之側及/或經由腔室之外側堆疊的金屬壁/柱/棒/結構。如在6510中所描繪的,一或更多反射體元件6512可毗鄰腔室之一或更多側。藉由將一或更多反射體元件沿著或鄰接腔室之一或更多側來擺置,可創建發射孔徑,其中發射孔徑可引導在一或更多主方向上的一或更多天線之射頻發射。
依據本揭露之另一態樣,包括一或更多天線的腔室可以材料填充,像是具有較高介電常數(dielectric constant)的材料。使用這類較高介電材料及/或電磁材料可提供的益處是,用於給定操作之頻率的最佳天線高度將比沒有較高介電材料的最佳天線高度還要更短。隨著此尺寸降低,所需的腔室尺寸和所需的腔室寬度兩者皆比不具有較高介電材料的更小。在腔室高度上的降低可與製造過程之簡化關聯,其可產生出成本降低。在腔室寬度上的降低可造成更多無線對無線通道被擺置在相同區域中,因此使用MIMO技術減少了在晶粒之間整體資料流量。進一步,一或更多層的重分佈層(RDL; redistribution layer)可在開放腔室之頂部上製造,用以提供電連接、饋入線、阻抗匹配及/或用以提供額外的耦合。
依據本揭露之態樣,腔室可為任何形狀的,且不必然是矩形。能利用許多傳統的開放腔室形成過程來創建非矩形形狀。這類形狀可包括(但不限於)圓形、六角形、八角形或卵形。舉例而言,已知的是將腔室加工及/或蝕刻犧牲層,並且能使用這些技術來創建幾乎任何形狀。給定對於腔室幾乎任何形狀是可能的,並且依據本揭露之另一態樣,可共最佳化(co-optimize)天線及腔室形狀來增加天線增益和頻寬。圖66描繪在有機封裝中形成的非矩形腔室之頂視圖。在此圖中,腔室被描繪為不等側長度的八角形6602,其中該腔室包括兩個天線6604,且其中該腔室係由複數個反射元件6606所包圍。如上所陳述的,此實行並不限於八角形,但相反的可為任何形狀,無論是矩形或是非矩形。進一步,而雖然由於在圖66中視角的本質而未描繪,腔室壁可垂直於晶粒表面。或者,該壁可為錐形的,像是藉由具有線性錐度(taper)或指數錐度。
依據本揭露之另一態樣,腔室可被使用於封裝對封裝通訊。以此方式,腔室可被擺置於封裝的邊緣。如此,腔室能被分開在兩個不同的封裝之間來提供封裝對封裝通訊連結。圖67描繪利用在封裝邊緣的腔室以進行封裝對封裝通訊的兩個封裝。在此圖中,第一腔室6702位於封裝1之右邊緣(如在此圖中所描繪的),而第二腔室6704被描繪在封裝2之左邊緣(如在此圖中所描繪的)。藉由隨腔室面向將封裝擺放在彼此靠攏鄰近中,可創建用於封裝對封裝通訊之有利的通道。封裝之間的無線傳輸可透過像是空氣的媒體發生。或者,無線傳輸可經由一或更多波導結構發生。圖68描繪圖67之封裝組態之頂視圖。在此圖中,各個封裝之天線6802(僅封裝一之天線被標籤)可彼此靠攏鄰近,一般在他們之間具有不被阻塞的通道。依據本揭露之態樣,一或更多反射元件6804可被擺置在天線之各者的後面以使得將無線信號從第一天線反射朝向第二天線。
圖69描繪依據本揭露之另一態樣用於封裝對封裝通訊的一或更多波導之使用。更詳細而言,圖69描繪包括裝設在封裝腔室6902中一或更多天線的第一封裝,以及包括裝設在封裝腔室6904中一或更多天線的第二封裝,其由一或更多波導6906連接。在此範例中,並且依據本揭露之態樣,封裝之腔室可被擺置在如上所述封裝之邊緣,以使得許可經由一或更多波導6906之簡化的連接。一或更多波導6906可包括一或更多基板積體波導(SIW; substrate integrated waveguide)及/或一或更多介電波導結構。在已形成腔室之後,一或更多波導可被建立為部分的封裝,或者他們可被建立在外側且被擺置到封裝之中/之上。使用SIW或介電波導可更降低波導的尺寸以允許更容易的整合到封裝中。
圖70描繪依據本揭露之另一態樣使用一或更多波導之封裝對封裝傳輸。在圖70的頂部處,封裝被描繪為包括與波導結構7004垂直定向的天線16702(例如,垂直於封裝之本體)。以此方式,天線7002可放射電磁能,其可被波導7004接收且進一步引導。圖70之底部描繪具有水平定向天線7006的封裝,其延伸到且從而直接傳輸到波導7008。在一些組態中,波導結構可自封裝突出,然而在其它組態中,如對於實行所欲的,波導結構可不自封裝突出。
依據本揭露之另一態樣,腔室亦可實質地創建(例如,藉由在所欲腔室區域周圍搭建來創建孔洞而創建)。亦即,補塊基底板(patch substrate board)可在具有間隙於其中的高密度中介層板之頂部上被組合,用以創建用於一或更多天線及/或一或更多波導的腔室。圖71及72描繪依據本揭露之態樣實質腔室之創建。在圖71中,補塊基底板7102及7104被建立在具有間隙在他們之間的中介層7106上,在其中擺置了波導7108。此間隙被了解為實質腔室。在圖72中,補塊基底板之間的間隙包括兩個天線7202及7204。可使用此腔室製造的方法來達成比可由其它方法可用的較深的多的腔室。使用減去腔室製造過程,最深的腔室尺寸一般由有機封裝之層計數來決定。利用實質腔室方法,可達成1mm或更多的腔室深度。這樣的深度可允可針腳或類似的金屬結構被擺置在腔至內側,像是藉由使用傳統針腳附接或焊錫製程。
現在焦點將會轉向對於使用開放腔室之高頻相容(例如,適當的)設計及/或材料封裝整合的選項。可用於典型有機封裝製造過程的材料能被限制,並且不必然合適用於高頻信號線/波導。再者,對於製造有機封裝基板的設計規則可能不允許用以達成最佳效能的設計最佳化。使用開放腔室,設計能以在高頻更有益的其它方法來製造。例如,使用開放腔室信號線能被整併成晶片對晶片橋,如在圖73中所描繪的。在此圖中,兩顆晶粒7302被裝設在包括腔室的封裝7304上,像是上述的封裝腔室。與包括有生長天線或是擺置或裝設進入腔室中的天線相反的是,此腔室包括低損耗封裝/PCB 7306,其連接至晶粒7302之各者。此低損耗PCB封裝材料可包括主動及/或被動裝置,其由其它矽材料所作成(亦即,GAN、SiC、玻璃…等)。其可例如使用任何已知的光微影(lithography)製造方法來創建。一旦顯影,這類低損耗封裝可經由開放腔室被整合到封裝中。
於此揭示的開放腔室基板和用於其的方法可被組態為部分的多晶片封裝。此多晶片封裝可包括:複數個小晶片,在其中至少第一小晶片包括第一表面和對立於該第一表面的第二表面,其中該第一表面包括腔室,且其中該腔室由複數個表面所界定;以及一或更多天線,在該複數個表面其中的表面上。腔室可包括一或更多底部表面、一或更多頂部表面、一或更多側表面或其任何組態之任一者。腔室可為任何形狀,包括(但不限於)矩形、圓形或卵形、圓柱形或其它者。多晶片封裝可包括:以此方式的第二小晶片,該第二小晶片可包括第一表面和對立於該第一表面的第二表面,其中該第一表面包括腔室,且其中該腔室由複數個表面所界定;以及一或更多天線,在該複數個表面其中之表面上;其中該第一小晶片之一或更多天線被組態以將無線信號傳輸到第二小晶片之一或更多天線。
依據本揭露之態樣,第一小晶片及第二小晶片之各者可包括收發器。收發器可電性傳導地連接至各個小晶片之一或更多天線。第一小晶片之收發器可被組態以控制第一小晶片之一或更多天線來傳輸射頻信號。第二小晶片之收發器可被組態以控制第二小晶片之一或更多天線來傳輸射頻信號。
依據本揭露之態樣,一或更多天線可被組態具有焊錫元件。此焊錫元件可例如為焊錫凸塊及/或微凸塊。
多晶片封裝在其腔室中可包括一或更多介電層。一或更多介電層可將一或更多天線或天線延伸之一或更多側包圍。一或更多介電層可具有比一或更多天線更高的介電常數。
可組態多晶片封裝使得腔室包括複數個終端,其可位於腔室之一或更多側附近。複數個終端可被組態以接收在第一方向上由一或更多天線所傳輸的射頻信號,以及被組態以在不同於第一方向的第二方向上將射頻信號反射。
依據本揭露之態樣,多晶片封裝可與小晶片之邊緣切合。在此組態中,可組態一或更多天線來將無線信號傳輸到在第二小晶片上的一或更多第二天線。這類無線信號可例如在像是空氣的媒體中傳輸。或者或此外,這類的無線信號可使用在第一小晶片與第二小晶片之間的波導來傳輸。
依據本揭露之態樣,小晶片和天線結構可包括中介層;第一補塊基板,裝設在該中介層上;第二補塊基板,裝設在該中介層上;該第一補塊基板與該第二補塊基板之間的間隙;以及在該間隙中的一或更多射頻傳輸元件。在此組態中,一或更多射頻傳輸元件可被實行為一或更多波導及/一或更多天線。
DP#7
無線晶片對晶片系統經歷頻率選擇性IQ不平衡、符碼間干擾、DC偏移以及相位雜訊。這些因子可與在流量中上的降低關聯,其可在晶片對晶片通訊設定中非所欲的或不可接收的。更進一步而言,用於校正這些因子的傳統方法及裝置可與在形式因子、複雜度及/或成本上明顯增加關聯,其亦可在晶片對晶片設定中證明無法工作。為了限制電路組件之數目且降低功耗及改善效能,揭示了使用前饋(FFE)及決定回饋(DFE)等化器的聯合符合間干擾及頻率選擇性IQ不平衡緩解策略。於此揭示的裝置及方法亦可包括一或更多FFE/DFE等化階數、可以低位元訓練進行DC偏移以及可使用盲相位雜訊追蹤(blind phase noise tracking)來對於相位雜訊補償。
對於解析上面問題的已知工作傳統上已有賴於在數位域中聯合通道等化及IQ不平衡估計,同時以高通過濾在類比域中進行DC補償。一些這類工作已使用針對訓練的高解析取樣,但沒有混合信號及盲相位雜訊追蹤。為解析上面議題的傳統工作已通常針對各個減損(例如,IQ不平衡、符碼間干擾、DC偏移及相位雜訊)有賴於分開電路設計,其增加係數(乘數)及在電路中的取樣器之數目,從而增加了複雜度,並且一般增加成本。由於各個方塊必需分開地訓練,效能一般未達最佳,且在這一點下,其能以參數之聯合最佳化來達成。如此,先前解法已實行在RF減損上的嚴苛要求,其能難以達成且一些與增加的功率使用關聯,其之等級對於一些實行而言可為不可接受的或非所欲的。然而,系統必需實行一些形式的相位雜訊追蹤及校正,由於沒有足夠的相位雜訊校正,晶片對晶片系統的效能將是不可接收的。
為了解決上面的問題,於此揭示具有聯合通道等化、IQ不平衡及以1位元ADC之DC偏移補償以用於訓練的接收器設計。在此接收器設計中,接收器可利用FFE/DFE等化策略,其具有每FFE的
Figure 02_image107
實係數(real coefficient)和用於通道等化的DFE階數。這些相同係數可必要的被重新使用以緩解頻率選擇性IQ不平衡及以估計在接收器處的DC偏移。該偏移每同相位及正交分量需僅一實求和方塊(real summation block)。訓練程序可需僅低位元(1位元)ADC。更進一步而言,此接收可包括盲相位雜訊追蹤程序,其可例如在其資料傳輸模式期間被實行。這類盲相位雜訊蹤可幾乎沒有負擔來進行。依據本揭露之態樣,於此揭示的接收器可以IQ不行衡來最佳化通道等化,並且該裝置可使用單一LMS訓練或任何其它類型的適應性學習來褣行DC偏移。
於此所述的接收器及策略可代表在針對在晶片對晶片背景中IQ不平衡、符碼間干擾、DC偏移及相位雜訊的傳統校正策略之上的簡化。如將於此更詳細說明的,可使用這類通道等化之係數以足夠地對於IQ不平衡補償,從而消除對於針對IQ不行衡補償之額外電路的需要。此可更被使用於DC偏移估計訓練,其可消除對於針對DC偏移估計的額外電路設計的需要。使用於此揭示的程序及裝置,該裝置可以接收的信號之每I和Q分量僅一實求和方塊來補償,並且僅單一位元ADC可足以用於訓練。盲相位雜訊追蹤能不以訓練符碼來進行。這些策略允可接收器使用比傳統接收器明顯更少的功率來操作。
圖74描繪無線晶片對晶片混合信號接收器設計7402及對應的訓練方法。接收器能按照四個主要成分來了解:DC偏移去除7406、用於通道脈衝響應7414之前標階數的前饋等化(FFE)、用於通道脈衝響應7418之後標階數的決定回饋等化(DFE)以及用於訓練類比乘數係數7430的數位信號處理(DSP)。
在此圖中,一或更多天線7402可組態以接收無線信號及組態以將代表此無線信號的電信號遞送到射頻積體電路(RFIC; radio frequency integrated circuit)7404。RFIC 7404可組態以將信號輸出到DC偏移消去單元7406。DC偏移去除單元7406可在類比域中操作,像是以每同相位及正交分量單一求和方塊來進行。DC偏移消去單元7406可組態以將從RFIC 7404接收的信號調整成對於DC偏移調適或校正,並且組態以將DC偏移校正信號輸出到FFE單元7408。FFE單元可包括一或更多取樣/保持(S/H; sample/hold)電路(例如,由7410及7412所描繪的),其可累增能量以用於接收的信號之類比處理。依據本揭露之態樣,至少一S/H方塊可需用於FFE及DFE階數之各者,以用於類比信號處理。ADC 7428可組態以接收第一S/H電路7410之輸出。此ADC 7428可組態以將接收的信號取樣以用於DC偏移及FFE/DFE係數,如下文將進一步說明的。FFE單元7408可包括一或更多類比乘法器(描繪為7414及7416)。乘法器7414及7416可為類比乘法器,
Figure 02_image109
,具有在接收的信號之I和Q分量之上的
Figure 02_image107
實矩陣。這些於此將被稱為等化器之階數(tap)。各個階數之輸出在DFE等化器處/之前可被求和在一起(請見在FFE單元7408之右底角的加法器,其被組態以接收且將階數輸出求和)。
像是FFE單元7408,DFE單元7418可包括一或更多S/H方塊(描繪為7422及7426)、一或更多類比乘法器(描繪為7420及7424)。在訓練期間,DFE等化器從數位訓練符碼接收輸入,如將更詳細說明的。DFE單元可組態以接收FFE單元7408之輸出(例如,如上所述相乘的及求和的信號)和決定回饋等化器(DSP)7430之輸出信號。DFE的S/H方塊7422及7426可儲存DSP輸出(例如,訓練模組7436之輸出且由延遲模組7434所處理),其接著由乘法器7420及7424進行乘法。乘法器7420之輸出可與FFE 7408之輸出總和,並且乘法器7424之輸出可與先前加法器之輸出總和(例如,具有如與乘法器7420之輸出相加的FFE單元7408之輸出)。DFE 7418可將相乘的及求和的信號輸出到錯誤偵測電路7440(其可例如被組態為位元線模2加法電路(bitwire addition modulo-2 circuit)),其可組態以將錯誤偵測信號輸出到ADC 7438,其可組態以將數位信號輸出到DSP 7430,其中該數位信號代表由ADC 7438接收的類比信號。
DSP 7430可包括FFE/DFE階數和DC偏移訓練電路7432,其可組態以接收第一ADC 7428之輸出、和第二ADC 7438之輸出以及訓練電路7436之輸出,並且基於該些輸入,用以計算用於FFE/DFE階數(7414、7416、7420及7424)及DC偏移訓練(一般請見7406)的一或更多係數。可發送這些係數到DC偏移單元7406、FFE單元7408以及DFE單元7418,如由虛線所描繪的。以此方式,DC偏移單元7406、FFE單元7402以及DFE單元7418係組態以基於其從DSP 7430接收的係數來進行分別的DC偏移、FFE等化及DFE等化,其從如在圖1中所繪示至少該階數輸出所決定(請見對DPS 7430的輸入之所有來源,其由引導至DSP的箭頭所指示)。訓練模組7436可被組態以儲存傳輸符碼之一或更多序列。訓練模組7436可決定/計算錯誤(例如,傳輸符碼7436和接收信號7440之間的差異)用以更新等化器及DC偏移的權重。此外,訓練模組7436亦可直接更新一或更多等化權重。此外,訓練模組7434可補償接收的信號之時間延遲,像是藉由如比較於儲存的傳輸序列來補償時間延遲。
圖75描繪依據本揭露之另一態樣用於資料模式的接收器架構(請見圖76中訓練模式與資料模式之間的分別)。在此圖中,接收器架構之結構類似於圖74中者,除移除訓練方塊外,並且截剪器(slicer)被添加到等化器之端部以用於資料解調。如相對於圖75更詳細說明的,一或更多天線7502可組態以接收無線射頻信號以及用以將代表接收的無線射頻信號的信號輸出到RFIC 7504。為了於此所述等化器的目的,可依據任何方法在RFIC 7504內進行處理信號。RFIC 7504可組態以將信號輸出到DC偏移單元7506,其可組態以將接收的信號之DC偏移進行偏置且組態以將DC偏移信號輸出到FFE 7508。FFE可組態以進行FFE等化且組態以將FFE等化的信號遞送至DFE 7510。DFE 7510可組態以從FFE 7508接收FFE等化的信號且組態以在接收的信號上進行一或更多操作,至少藉由將截剪器7512之經乘法的輸出信號相加至來自FFE單元7508的接收信號。DFE可輸出到截剪器7512,其可組態以進行一或更多解調功能,其可依據任何傳統的方法來進行。截剪器7512之輸出可以代表接收的射頻信號的一或更多位元的形式從接收器輸出。
圖76代表依據本揭露之態樣沒有相位雜訊追蹤的訓練序列。如在圖中所繪示的,可周期地或間竭地進行訓練序列7602,使得他們藉由資料解碼7604之周期正進行跟隨。亦即,初始訓練序列可產出對於DC偏移、FFE及DFE所需的係數,並且訓練之周期隨後為資料解碼之周期,使得在訓練中增得的係數可被使用來解碼接收的信號。由於各種改變,為了檢查在無線通道中的改變,可需新的係數來不時的進行決定。訓練之頻率可為靜態的/固定的,或可為動態的。可組態動態訓練頻率使得無論何時一或更多信號品質(例如,流量、錯誤率等)落於預定範圍之外側則進行訓練。可藉由接收任何已知的訓練序列來進行訓練7602。亦即,傳輸器可傳輸對接收器亦為已知的任何序列。等化器(例如,DSP 7432)基於如由一或更多天線7402所接收的已知序列和已知的、傳輸的資料之間一或更多差異來決定用於DC偏移、FFE以及DFE的一或更多的係數。
依據本揭露之另一態樣,接收器可組態以在資料模式中操作(請見在圖76中訓練模式與資料模式之間的分別),但以相位追蹤操作。圖77描繪在資料模式中具有相位追蹤操作的接收器。若接收的信號受到相位雜訊的重大衝擊,則相位雜訊追蹤為必需的。在此背景中為了針對相位雜訊進行校正,接收器可包括在FFE 7708和DFE 7710之間額外的「階數(tap)」。相位雜訊補償階數可組態以處理
Figure 02_image107
實矩陣。為了追蹤相位雜訊,等化器可使用在DSP 7712中一或更多的適應性訓練程序。針對適應性訓練,在相位雜訊階之前,接收器可包括額外的成對ADC取樣器7714。接收器可組態以像是藉由利用位元線模2加法電路7716來將額外錯誤計算為截剪器之輸入(例如,DFE 7710之輸出)和截剪器之輸出(例如,請見比較器7716)之間的差。為了處理在數位域中的錯誤,額外的1-對的ADC可接收錯誤偵測7716之輸出並且用以將代表錯誤偵測輸出的數位信號輸出。為了保存資源,可能的是藉由重新使用來自圖74之訓練模式的一些或所有的ADC來操作具有相位校正的等化器。亦即,其可不必需要包括僅僅於具有相位校正的資料相位之操作期間作用的ADC,如在圖77中所描繪的。
如在圖78中所繪示,盲相位雜訊追蹤並不需任何已知的訓練序列。相反的,等化器可不用已知的訓練序列來進行相位雜訊追蹤,像是在資料相位期間,在其中會預期的是,會傳輸另以對接收器未知的資料。在相位雜訊訓練7802期間,等化器決定如上所述的相位雜訊參數。訓練周期7802隨後為資料周期7804,在其期間對接收的射頻信號進行相位雜訊校正。等化器例如基於相位雜訊功率譜密度(power spectral density)來決定用於取樣及更新相位雜訊補償的參數。相位雜訊追蹤之周期在圖78中以虛線繪示。
既然已建立等化器及其功能的高階概述,下列將以聚焦於基本的計算更詳細描述DC偏移補償、FFE程序以及DFE程序。
DC偏移能被塑造/建模為
Figure 02_image111
其中
Figure 02_image113
為複雜基帶接收信號,而
Figure 02_image115
為在接收器的DC偏移。具有DC偏移的整體接收信號給定為
Figure 02_image117
。請注意,隨符碼間干擾和Tx及Rx Iq不平衡而
Figure 02_image113
受毀壞,如下:
Figure 02_image119
其中
Figure 02_image121
為傳輸器振幅不平衡,並且
Figure 02_image123
為傳輸器相位不平衡。
Figure 02_image125
其中
Figure 02_image127
為接收器振幅不平衡,並且
Figure 02_image129
為接收器相位不平衡。
Figure 02_image131
於此,
Figure 02_image133
為同相位且正交元素之傳輸的符碼向量;
Figure 02_image135
為在實域中的通道脈衝響應矩陣;
Figure 02_image137
為傳輸器IQ不平衡;以及
Figure 02_image139
為接收器IQ不平衡。相位雜訊被建模為
Figure 02_image141
。所預期的是,相位雜訊將以每時間實例改變。
Figure 02_image143
為加性白高斯雜訊。
現將焦點轉向混合信號處理,其包括取樣及保持電路,並且在其中接收鏈應用將在類比域中的接收信號過濾,但以離散時間間隔。在第一取樣及保持電路處的取樣信號被表示為在取樣時間
Figure 02_image145
。請注意,取樣及保持電路可包括針對雜訊及混疊(aliasing)降低的各種過濾。
給定
Figure 02_image147
階前饋等化器(FFE),和在接收器處
Figure 02_image149
階決定回饋等化器(DFE),以及如在圖74中所繪示在FFE之前的單階DC偏移消除,FFE階可被寫為:
Figure 02_image151
在此情形中,不同的係數可被使用於信號之同相位且正交分量之間,亦即,
Figure 02_image153
為同相位對同相位部分,
Figure 02_image155
為同相位對正交部分,
Figure 02_image157
為正交對同相位部分,以及
Figure 02_image159
為正交對正交部分。IQ不平衡補償需針對這些函數使用不同的係數。DFE係數可由下列給定:
Figure 02_image161
並且DC偏移消除係數可由下列符號表示:
Figure 02_image163
相位雜訊補償係數可由下列給定:
Figure 02_image165
有鑑於前述,在DC偏移消除之後的接收信號和通道等化可被寫為:
Figure 02_image167
於此,
Figure 02_image169
為反應於等化的樣本
Figure 02_image171
的解調信號,亦即
Figure 02_image173
。此亦可應用到正交分量。請注意,可以如在圖75中的截剪器來達成解調。請注意,截剪器係依據傳輸星像圖(transmitted constellation)來實行。例如,16QAM需2位元截剪器。
焦點現轉向如於此所述的訓練程序。在訓練期間,解調符碼
Figure 02_image169
Figure 02_image175
是不可靠的。因此,訓練符碼(例如,在傳輸器和接收器兩者處已知的任何符碼)可以適當的通道延遲
Figure 02_image177
來使用,如在圖74中所繪示的。訓練符碼可被表示為
Figure 02_image179
Figure 02_image181
。應注意,訓練模式(具有相位雜訊追蹤)期間的資料非為主動的,亦即,
Figure 02_image183
被設定為單位矩陣(identity matrix)。
接收器決定其用於等化的參數如下。例如,考量最小均方(LMS; least mean square)訓練,使得錯誤被最小化如下:
Figure 02_image185
錯誤可被計算為:
Figure 02_image187
其中損耗為:
Figure 02_image189
有鑑於此,對於各個係數的更新規則變為:
Figure 02_image191
其中,
Figure 02_image193
為學習率。
基於此,係數可被計算為:
Figure 02_image195
Figure 02_image197
Figure 02_image199
Figure 02_image201
焦點現被導向相位雜訊追蹤實行之細節,如在圖77中所描繪的。如上所述,相位雜訊追蹤不需訓練符碼,並且當接收器在資料模式中時其被啟動。用於訓練的參數可被計算如下:
Figure 02_image203
Figure 02_image205
要注意的是,相位雜訊追蹤不需要在每一個樣本上進行。亦即,且對照上面的公式,
Figure 02_image207
能由
Figure 02_image209
所替換,像是其中
Figure 02_image211
為整數。
在進行這些操作中,對於給定實行可所欲的是使用一或更多功率節約策略。為了節省功率,b位元訓練能被認為包括1位元訓練。在此情形中,更新規則變為:
Figure 02_image213
Figure 02_image215
Figure 02_image217
Figure 02_image219
依據本揭露之態樣,於此揭示的原理和方法可被組態為射頻電路。此射頻電路可包括信號處理電路,組態以:接收代表包括一或更多已知符碼之接收的第一射頻信號的資料;決定接收的資料與一或更多已知符碼之間的差;產生代表該接收的資料與該一或更多已知符碼之間決定的差的複數個等化參數;以及可包括一或更多等化電路,組態以:從該信號處理電路接收複數個等化參數;接收第二射頻信號;依據該複數個等化參數修改該第二射頻信號;以及將修改的第二射頻信號輸出為等化的信號。
一或更多等化電路可組態以進行前標等化(precursor equalization)和後標等化(postcursor equalization)。前標等化可包括:接收該第二射頻信號;依據該複數個等化參數其中的第一複數等化參數來修改該第二射頻信號;以及將該修改的第二射頻信號輸出為前標等化的信號。後標等化可包括:接收該前標等化的信號;依據該複數個等化參數其中的第二複數等化參數來修改該前標等化的信號;以及將該修改的前標信號輸出為該等化的信號。
前標等化電路可修改該第二射頻信號以進行射頻傳輸之符碼降低與符碼的符碼間干擾。前標等化電路可修改該第二射頻信號以跟隨射頻傳輸之符碼降低與符碼的符碼間干擾。
依據本揭露之態樣,該第一複數等化參數可包括:同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。依據本揭露之態樣,該第二複數等化參數可包括:同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
信號處理電路可更組態以:產生代表接收的資料與該一或更多已知符碼之間的差的複數個偏壓偏移(bias offset)參數;以及更包括偏壓偏移修改電路,組態以:接收DC偏壓的射頻信號;依據該複數個偏壓偏移參數修改DC偏壓射頻信號之偏壓偏移;以及將修改的DC偏壓射頻信號輸山為該第二射頻信號。
以此方式,該信號處理電路可組態以將複數個偏壓偏移參數和複數個等化參數之各者決定為一或更多同相分量和一或更多正交分量。
射頻電路可更包括資料解調電路。資料解調電路可組態以:接收等化的信號,解調該等化的信號以及將該解調的等化的信號輸出為解調信號。該信號處理電路可更組態以:接收該等化的信號;接收該解調的信號;決定該等化的信號和該解調的信號之間的差;從至少該等化的信號和該解調的信號之間的該差產生複數個相位調整參數;其中該射頻積體電路更包括相位調整電路,其中該相位調整電路係組態以:接收該複數個相位調整參數;接收該前標等化信號;以及藉由該複數個相位調整參數調整該前標等化信號之相位。
DP#4
圖79描繪用於使用一或更多嵌入式多晶粒互連橋(EMIB)7900之晶片對晶片通訊的傳統組態。在此圖中,第一晶片7902經由EMIB 7904連接至第二晶片7902,其被嵌入於晶片封裝內。以此方式,來自一晶片的通訊跨EMIB行進通過晶片的各種層朝向EMIB,且接著上到第二晶片的各種層。亦已知的是,為此目的,利用一或更多矽中介層。
在晶片對晶片/多晶片通訊的背景中,並且特別是當3-D異構晶片整合變得更普遍時,至少由於出現的成本和資料率的要求,這類對EMIB及/或矽中介層的依賴正變為更不合適。這類矽中介層和EMIB面對至少頻寬和佈線限制,以及針腳成本挑戰。他們一般不給序彈性的小晶試拓撲或可廣播的、點對多點資料傳訊。
有鑑於此,其可所欲的是,實行一或更多無線晶片對晶片通訊(WC2C)策略來致能晶片對晶片通訊,而沒有上面引用的電性傳導連接的上述限制。圖80描繪在多晶片背景中的WC2C組態。然而,在圖80中所描繪的策略具有數個挑戰。由於不利的封裝中/矽通道環境和以及對於天線的不顯眼/低調形式因子要求,這類組態的角度涵蓋、通訊範圍以及有效寬頻操作受限。
對於天線極化,由於小晶片之短且寬形式因子,現代無線互連通常利用水平極化天線,像是雙極微凸塊天線。然而,由於水平極化的天線面臨有限通訊範圍及窄操作頻寬,故此可造成減少的或不可接收的效能。利用某種垂直極化、槽孔微凸塊天線亦可是已知的;然而,由於微凸塊高度限制(<<100 µm)獲得寬帶及有效率的天線效能可為極度的挑戰。已考慮利用矽嵌入的天線替代;然而,他們的輻射效率對於許多實行是不可接受的(例如,他們的輻射效率已知為<-10 dB)。這對於使用高傳導性矽基板(>>1 S/m)的技術而言特別的真實。
為了解決這些挑戰,於此揭示使用再放射元件以致能在3-D異構小晶片之間的封裝中(in-package)跨層(cross-floor)WC2C通訊。在一些實行中,多個串接的再放射元件可形成放射路徑(於此有時稱為骨牌式通道)。此骨牌式通道可明顯地將WC2C範圍延伸。在WC2C背景內,亦可能的是使用雙極化微凸塊天線併同再放射結構。這些策略可幫助克服與已知WC2C工作關聯的窄頻寬、受限的範圍、受限角度涵蓋。特別是,他們可允許可廣播的、跨層點對多點資料傳訊;解決已知過度複雜性和拓撲的限制、有線互連解決方案;允許3D積體產品之彈性的布圖規劃來緩和熱/機械侷限並且降低上市時間;降低遲延;延伸通訊範圍(例如像是以骨牌式通道);以及支援雙極化。
圖81描繪依據本揭露之態樣的跨層通訊架構。跨層通訊架構可包括晶粒與無線晶片對晶片通訊(WC2C)運用再放射器8106(例如,再放射元件/再放射結構)之間的嵌入的多晶粒互連橋(EMIB)8104。在此範例中,可運用一或更多再放射元件來克服上述微凸塊天線效能限制(例如,窄操作頻寬及/或短通訊範圍),其已知由於極度不顯眼/低調的形式因子(<<100 µm)及在矽基板上薄的金屬層而引起。
再放射元件可為一或更多共振結構(resonance structure)。亦即,他們可展現半波長長度,彷彿他們位於晶粒之間的模製材料中。若他們被連接至積體散熱器(IHS)或封裝接地,則他們展現了四分之一波長長度。在微凸塊天線(或信號耦合器)上的信號可以次波長(sub-wavelength)距離無線地耦合至「全尺寸」共振或電性上大的再放射結構。這類耦合可延伸無線通訊涵蓋(範圍或角度)。因此,再放射結構亦可幫助補救上述的小天線挑戰和矽基板之佈局侷限之一些者。
圖82描繪於此揭示再放射結構之各種組態,併同他們的再放射方向。例如,0480描繪筆直、線性的再放射結構。此結構0480作為具有較寬角度涵蓋的天線;然而,由於較寬角度涵蓋而整體放射增益受限。連接至IHS(如由0480所描繪)或連接至封裝接地(如由8204所描繪)的這類再放射結構預期像單極天線作動,其會將波束稍微向下(例如,在IHS之情形中)或向上的方向(例如,在封裝接地的情形中)傾斜。
0480的再放射結構可被彎曲或斜置/成角(angled)。這類彎曲結構可在對角線方向上支援再放射。例如,8206描繪再放射結構,其可為彎曲的或以L形狀形成(例如,展現在非零的第一部分及第二部分/對該第一部分的非-180-度角度;例如,其中第一部分在對第二部分為在約90-度角)。如由8206所描繪,在此組態中的再放射結構可展現類似於類似定位的筆直、再放射元件(例如,在0480或8204中所繪示的)的放射方向的第一放射方向以及斜向於第一輻射圖案的第二輻射圖案。再放射結構可組態具有如在8208中所描繪的一或更多彎曲/角度(例如,三或更多的部分)。這類再放射結構可展現類似於8206的第一輻射角度,併同第二輻射角度和第三輻射角度,其中第二輻射角度和第三輻射角度是不同的,且其中他們兩者皆斜向於第一輻射角度。
這些再放射結構可從一或更多金屬結構作成,像是(但不限於)一或更多金屬棒、一或更多模穿通孔(TMV; through-mold-via)及/或一或更多銅柱。或者或此外,能預作成一或更多的多工件的反射體結構。這些一或更多預作成結構能被插到於模製材料中的洞中。或者或此外,一或更多額外的矽穿通孔(TSV; through-silicon-via)結構可被包括在矽基板上作為一或更多反射體。
於此提出運用WC2C再放射結構跨層通訊架構可在各種通訊拓撲中使用,像是用於超短距及短對角線距。圖83描繪依據本揭露之態樣的一次這類拓撲。在此圖中,第一複數晶粒8302(在此圖中,描繪為(但決不限於)8晶粒)係佈設具有天線和再放射元件,用以允可在鄰接晶粒中的無線通訊(例如,本質上具有在傳輸晶粒之正上方、下方、至左側或至左側的晶粒)。或者或此外,8304描繪第二複數晶粒(亦描繪為(但決不限於)8晶粒),其被佈設具有天線和再放射元件,用以允可與鄰接晶粒的無線通訊(例如,如上所述的),並且具有對傳輸晶粒是斜向的或對角線的晶粒。雖然於此描繪兩個拓撲,於此揭示的原理及方法並不限於使用描繪為8302及8304的拓撲,而相反的,可以各種拓撲來實行,像是以在不同晶粒之間的不同通訊組態、以不同的晶粒佈設及/或以不同數目的晶粒。使用這類的拓撲,MIMO天線陣列和再放射元件可改善3-D跨層WC2C通訊的涵蓋及效能。
依據本揭露之態樣,於此揭示的原理和方法可被利用於雙極化背景中。亦即,藉由將雙極和槽孔微凸塊天線整合到如在圖84中所描繪的放射孔徑內側,能延伸超短範圍無線晶片對晶片(WC2C)通訊來支援雙線性極化(dual-linear-polarization),亦即,垂直及水平極化兩者。
圖84描繪具有一或更多複數個放射微凸塊8404(描繪至饋入微凸塊8402之左側的微凸塊)及8406(描繪至饋入微凸塊8402之右側)的饋入微凸塊8402,其定位近於及/或鄰接於第一複數微凸塊。饋入微凸塊8402之一側可連接至系統接地(未描繪於此圖中),同時饋入微凸塊8402之其餘一側可連接至激勵源、收發器或差動源(未描繪於此圖中)。一或更多複數放射微凸塊8404及8406可組態以將接收的射頻信號再放射,其由饋入微凸塊8402所發射。依據本揭露之態樣,一或更多複數放射微凸塊8404及8406之各個複數可包括彼此電性傳導地連接的複數個微凸塊,像是在至少圖84中所描繪的。可例如透過使用傳輸線來實行這些電性傳導連接,其可被使用來連接鄰接的微凸塊。
依據本揭露之態樣,一或更多電短接的微凸塊8408可沿著一或更多的放射微凸土之一或更多側來擺置。以此方式,一或更多電性短接的微凸塊可形成輻射孔徑,其可更引導由該一或更多複數個饋入微凸塊8402和放射元件8404及8406所發射的電磁輻射。
因此,槽孔微凸塊天線併同放射孔徑能支援單端及差動饋入結構兩者。另一方面,具有兩臂的雙極微凸塊天線(其從微凸塊和傳輸線作成)亦能被擺置在放射孔徑內側。亦即,複數個電性傳導連接的微凸塊建構地形成雙極天線。建構地形成的雙極天線可具有水平極化。同時,微凸塊亦可建構地形成一或更多槽孔天線,其中各個建構形成的槽孔天線具有垂直極化。以此方式,可達成雙極化傳輸。亦即,槽孔及雙極微凸塊天線可被視為互補對,因為他們的球面放射模式不僅在近場區域正交,而也在遠場區域正交,從而改善天線之間的隔離等級。兩個正交通道可將最大資料流量加倍,而不用針對天線在矽晶粒上的擺置需求在晶片覆蓋區(footprint)內額外的空間。例如,此可因為雙極微凸塊天線與槽孔微凸塊天線共用天線覆蓋區面積。
雖然此二天線之球狀輻射模式可彼此正交,對於他們饋入傳輸線之間的互動有一些潛力來限制他們的隔離效能。在此情形中,隔離可藉由使用一或更多對於雙極微凸塊天線的一或更多差動饋入和對於槽孔雙極天線的一或更多單端饋入之結合來改善。以此方式,共同(或偶模(even-mode))干擾可被消除掉。
可實行如上述的再放射結構來支援雙線性極化的天線。在圖85中,圖84之雙極化微凸塊天線結構係連同一或更多再放射結構8502來描繪。以此方式,一或更多再放射結構8502可被擺置在鄰近於雙極化天線(例如,鄰近一或多個放射微凸塊天線),用以延伸或重新引導射頻發射。進一步而言,如將在下面更詳細說明的,複數個再放射結構8502可彼此旁靠的擺置(例如,在鏈或骨牌形成中),以致使引導及/一延伸射頻發射。
更詳細來說,再放射結構能被擺置在晶粒之間並且被使用來創建骨牌式通道,如在圖86中所示出的。在此圖中,第一晶粒8602經由複數個再放射結構8606傳輸到第二晶粒8604。在此圖後,並且僅為了展示的目的,描繪了三種類的再放射結構。沿著8606之頂部橫列,直的或線性再放射結構被描繪為正連接至IHS(如在0480中);沿著底部橫列,直的或線性再放射結構被描繪為正連接至封包(如在8204中);以及在中間橫列中,描繪了彎曲或成形的再放射結構(如在8208中)。可使用這些再放射結構之任何一或更多類型。另以陳述的是,可使用:附接到IHS的僅一或更多線性再放射元件、附接到封裝的僅一或更多再放射元件、僅一或更多曲線的或彎曲的再放射元件;或上述再放射元件之類型的任何結合。在此圖中,第一晶粒8602和第二晶粒8604之間的通道被描繪為包括各類型之八個再放射元件。於此所描繪的再放射元件之數目係為了展示的目的而選擇,並且實際的再放射元件之數目可比八還更多或更少,取決於實行。
以此方式,來自微凸塊天線的信號及/或在矽基板上的任何天線能耦接至最近的再放射結構。此耦接的再放射結構開始共振,並且此耦接的信號被傳送到下一個再放射結構。此可持續通過一或更多額外的再放射結構。最終,信號耦接至在其它晶粒上的接收天線。在多個再放射結構的情形中,此可透過串接反應(或骨牌反應)發生。此骨牌反應可在子THz操作頻率範圍中減少通道損耗並且致能較長距離的WC2C通訊。
依據本揭露之另一態樣,可選擇金屬再放射結構之厚度來影響歐姆損耗及/或品質因子Q。亦即,較厚的金屬結構(一或二維度)可以於在放射結構中使用以降低歐姆損耗及品質因子Q兩者(或因為品質因子Q反比於頻寬而增加頻寬)。在某種意義上,串接的再放射結構可創建一或更多實質傳輸線。然而,他們比傳統電性傳導傳線較不取決於傳輸媒體(或就傳輸線類比而言之「基板」材料)。此允許混合結構具有雙傳輸線之特點,像是微帶狀和帶狀線以及天線輻射。
當骨牌式通道與其它類型的有線及無線通道被使用在一起時,可能進行在晶粒之間各種點對點及/或點對多點通訊。圖87描繪在晶粒之間這類點對點通訊,在其中使用複數個再放射元件來將射頻信號從一晶粒選路(route)到另一者。這類再放射元件的使用可使之可能將射頻傳輸選路通過從傳輸器到接收器之射頻傳輸另以會困難或不可能的通道。更詳細而言,無線通道存在於晶粒、積體散熱器(IHS)外殼和封裝之頂部接地層之間。雖然此封閉的通道可表現出嚴重的腔室共振,具有高傳導性(>>1 S/m)的矽晶粒作動像是有損耗的介電加載材料。此可降低腔室的Q,明顯地緩解腔室共振,並且接著通道能被使用於WC2C通訊。這些再放射結構之使用,像是在於此揭示的骨牌式通道概念內,儘管明顯的通道損耗、尖銳的曲線路徑以及低放大器增益,還是促進長距離WC2C通訊。
依據本揭露之另一態樣,一或更多再放射結構可幫助克服這些挑戰。圖88描繪再放射結構之各種實行,用以克服上面關於無線通道的挑戰。在8802中,一或更多再放射結構被擺置在無線通道路徑內的曲線區域或彎曲處,使得射頻發射從第一方向被選路至不同於第一方向的第二方向。更詳細而言,8802描繪從晶粒4的傳輸,其初始地以向下方向放射。一或更多再放射元件被擺置在第一曲線8804中,從而引起射頻傳輸主要在第二方向上再選路(reroute),主要朝向影像8802之右方。一或更多再放射結構可被擺置在第二曲線8806中,從而引起射頻發射從主要水平方向改變方向到主要垂直方向,如於此所描繪的。一或更多再放射結構可被擺置在第三曲線8808中,從而引起射頻發射從主要垂直方向改變方向到主要水平方向,使得其由打算的晶粒(晶粒3)所接收。雖然此影像描繪使用以改變射頻發射之方向的再放射元件之三個叢集,本領域具有通常知識者將了解再放射元件之群組的數目以及使用於各個群組的再放射元件之數目可針對任何給定實行來選定並且能比三個更多或更少。
繼續圖88,8804描繪依據本揭露之不同態樣使用的複數個再放射結構。以此方式,與依賴複數個再放射元件之群組來改變射頻發射之方向相反的是,在傳輸晶粒與接收晶粒之間實行再放射結構之連續鏈或骨牌式佈設。以此方式,用於傳輸到再放射結構的晶粒,其准許到下一個再放射結構,在當其被接收時,其持續直到最後再放射結構發射射頻傳輸到晶粒三。亦即,一或更多重新放射結構可被擺置在曲線的路徑中或以子波長分離距離周期地擺置來形成骨牌式通道。以此方式,信號功率可被聚焦於再放射結構之共振的部位且被傳送到下一個再放射結構。如在8806中所繪示,一或更多矽穿通孔(TSV)可選擇地被擺置在沿著路徑的矽基板之邊緣上以為了進一步降低通道損耗。
為了展示使用這類再放射結構,已實施全波模擬。此模擬展示如藉由將兩晶粒之間的再放射結構串接實行的此所謂骨牌式通道之較長範圍通訊的益處。圖89描繪代表性的情境。例如,一般如在圖86中所描繪的,四分之一波長再放射結構被連接至積體散熱器(IHS)。以此方式,再放射結構不會與在封裝之頂層上的跡線走線干擾。此情境假定30 dB通道損耗容限(tolerance)。元件8902描繪兩晶粒之間不使用再放射元件的射頻傳輸。元件8904描繪兩晶粒之間使用骨牌式通道組態的射頻傳輸,在其中複數個再放射元件被放置在傳輸晶粒與接收晶粒之間。在8902中,傳輸不能觸及路徑的右角落,並且接收晶粒事實上不接收該射頻傳輸。在8904中,串接的再放射結構允可射頻傳輸延伸至該接收晶粒而沒有明顯的通道損耗。在8904中,功率分佈聚焦於再放射結構的部位。
有鑑於前述,骨牌式通道組態和再放射結構之使用可透過環狀網路拓撲致能比較上長範圍的點對多點通訊。圖90描繪兩個這類示範性拓撲。在9002中,八個晶粒以矩形形成來描繪,其具有沿著頂部橫列的四個晶粒和沿著底部橫列的四個晶粒。不使用於此所述的再放射元件,可為可能的是從任何晶粒傳輸到鄰接的或斜向設位的晶粒;然而,對其它晶粒的直接傳輸可為困難的或不可能的。然而,使用於此所述的再放射元件,這類傳輸可成為現實。9002描繪擺置在八個晶粒周圍的環形成中的複數個再放射元件(藉由代表傳輸路徑的箭頭描繪為連接至各個晶粒的外部環)。以此方式,各個晶粒可傳輸到複數個再放射元件,其接著可將射頻傳輸引導在再放射元件之路徑的周圍。以此方式,一或更多晶粒可從一或更多再放射元件接收傳輸。9004描繪依據本揭露之另一態樣的再放射元件之選替的組態。在此情形中,複數個再放射元件定位於晶粒之間的環形式中(例如,在第一橫列的晶粒與第二橫列的晶粒之間)。以此方式,任一晶粒可傳輸到再放射元件之環中,其接著將射頻傳輸沿著再放射元件之路徑轉發,使得任何一或更多其它晶粒可接收射頻傳輸。
於此揭示的原理及裝置可被組態為多晶片封裝,其包括:第一小晶片,包括第一天線,組態以將射頻信號放射;第二小晶片,包括第二天線,組態以接收射頻信號;以及至少一電磁能再放射元件,組態以從第一天線接收射頻信號,並且組態以將射頻信號再放射。
圖91描繪再放射元件之剖面視圖,在其中範例2維厚度變成該再放射元件,並且展示所造成在品質因子Q上的改變。例如,具有一般圓剖面9102的適中尺寸的再放射元件將預期展現高Q。0416描繪兩個再放射元件形狀的2D剖面,其展現較低Q。9106描繪展現較低Q的再放射元件形狀之本質上的1D剖面。
至少一電磁能再放射元件可包括第一電磁能再放射元件和第二電磁能再放射元件,該第二電磁能再放射元件組態以從第一電磁能再放射元件接收再放射的射頻信號。以此方式,再放射元件可接收射頻信號且接著將射頻信號放射至第二再放射元件。再放射元件之數目可大於二。在一些組態中,許多再放射元件可鄰近地被擺置於鏈或骨牌形成中,使得他們定義將射頻信號放射所沿著的路徑。
在一些組態中,如正上方所述,再放射路徑一般可為不間斷的路徑,使得再放射元件之連續接連從傳輸天線延伸到接收天線。在其它情況中,傳輸天線和接收天線之間的路徑可具有再放射元件之非連續接連。在此情況中,可足以將一或更多再放射元件沿著在傳輸天線與接收天線之間路徑中的曲線途徑來擺置。以此方式,射頻信號可在第一方向上傳輸。當必要(例如,由於傳輸天線與接收天線之間路徑之曲線本質)彎曲或改變傳輸之方向時,複數個再放射元件可以曲線的方式來擺置,使得他們定義用於持續放射射頻傳輸的新方向。藉由將複數個再放射元件沿著無線路徑之曲線或彎曲擺置,射頻傳輸可被引導沿著障礙物的角落或周圍以改善無線傳輸效能。
依據本揭露之態樣,再放射元件可包括一或更多區段,其具有等於射頻信號之波長的二分之一的長度。一或更多元件可被組態為一或更多雙極天線。以此方式,一或更多再放射元件可具有類似於或等同於雙極天線的發射圖案。依據本揭露之態樣,再放射元件可包括具有等於射頻信號之波長的四分之一的長度的至少一區段。
依據本揭露之態樣,晶片封裝可選擇地包括散熱片(heat spreader)或參考位勢面(reference potential plane)。以此方式,至少一電磁能再放射元件可電性傳導地連接至散熱片或參考位勢面,並且該至少一電磁能再放射元件可被組態為單極天線。
依據本揭露之態樣,至少一電磁能再放射元件可包括第一區段和本質上垂直於第一區段的第二區段。該第一區段可具有第一輻射角度,並且該第二區段可具有第二輻射角度,其中至少一電磁能再放射元件具有在從第一輻射角度到第二輻射角度之範圍中的主輻射角度。
再放射元件可從各種金屬結構之任一者來創建,其能夠接收及再放射射頻傳輸。這類材料之非窮舉列舉包括:一或更多金屬棒;一或更多通孔;以及一或更多銅柱。 定義
詳細說明參照附加圖式,其藉由圖示的方式繪示本揭露可被實行於其中的本揭露之特定細節及態樣。可利用其它態樣,並且結構、邏輯及電性改變可在不悖離本揭露之範圍下作成。本揭露之各種態樣不必然互斥,因為本揭露之一些態樣能與本揭露之一或更多其它態樣結合以形成新的態樣。
字彙「示範性」於此使用來意味「當作範例、實例或例示」。於此所述作為「示範性」的本揭露或設計之任何態樣不必然被理解為超過其它態樣或設計之較佳的或有益的。
遍及圖式,應注意的是,相似的參考號碼被使用來描繪相同或類似的元件、特徵及結構,除非另以注解。
術語「至少一」和「一或更多」可被了解來包括大於或等於一的數值量(numerical quantity)(例如,一、二、三、四、[…]、等)。術語「複數個」可被了解來包括大於或等於二的數值量(numerical quantity)(例如,二、三、四、五、[…]、等)。
關於成群的元件的詞彙「其之至少一者」於此可被使用來意味來自由元件組成的群中的至少一元件。例如,關於成群的元件的詞彙「其之至少一者」於此可被使用來意味下列之選擇:列舉元件之一者、列舉元件之一者的複數、個別列舉的元件之複數或多個個別列舉的元件之複數。
在發明說明及申請專利範圍中的字彙「複數」和「多個」明確地指的是大於一的數量。據此,明顯援引參照至元件之數量的前述字彙(例如,「複數[元件]」、「多個[元件]」)的任何詞彙指的是多於該元件之一者。若有任何的話,術語「成群的」、「成組的」、「聚集的」、「成串的」、「成序列的」、「群集的」等以及在發明說明及申請專利範圍中的相似者指的是等於或大於一的數量,亦即一或更多。術語「適當子集」、「減少子集」以及「較小子集」指的是不等於該集合的集合之子集,解說性地指的是包含比該集合更少元件的集合之子集。
於此使用的術語「資料」可被了解為包括以任何合適的類比或數位形式的資訊,例如提供為檔案、檔案之部分、成組的檔案、信號或串流之部分、成組的信號或串流以及類似者。進一步,術語「資料」亦可被使用來意味例如以指標的形式對資訊的參考。然而,術語「資料」並不限於前述範例,並且可採取各種形式並且代表如在本領域所了解的任何資訊。
例如,如於此所使用的「處理器」或「控制器」可被了解為任何種類的技術實體,其允許處置資料。可依據由處理器或控制器執行的一或更多特定功能來處置資料。進一步,如於此所使用的處理器或控制器可被了解為任何種類的電路,例如,任何種類的類比或數位電路。因此,處理器或控制器可為或包括類比電路、數位電路、混合信號電路、邏輯電路、處理器、微處理器、中央處理單元(CPU)、圖形處理單元(GPU)、數位信號處理器(DSP)、場可程式化閘陣列(FPGA)、積體電路、特定應用積體電路(ASIC)等或其任何結合。分別功能之任何其它種類的實行(其將在下面更詳細的說明)亦可被了解為處理器、控制器或邏輯電路。要了解的是,於此詳述的處理器、控制器或邏輯電路之任二者(或更多)可被實現為具有等同功能特性或類似者的單一實體,而相反的是,於此詳述的任何單一處理器、控制器或邏輯電路可被實現為具有等同功能特性或類似者的二(或更多)分開的實體。
如於此所使用的,「記憶體」被了解為電腦可讀媒體,資料或資訊能被儲存於其中以用於取回。對於此包括的「記憶體」的參考可被了解為指的是揮發性或非揮發性記憶體,其包括隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、固態儲存、磁帶、硬碟驅動、光學驅動等等以及其任何組合。暫存器、移位暫存器、處理器暫存器、資料緩衝器等等於此亦被術語記憶體所包入。術語「軟體」指的是任何類型的可執行指令,包括韌體。
除非明顯明定,術語「傳輸」包括有直接(點對點)和間接傳輸(經由一或更多中間的點)兩者。同樣的,術語「接收」包括有直接和間接接收兩者。進一步而言,術語「傳輸」、「接收」、「通訊」以及其它類似的術語包括有實體傳輸(例如,無線電信號之傳輸)和邏輯傳輸(例如,透過邏輯軟體級連接的數位資料之傳輸)兩者。例如,處理器或控制器可以無線電信號之形式透過與另一處理器或控制器軟體級連接來傳輸或接收資料,其中實體傳輸及接收係由無線電層組件,像是RF收發器及天線,來處置,並且透過軟體級連接的邏輯傳輸及接收係由處理器或控制器來進行。術語「通訊」包括有傳輸和接收其中之一或兩者,亦即,在來臨的或離去的其中一或兩者中的單向或雙向通訊。術語「計算」包括有經由數學算式/公式/關係「直接」計算和經由查找或雜湊表以及其它陣列索引或搜尋操作來「間接」計算。
字彙「示範性」於此使用來意味「當作範例、實例或例示」。於此所述作為「示範性」的任何態樣或設計不必然被理解為超過其它態樣或設計之較佳的或有益的。
遍及圖式,應注意的是,相似的參考號碼被使用來描繪相同或類似的元件、特徵及結構,除非另以注解。
詞彙「至少一」和「一或更多」可被了解來包括大於或等於一的數值量(例如,一、二、三、四、[…]、等)。關於成群的元件的詞彙「其之至少一者」於此可被使用來意味來自由元件組成的群中的至少一元件。例如,關於成群的元件的詞彙「其之至少一者」於此可被使用來意味下列之選擇:列舉元件之一者、列舉元件之一者的複數、個別列舉的元件之複數或多個個別列舉的元件之複數。
在發明說明及申請專利範圍中的字彙「複數」和「多個」明確地指的是大於一的數量。據此,明顯援引參照至元件之數量的前述字彙(例如,「複數[元件]」、「多個[元件]」)的任何詞彙指的是多於該元件之一者。舉例而言,詞彙「複數個」可被了解來包括大於或等於二的數值量(例如,二、三、四、五、[…]、等)。
若有任何的話,在發明說明及申請專利範圍中的詞彙「成群的」、「成組的」、「聚集的」、「成串的」、「成序列的」、「群集的」等等,指的是等於或大於一的數量,亦即一或更多。術語「適當子集」、「減少子集」以及「較小子集」指的是不等於該集合的集合之子集,解說性地指的是包含比該集合更少元件的集合之子集。
進一步,空間相關術語,像是「在下」,「下面」、「下」、「上面」、「上」及類似者,於此可被使用於易於說明來描述如在圖中所示出的一元件或對上另一元件或特徵之特徵的關係。空間關係術語打算包括有在使用或操作中除了在圖中所描繪的定向之外裝置之不同定向。設備可被另以定向(旋轉90度或在其它定向上)並且於此所使用的空間關係描述符可據以同樣地被解譯。
如於此所使用的,除非另以明定,序數形容詞「第一」、「第二」、「第三」等用以描述共同物件之使用僅指示正參照的相似物件之不同實例,並且並不打算暗示所謂的物件必需以給定的序列時間地、空間地排序或以其它方式其一者。
定義術語「半導體基板」來意味任何包括半導體材料的構造,例如具有或不具有磊晶層的矽基板、包含有埋入的絕緣體層之絕緣體上矽基板或具有矽鍺化物層的基板。於此所使用的術語「積體電路」指的是具有多個個別電路元件的電子電路,像是電晶體、二極體、電阻器、電容器、電感器及其它主動及被動半導體元件。
於此使用的術語「資料」可被了解為包括以任何合適的類比或數位形式的資訊,例如提供為檔案、檔案之部分、成組的檔案、信號或串流之部分、成組的信號或串流以及類似者。進一步,術語「資料」亦可被使用來意味例如以指標的形式對資訊的參考。然而,術語「資料」並不限於前述範例,並且可採取各種形式並且代表如在本領域所了解的任何資訊。
如於此所使用的,「指示」值或其它資訊的信號可為數位或類比信號,其以能被反應動作解碼及/或在組件接收該信號下引起反應動作的方式將值或其它資訊編碼或另以通訊。信號在其藉由接收組件接收前可被儲存或緩衝於電腦可讀儲存媒體中,並且該接收組件可從該儲存媒體取出該信號。進一步,「指示」一些數量、狀態或參數的「值」可實體被體現為數位信號、類比信號或將該值編碼或另以通訊的儲存位元。
如於此所使用的,信號可透過信號鏈來傳輸或處理,在其中處理信號以改變特性,像是相位、振幅、頻率等。即使這類特性被調適,該信號可被稱為相同信號。一般而言,只要信號持續編碼相同資訊,該信號可被視為相同信號。例如,傳輸信號可被視為指的是在基帶、中間及無線電頻率上傳輸信號。
例如,如於此所使用的「處理器」或「控制器」可被了解為任何種類的技術實體,其允許處置資料。可依據由處理器或控制器執行的一或更多特定功能來處置資料。進一步,如於此所使用的處理器或控制器可被了解為任何種類的電路,例如,任何種類的類比或數位電路。因此,處理器或控制器可為或包括類比電路、數位電路、混合信號電路、邏輯電路、處理器、微處理器、中央處理單元(CPU)、圖形處理單元(GPU)、數位信號處理器(DSP)、場可程式化閘陣列(FPGA)、積體電路、特定應用積體電路(ASIC)等或其任何結合。分別功能之任何其它種類的實行(其將在下面更詳細的說明)亦可被了解為處理器、控制器或邏輯電路。要了解的是,於此詳述的處理器、控制器或邏輯電路之任二者(或更多)可被實現為具有等同功能特性或類似者的單一實體,而相反的是,於此詳述的任何單一處理器、控制器或邏輯電路可被實現為具有等同功能特性或類似者的二(或更多)分開的實體。
如於此利用的,術語「模組」、「組件」、「系統」、「電路」、「元件」、「片層」、「電路(系統)」及類似者係打算指的是成組的一或更多電子組件、電腦相關實體、硬體、軟體(例如,在執行中)及/或韌體。例如,電路(系統)或類似的術語能為處理器、在處理器上運行的過程、控制器、物件、可執行程式、儲存裝置及/或具有處理裝置的電腦。藉由例示,在伺服器上運行的應用和伺服器亦可以為電路(系統)。一或多個組件能常註在相同電路(系統)中,而電路(系統)能定位於一台電腦上及/或分佈於二或多個電腦之間。能於此說明成組的元件或成組的其它電路,其中「成組的」可以被轉譯為「一或更多」。
如於此所使用的,「記憶體」被了解為電腦可讀媒體(例如,非暫態電腦可讀媒體),在其中能儲存資料或資訊以用於取回。對於此包括的「記憶體」的參考可被了解為指的是揮發性或非揮發性記憶體,其包括隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、固態儲存、磁帶、硬碟驅動、光學驅動、3D XPoint TM等等以及其任何組合。暫存器、移位暫存器、處理器暫存器、資料緩衝器等等於此亦被術語記憶體所包入。術語「軟體」指的是任何類型的可執行指令,包括韌體。
於此所使用的術語「天線」或「天線結構」可包括一或更多天線元件、組件、單元、組合件及/或陣列之任何合適的組態、結構及/或佈設。在一些態樣中,天線可使用分開的傳輸和接收天線元件來實行傳輸和接收功能特性。在一些態樣中,天線可使用共同及/或積體的傳輸和接收天線元件來實行傳輸和接收功能特性。例如,天線可包括相位陣列天線、單一元件天線、成組的切換波束天線及/或類似者。
將了解的是,當元件被指為「連接」或「耦接」到另一元件時,其能實體地連接或耦接至該其它元件,使得電流及/或電磁輻射(例如,信號)能沿著由元件形成的傳導路徑流動。當元件被描述為彼此耦接或連接時,介於中間的傳導、電感性或電容性元件可出現在元件和其餘元件之間。進一步,當彼此耦接或連接時,一元件可能夠引發在該其該另一元件中電壓或電流流動或電磁波之傳播而沒有實體接觸或中介於中間的組件。進一步,當電壓、電流或信號被稱為是被「施加」到元件時,該電壓、電流或信號可藉由實體連接的方式或藉由不含有實體連接的電容性、電磁或電感性耦合的方式被傳導到該元件。
除非明顯明定,術語「傳輸」包括有直接(點對點)和間接傳輸(經由一或更多中間的點)兩者。同樣的,術語「接收」包括有直接和間接接收兩者。進一步而言,術語「傳輸」、「接收」、「通訊」以及其它類似的術語包括有實體傳輸(例如,無線電信號之傳輸)和邏輯傳輸(例如,透過邏輯軟體級連接的數位資料之傳輸)兩者。例如,處理器或控制器可以無線電信號之形式透過與另一處理器或控制器軟體級連接來傳輸或接收資料,其中實體傳輸及接收係由無線電層組件,像是RF收發器及天線,來處置,並且透過軟體級連接的邏輯傳輸及接收係由處理器或控制器來進行。術語「通訊」包括有傳輸和接收其中之一或兩者,亦即,在來臨的或離去的其中一或兩者中的單向或雙向通訊。術語「計算」包括有經由數學算式/公式/關係「直接」計算和經由查找或雜湊表以及其它陣列索引或搜尋操作來「間接」計算。
如於此所使用的術語「校準」可描述裝置或裝置之組件(例如,無線電頭電路、收發器鏈、收發器鏈之組件及類似者)被校準的過程。例示地,術語校準可描述校正裝置之行為或其組件其中一者與預期的或所欲的行為的一或更多偏差。進一步例示地,術語校準可描述裝置或其組件其中一者的操作與該裝置或該組件之預定的或所欲的操作對準。藉由範例的方式,校準可描述消除非線性及/或消除不匹配的過程。
於此所使用的術語「操作地連接」可描述二元件之間的連接,使得第一元件使用作為對第二元件之輸出進行輸入來操作或作用。依據本揭露之態樣,「操作地連接」可描述電性傳導連接。亦即,如於此所述操作地連接的二元件可被電性傳導連接使得電荷可從第一元件行進到第二元件。
DP#13
在範例1中,電路封裝包括:第一小晶片,其包括:第一側和對立於該第一側的第二側;第一終端,其延伸於該第一側和該第二側之間;第一焊錫元件,裝設在該第一終端上;第二終端,延伸於該第一側和該第二側之間,第二焊錫元件,裝設在該第二終端上;以及金屬元件,電性傳導地連接至該第二焊錫元件;其中該金屬元件具有其外周至少部分包圍該第一終端的形狀。
在範例2中,範例1之該電路封裝,第一傳輸線,其電性傳導的連接至該第一終端;其中該第一終端組態以從該第一傳輸線接收電信號且從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的電信號。
在範例3中,範例2之該電路封裝,其中該金屬元件組態以將該第二焊錫元件與該第一焊錫元件電感地或電容地之至少其中一者來耦合。
在範例4中,範例3之該電路封裝,其中該金屬元件與該第一焊錫元件之電感或電容之至少一者的耦合變更該第二焊錫元件之阻抗。
在範例5中,範例2到4之任一者之該電路封裝,其中該第一焊錫元件電性傳導的連接至該第一小晶片之封裝接地層和am m7接地層。
在範例6中,範例1之該電路封裝,更包括:第一傳輸線,其電性傳導的連接至該第二終端;其中該第二終端組態以從該第一傳輸線接收電信號且從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的電信號。
在範例7中,範例6之該電路封裝,其中該金屬元件為頂端負載天線。
在範例8中,範例6之該電路封裝,其中該金屬元件進行頂端負載功能。
在範例9中,範例6或8之任一者之該電路封裝,其中該第一終端被電短接。
在範例10中,範例1至9之任一者之該電路封裝,其中該第一焊錫元件為焊錫凸塊。
在範例11中,範例1至10之任一者之該電路封裝,其中該第一焊錫元件為微凸塊。
在範例12中,範例1至11之任一者之該電路封裝,其中該第一終端為通孔。
在範例13中,範例1至12之任一者之該電路封裝,其中該第二焊錫元件為焊錫凸塊。
在範例14中,範例1至13之任一者之該電路封裝,其中該第二焊錫元件為微凸塊。
在範例15中,範例1至14之任一者之該電路封裝,其中該第二終端為通孔。
在範例16中,範例1至15之任一者之該電路封裝,更包括:一或更多第三終端,其沿著金屬元件之至少一側擺置,其中該一或更多第三終端被電短接。
在範例17中,範例16之該電路封裝,其中該一或更多第三終端形成孔徑,用以引導該射頻信號。
在範例18中,範例16或17之該電路封裝,其中該一或更多第三終端為通孔。
在範例19中,範例1至18之任一者之該電路封裝,其中該金屬元件係從該電路封裝之至少一層被切出。
在範例20中,範例1至19之任一者之該電路封裝,其中該金屬元件係從該電路封裝之m8層被切出。
在範例21中,範例1至20之任一者之該電路封裝,更包括:第二小晶片,其包括:第一側和對立於該第一側的第二側;第一終端,其延伸於該第一側和該第二側之間;第一焊錫元件,裝設在該第一終端上;第二終端,延伸於該第一側和該第二側之間,第二焊錫元件,裝設在該第二終端上;以及金屬元件,電性傳導地連接至該第二焊錫元件;其中該金屬元件具有其外周至少部分包圍該第一終端的形狀。
在範例22中,用於小晶片射頻發射的機構,包括:第一小晶片,其包括:第一側和對立於該第一側的第二側;第一終端,其延伸於該第一側和該第二側之間;第一焊錫元件,裝設在該第一終端上;第二終端,延伸於該第一側和該第二側之間,第二焊錫元件,裝設在該第二終端上;以及金屬元件,電性傳導地連接至該第二焊錫元件;其中該金屬元件具有其外周至少部分包圍該第一終端的形狀。
在範例23中,範例22之用於小晶片射頻發射的該機構,更包括:第一傳輸線,其電性傳導的連接至該第一終端;其中該第一終端組態以從該第一傳輸線接收電信號且從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的電信號。
在範例24中,範例23之用於小晶片射頻發射的該機構,其中該金屬元件組態以將該第二焊錫元件與該第一焊錫元件電感地或電容地之至少其中一者來耦合。
在範例25中,範例24之用於小晶片射頻發射的該機構,其中該金屬元件與該第一焊錫元件之電感或電容之至少一者的耦合變更該第二焊錫元件之阻抗。
在範例26中,範例23到25之用於小晶片射頻發射的該機構,其中該第二焊錫元件電性傳導的連接至該第一小晶片之封裝接地層和am m7接地層。
在範例27中,範例22之用於小晶片射頻發射的該機構,更包括:第一傳輸線,其電性傳導的連接至該第二終端;其中該第二終端組態以從該第一傳輸線接收電信號且從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的電信號。
在範例28中,範例27之用於小晶片射頻發射的該機構,其中該金屬元件為頂端負載天線。
在範例29中,範例27之用於小晶片射頻發射的該機構,其中該金屬元件進行頂端負載功能。
在範例30中,範例27或29之任一者之用於小晶片射頻發射的該機構,其中該第一終端被電短接。
在範例31中,範例22至30之任一者之用於小晶片射頻發射的該機構,其中該第一焊錫元件為焊錫凸塊。
在範例32中,範例22至31之任一者之用於小晶片射頻發射的該機構,其中該第一焊錫元件為微凸塊。
在範例33中,範例22至32之任一者之用於小晶片射頻發射的該機構,其中該第一終端為通孔。
在範例34中,範例22至33之任一者之用於小晶片射頻發射的該機構,其中該第二焊錫元件為焊錫凸塊。
在範例35中,範例22至34之任一者之用於小晶片射頻發射的該機構,其中該第二焊錫元件為微凸塊。
在範例36中,範例22至35之任一者之用於小晶片射頻發射的該機構,其中該第二終端為通孔。
在範例37中,範例22至36之任一者之用於小晶片射頻發射的該機構,更包括:一或更多第三終端,其沿著金屬元件之至少一側擺置,其中該一或更多第三終端被電短接。
在範例38中,範例37之用於小晶片射頻發射的該機構,其中該一或更多第三終端形成孔徑,用以引導該射頻信號。
在範例39中,範例37或38之用於小晶片射頻發射的該機構,其中該一或更多第三終端為通孔。
在範例40中,範例22至39之任一者之用於小晶片射頻發射的該機構,其中該金屬元件係從用於小晶片射頻發射的該機構之至少一層被切出。
在範例41中,範例22至40之任一者之用於小晶片射頻發射的該機構,其中該金屬元件係從用於小晶片射頻發射的該機構之m8層被切出。
DP#3
在範例42中,多晶片射頻傳輸裝置,包括:第一小晶片;第一天線;第一傳輸線,將該第一天線連接至該第一小晶片;第二小晶片;第二天線;以及第二傳輸線,將該第二天線連接到該第二小晶片;其中該第一小晶片和該第二小晶片之間最小距離大於該第一天線和該第二天線之間最小距離。
在範例43中,範例42之該多晶片射頻傳輸裝置,更包括:第三小晶片;第三天線;以及第三傳輸線,將該第三天線連接至該第二小晶片;其中該第一小晶片、該第二小晶片和該第三小晶片之間最小距離大於該第一天線、第二天線和該第三天線之間最小距離。
在範例44中,範例42或43之任一者的該多晶片射頻傳輸裝置,其中該第一天線或該第二天線之至少一者包括複數個垂直堆疊的通孔。
在範例45中,範例42到44之任一者的該多晶片射頻傳輸裝置,其中該第一天線或該第二天線之至少一者包括複數個矽穿通孔(TSV)天線。
在範例46中,範例42到45之任一者的該多晶片射頻傳輸裝置,其中該第一天線、第二天線或第三天線之至少一者為頂部負載單極天線。
在範例47中,範例42到46之任一者的該多晶片射頻傳輸裝置,更包括該第一小晶片,其中該第一小晶片包括收發器和一或更多處理器,組態以控制該收發器經由該第一天線將無線信號傳輸到至少該第二天線或該第三天線。
在範例48中,範例42到47之任一者的該多晶片射頻傳輸裝置,其中該第一傳輸線組態以電性傳導的將該第一天線連接到該第一小晶片。
在範例49中,範例42到48之任一者的該多晶片射頻傳輸裝置,其中該第二傳輸線組態以電性傳導的將該第二天線連接到該第二小晶片。
在範例50中,範例42到49之任一者的該多晶片射頻傳輸裝置,其中該第三傳輸線組態以電性傳導的將該第三天線連接到該第三小晶片。
在範例51中,範例42到50之任一者的該多晶片射頻傳輸裝置,其中該第一傳輸線、該第二傳輸線或該第三傳輸線之至少一者為帶狀線。
在範例52中,範例42到51之任一者的該多晶片射頻傳輸裝置,其中該第一傳輸線、該第二傳輸線或該第三傳輸線之至少一者為微帶狀。
在範例53中,範例42到52之任一者的該多晶片射頻傳輸裝置,其中該第一傳輸線、該第二傳輸線或該第三傳輸線之至少一者為波導。
在範例54中,範例53之該多晶片射頻傳輸裝置,其中波導為基板積體波導。
在範例55中,範例42到54之任一者的該多晶片射頻傳輸裝置,更包括:複數個射頻反射元件,組態以從該第一天線接收射頻信號,並且將該接收的射頻信號反射朝向該第二天線。
在範例56中,範例55之該多晶片射頻傳輸裝置,其中該第一天線被定位於該複數個射頻反射元件之至少一者和該第二天線之間。
在範例57中,範例42到56之任一者的該多晶片射頻傳輸裝置,其中該第一天線和第二天線之間的最小距離小於500 µm。
在範例58中,範例42到57之任一者的該多晶片射頻傳輸裝置,其中該第一天線和第二天線之間的最小距離小於300 µm。
在範例59中,範例43到58之任一者的該多晶片射頻傳輸裝置,其中該通孔在直徑上於100µm和200µm之間。
在範例60中,範例42到59之任一者的該多晶片射頻傳輸裝置,其中該第一傳輸線、第二傳輸線或第三傳輸線之至少一者的長度為可變的。
在範例61中,範例42到60之任一者的該多晶片射頻傳輸裝置,其中該多晶片射頻傳輸裝置被印刷在印刷電路板(PCB)上。
在範例62中,範例42到60之任一者的該多晶片射頻傳輸裝置,其中該多晶片射頻傳輸裝置形成在矽晶粒上。
在範例63中,範例42到62之任一者的該多晶片射頻傳輸裝置,更包括包裝材料,其將該第一小晶片和該第二小晶片包裝。
在範例64中。多晶片射頻傳輸系統,包括:第一多晶片射頻傳輸裝置,包括:第一小晶片;第一天線;第一傳輸線,其將該第一天線連接至該第一小晶片;第二小晶片;第二天線;以及第二傳輸線,其將該第二天線連接至該第二小晶片;其中該第一小晶片和該第二小晶片之間的最小距離大於該第一天線和該第二天線之間的最小距離;以及第二多晶片射頻傳輸裝置,包括:第三小晶片;第三天線;第三傳輸線,其將該第三天線連接至該第三小晶片;第四小晶片;第四天線;以及第四傳輸線,其將該第四天線連接到該第四小晶片;其中該第三小晶片和該第四小晶片之間的最小距離大於該第三天線和該第四天線之間的最小距離。
在範例65中,範例64之該多晶片射頻傳輸裝置,其中該第三天線或該第四天線之至少一者包括複數個垂直堆疊的通孔。
在範例66中,範例64到65之任一者的該多晶片射頻傳輸裝置,其中該第三天線或該第四天線之至少一者包括複數個矽穿通孔(TSV)天線。
在範例67中,範例64到66之任一者的該多晶片射頻傳輸裝置,其中該第三天線、或該第四天線之至少一者為頂部負載單極天線。
在範例68中,範例64到67之任一者的該多晶片射頻傳輸裝置,更包括該第二小晶片,其中該第二小晶片包括收發器和一或更多處理器,組態以控制該收發器經由該第三天線將無線信號傳輸到至少該第四天線。
在範例69中,多晶片射頻傳輸機構,包括:第一處理機構;第一天線;第一傳輸機構,其將該第一天線連接至該第一處理機構;第二處理機構;第二天線;以及第二傳輸線,其將該第二天線連接到該第二處理機構;其中該第一處理機構和該第二處理機構之間最小距離大於該第一天線和該第二天線之間最小距離。
在範例70中,範例69之該多晶片射頻傳輸機構,更包括:第三處理機構;第三天線;以及第三傳輸線,其將該第三天線連接至該第二處理機構;其中該第一處理機構、該第二處理機構和該第三處理機構之間最小距離大於該第一天線、第二天線和該第三天線之間最小距離。
在範例71中,範例69或70之任一者的該多晶片射頻傳輸機構,其中該第一天線或該第二天線之至少一者包括複數個垂直堆疊的通孔。
在範例72中,範例69到71之任一者的該多晶片射頻傳輸機構,其中該第一天線或該第二天線之至少一者包括複數個矽穿通孔(TSV)天線。
在範例73中,範例69到72之任一者的該多晶片射頻傳輸機構,其中該第一天線、第二天線或第三天線之至少一者為頂部負載單極天線。
在範例74中,範例69到73之任一者的該多晶片射頻傳輸機構,更包括該第一處理機構,其中該第一處理機構包括收發器和一或更多處理器,組態以控制該收發器經由該第一天線將無線信號傳輸到至少該第二天線或該第三天線。
在範例75中,範例69到74之任一者的該多晶片射頻傳輸機構,其中該第一傳輸線組態以電性傳導的將該第一天線連接到該第一處理機構。
在範例76中,範例69到75之任一者的該多晶片射頻傳輸機構,其中該第二傳輸線組態以電性傳導的將該第二天線連接到該第二處理機構。
在範例77中,範例69到76之任一者的該多晶片射頻傳輸機構,其中該第三傳輸線組態以電性傳導的將該第三天線連接到該第三處理機構。
在範例78中,範例69到77之任一者的多晶片射頻傳輸機構,其中該第一傳輸線、該第二傳輸線或該第三傳輸線之至少一者為帶狀線。
在範例79中,範例69到78之任一者的多晶片射頻傳輸機構,其中該第一傳輸線、該第二傳輸線或該第三傳輸線之至少一者為微帶狀。
在範例80中,範例69到79之任一者的該多晶片射頻傳輸機構,其中該第一傳輸線、該第二傳輸線或該第三傳輸線之至少一者為波導。
在範例81中,範例80之該多晶片射頻傳輸機構,其中波導為基板積體波導。
在範例82中,範例69到81之任一者的該多晶片射頻傳輸機構,更包括:複數個射頻反射元件,組態以從該第一天線接收射頻信號,並且將該接收的射頻信號反射朝向該第二天線。
在範例83中,範例82之該多晶片射頻傳輸機構,其中該第一天線被定位於該複數個射頻反射元件之至少一者和該第二天線之間。
在範例84中,範例69到83之任一者的該多晶片射頻傳輸機構,其中該第一天線和第二天線之間的最小距離小於500 µm。
在範例85中,範例69到84之任一者的該多晶片射頻傳輸機構,其中該第一天線和第二天線之間的最小距離小於300 µm。
在範例86中,範例70到85之任一者的該多晶片射頻傳輸機構,其中該通孔在直徑上於100µm和200µm之間。
在範例87中,範例69到86之任一者的該多晶片射頻傳輸機構,其中該第一傳輸線、第二傳輸線或第三傳輸線之至少一者的長度為可變的。
在範例88中,範例69到87之任一者的該多晶片射頻傳輸機構,其中該多晶片射頻傳輸機構被印刷在印刷電路板(PCB)上。
在範例89中,範例69到87之任一者的該多晶片射頻傳輸機構,其中該多晶片射頻傳輸機構形成在矽晶粒上。
在範例90中,範例69到89之任一者的該多晶片射頻傳輸裝置,更包括包裝材料,其將該第一處理機構和該第二處理機構包裝。
DP#6
在範例91中,多晶片模組包括:小晶片,其包括:第一接收天線;第二接收天線;一或更多接收器,組態以在該第一接收天線及該第二接收天線上接收結合的無線傳輸,其中該結合的無線傳輸代表由第一傳輸天線所傳輸的第一無線傳輸和由第二傳輸天線所傳輸不同於該第一無線傳輸的第二無線傳輸;依據一或更多預定義的解碼參數來解碼該結合的無線傳輸,用以從該結合的無線傳輸決定該第一無線傳輸和該第二無線傳輸;以及將該解碼的第一無線傳輸發送到等化器且將該解碼的第二無線傳輸發送到等化器。
在範例92中,範例91之該多晶片模組,其中解碼該結合的無線傳輸包括:將預定的權重應用到如在該第一接收天線上接收的該結合的無線傳輸或是如在該第二接收天線上接收的該結合的無線傳輸之至少一者。
在範例93中,範例92之該多晶片模組,其中該預定權重係透過背後通道來接收。
在範例94中,範例91或範例93之該多晶片模組,其中額外的小晶片將該預定權重透過背後通道發送到該小晶片。
在範例95中,範例91、範例93或範例94之該多晶片模組,其中在MIMO解碼之前,該小晶片在該一或更多接收天線其中一者處應用該預定權重。
在範例96中,範例95之該多晶片模組,其中該預定權重係基於該第一接收天線和該第二接收天線相對於該第一傳輸天線和該第二傳輸天線之間的空間關係。
在範例97中,範例96之該多晶片模組,其中該第一接收天線和該第二接收天線相對該第一傳輸天線和該第二傳輸天線固定地裝設。
在範例98中,範例92至97之任一者的該多晶片模組,其中該預定的權重代表第一傳輸天線或第二傳輸天線其中一者與第一接收天線或第二接收天線其中一者之間的相位移位。
在範例99中,範例91至98之任一者之該多晶片模組,其中該第一接收天線和該第二接收天線相對該小晶片固定地裝設。
在範例100中,範例91至99之任一者之該多晶片模組,更包括:一或更多等化電路,被組態以接收該解碼的第一無線傳輸和該解碼的第二無線傳輸,並且組態以依據一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸。
在範例101中,範例100之該多晶片模組,其中依據一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括降低符碼間干擾。
在範例102中,範例100或101之該多晶片模組,其中依據該一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括進行前標等化操作或後標等化操作之至少一者。
在範例103中,範例91至102之任一者之該多晶片模組,其中預編碼該無線傳輸。
在範例104中,範例91或103之任一者之該多晶片模組,其中無線傳輸為視線傳輸。
在範例105中,範例91至104之任一者之該多晶片模組,其中該多晶片模組更組態以進行訓練操作,包括:接收如在至少第一射頻天線或第二射頻天線上由第一傳輸天線傳輸的第一訓練傳輸;以及基於該第一訓練傳輸決定第一接收訓練參數。
在範例106中,範例105之該多晶片模組,其中該第一接收訓練參數為第一接收器權重。
在範例107中,範例106之該多晶片模組,其中該多晶片模組更組態以:接收如在至少該第一射頻天線或該第二射頻天線上由該第一傳輸天線所傳輸的第二訓練傳輸;決定該接收的第一訓練傳輸之第一信號參數和該接收的第二訓練傳輸之第二信號參數;以及基於該第一信號參數或該第二信號參數之至少一者傳輸代表用於該第一訓練傳輸或該第二訓練傳輸其一者之索引的資料。
在範例108中,範例107之該多晶片模組,其中該第一信號參數和該第二信號參數包括信號強度。
在範例109中,範例91至108之任一者的該多晶片模組,其中該多晶片模組更組態以進行訓練操作,包括:接收在該第一接收天線和該第二接收天線上的第一訓練傳輸,其中該第一訓練傳輸係由該第一傳輸天線傳輸;在該第一接收天線和該第二接收天線上接收第二訓練傳輸,其中該第二訓練傳輸係由該第二傳輸天線傳輸;並且基於該第一訓練傳輸和該接收的第二訓練傳輸決定一或更多訓練參數。
在範例110中,範例109之該多晶片模組,其中該一或更多訓練參數包括該第一訓練傳輸或該第二訓練傳輸之至少一者的相位或振幅。
在範例111中,多晶片模組包括:小晶片,其包括:第一接收天線,電性傳導地耦接至第一接收器;第二接收天線,電性傳導地耦接至第二接收器;無線電電路,包括:該第一接收器,組態以從該第一接收天線接收結合的無線傳輸,其中該結合的無線傳輸代表由第一傳輸天線傳輸的第一無線傳輸和由第二傳輸天線所傳輸不同於該第一無線傳輸的第二無線傳輸;及第二接收器,組態以從該第二接收天線接收該結合的無線傳輸;以及一或更多處理器,組態以接收代表如在該第一接收天線上接收的該結合的無線傳輸和如在該第二接收天線上接收的該結合的無線傳輸;依據一或更多預定解碼參數解碼該資料以從該結合的無線傳輸決定該第一無線傳輸和該第二無線傳輸;以及將該解碼的第一無線傳輸發送到等化器並且將該解碼的第二無線傳輸傳送到等化器。
在範例112中,範例111之該多晶片模組,其中解碼該資料包括將預定權重應用到該資料。
在範例113中,範例112之該多晶片模組,其中該預定權重係基於該第一接收天線和該第二接收天線相對於該第一傳輸天線和該第二傳輸天線之間的空間關係。
在範例114中,範例113之該多晶片模組,其中該第一接收天線和該第二接收天線相對該第一傳輸天線和該第二傳輸天線固定地裝設。
在範例115中,範例112至114之任一者的該多晶片模組,其中該預定的權重代表第一傳輸天線或第二傳輸天線其中一者與第一接收天線或第二接收天線其中一者之間的相位移位。
在範例116中,範例111至115之任一者之該多晶片模組,其中該第一接收天線和該第二接收天線相對該小晶片固定地裝設。
在範例117中,範例111至116之任一者之該多晶片模組,更包括:一或更多等化電路,被組態以接收該解碼的第一無線傳輸和該解碼的第二無線傳輸,並且組態以依據一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸。
在範例118中,範例117之該多晶片模組,其中依據一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括降低符碼間干擾。
在範例119中,範例117或118之該多晶片模組,其中依據該一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括進行前標等化操作或後標等化操作之至少一者。
在範例120中,範例111至119之任一者之該多晶片模組,其中預編碼該無線傳輸。
在範例121中,範例111或120之任一者之該多晶片模組,其中無線傳輸為視線傳輸。
在範例122中,範例111至121之任一者之該多晶片模組,其中該多晶片模組更組態以進行訓練操作,包括:接收如在至少第一射頻天線或第二射頻天線上由第一傳輸天線傳輸的第一訓練傳輸;以及基於該第一訓練傳輸決定第一接收訓練參數。
在範例123中,範例122之該多晶片模組,其中該多晶片模組更組態以:接收如在至少該第一射頻天線或該第二射頻天線上由該第一傳輸天線所傳輸的第二訓練傳輸;決定該接收的第一訓練傳輸之第一信號參數和該接收的第二訓練傳輸之第二信號參數;基於該第一信號參數或該第二信號參數之至少一者傳輸代表用於該第一訓練傳輸或該第二訓練傳輸其一者之索引的資料。
在範例124中,範例123之該多晶片模組,其中該第一信號參數和該第二信號參數包括信號強度。
在範例125中,範例111至124之任一者的該多晶片模組,其中該多晶片模組更組態以進行訓練操作,包括:接收在該第一接收天線和該第二接收天線上的第一訓練傳輸,其中該第一訓練傳輸係由該第一傳輸天線傳輸;在該第一接收天線和該第二接收天線上接收第二訓練傳輸,其中該第二訓練傳輸係由該第二傳輸天線傳輸;並且基於該第一訓練傳輸和該接收的第二訓練傳輸決定一或更多訓練參數。
在範例126中,範例125之該多晶片模組,其中該一或更多訓練參數包括該第一訓練傳輸或該第二訓練傳輸之至少一者的相位或振幅。
在範例127中,多晶片模組,包括:一或更多接收器,組態以接收代表接收的射頻信號之適應性複雜權重的資料;從該接收的資料決定該接收的射頻信號之相位偏移;基於該接收的資料決定無線通道之一或更多非對稱性質;發送指令來藉由該相位偏移及/或該一或更多非對稱性質修改該射頻信號。
在範例128中,多晶片模組,包括:一或更多傳輸器,組態以接收代表第一射頻信號之複雜權重的資料;基於該接收的資料修改第二射頻信號之振幅及/或相位偏移。
在範例129中,128之該多晶片模組,其中該傳輸器係組態以修改跨複數個MIMO通道的該振幅及/或該相位偏移。
在範例130中,129或128之該多晶片模組,更包括從接收器到該傳輸器的回授路徑,用以報導用於在該傳輸器的複雜階數之較佳值。
在範例131中,範例69至105之任一者的該多晶片模組,其中該多晶片模組包含額外的小晶片,其包含一或更多處理器,其係組態以在MIMO解碼之前將該預定權重應用至該傳輸天線之至少一者。
在範例132中,預編碼解碼機構包括:小晶片,其包括:第一接收天線;第二接收天線;一或更多接收器,組態以在該第一接收天線及該第二接收天線上接收結合的無線傳輸,其中該結合的無線傳輸代表由第一傳輸天線所傳輸的第一無線傳輸和由第二傳輸天線所傳輸不同於該第一無線傳輸的第二無線傳輸;依據一或更多預定義的解碼參數來解碼該結合的無線傳輸,用以從該結合的無線傳輸決定該第一無線傳輸和該第二無線傳輸;以及將該解碼的第一無線傳輸發送到等化器且將該解碼的第二無線傳輸發送到等化器。
在範例133中,範例132之該預編碥解碼機構,其中解碼該結合的無線傳輸包括:將預定的權重應用到如在該第一接收天線上接收的該結合的無線傳輸或是如在該第二接收天線上接收的該結合的無線傳輸之至少一者。
在範例134中,範例132之該預編碼解碼機構,其中該預定權重係透過背後通道來接收。
在範例135中,範例132或範例133之該預編碼解碼機構,其中額外的小晶片將該預定權重透過背後通道發送到該小晶片。
在範例136中,範例132至135之任一者之該預編碼解碼機構,其中在MIMO解碼之前,該小晶片在該一或更多接收天線其中一者處應用該預定權重。
在範例137中,範例136之該預編碼解碼機構,其中該預定權重係基於該第一接收天線和該第二接收天線相對於該第一傳輸天線和該第二傳輸天線之間的空間關係。
在範例138中,範例137之該預編碼解碼機構,其中該第一接收天線和該第二接收天線相對該第一傳輸天線和該第二傳輸天線固定地裝設。
在範例139中,範例133至138之任一者的該預編碼解碼機構,其中該預定的權重代表該第一傳輸天線或該第二傳輸天線其中一者與該第一接收天線或該第二接收天線其中一者之間的相位移位。
在範例140中,範例132至139之任一者之該預編碼解碼機構,其中該第一接收天線和該第二接收天線相對該小晶片固定地裝設。
在範例141中,範例132至140之任一者之該預編碼解碼機構,更包括:一或更多等化電路,被組態以接收該解碼的第一無線傳輸和該解碼的第二無線傳輸,並且組態以依據一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸。
在範例142中,範例141之該預編碼解碼機構,其中依據該一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括降低符碼間干擾。
在範例143中,範例141或142之該預編碼解碼機構,其中依據該一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括進行前標等化操作或後標等化操作之至少一者。
在範例144中,範例132至143之任一者之該預編碼解碼機構,其中預編碼該無線傳輸。
在範例145中,範例132或144之任一者之該預編碼解碼機構,其中該無線傳輸為視線傳輸。
在範例146中,範例132至145之任一者之該預編碼解碼機構,其中該預編碼解碼機構更組態以進行訓練操作,包括:接收如在至少第一射頻天線或第二射頻天線上由第一傳輸天線傳輸的第一訓練傳輸;以及基於該第一訓練傳輸決定第一接收訓練參數。
在範例147中,範例146之該預編碼解碼機構,其中該第一接收訓練參數為第一接收器權重。
在範例148中,範例147之該預編碼解碼機構,其中該預編碼解碼機構更組態以:接收如在至少該第一射頻天線或該第二射頻天線上由該第一傳輸天線所傳輸的第二訓練傳輸;決定該接收的第一訓練傳輸之第一信號參數和該接收的第二訓練傳輸之第二信號參數;以及基於該第一信號參數或該第二信號參數之至少一者傳輸代表用於該第一訓練傳輸或該第二訓練傳輸其一者之索引的資料。
在範例149中,範例148之該預編碼解碼機構,其中該第一信號參數和該第二信號參數包括信號強度。
在範例150中,範例132至149之任一者的該預編碼解碼機構,其中該預編碼解碼機構更組態以進行訓練操作,包括:接收在該第一接收天線和該第二接收天線上的第一訓練傳輸,其中該第一訓練傳輸係由該第一傳輸天線傳輸;在該第一接收天線和該第二接收天線上接收第二訓練傳輸,其中該第二訓練傳輸係由該第二傳輸天線傳輸;並且基於該第一訓練傳輸和該接收的第二訓練傳輸決定一或更多訓練參數。
在範例151中,範例150之該預編碼解碼機構,其中該一或更多訓練參數包括該第一訓練傳輸或該第二訓練傳輸之至少一者的相位或振幅。
在範例152中,該預編碼解碼機構包括:小晶片,其包括:第一接收天線,電性傳導地耦接至第一接收器;第二接收天線,電性傳導地耦接至第二接收器;無線電電路,包括:該第一接收器,組態以從該第一接收天線接收結合的無線傳輸,其中該結合的無線傳輸代表由第一傳輸天線傳輸的第一無線傳輸和由第二傳輸天線所傳輸不同於該第一無線傳輸的第二無線傳輸;及第二接收器,組態以從該第二接收天線接收該結合的無線傳輸;以及一或更多處理器,組態以接收代表如在該第一接收天線上接收的該結合的無線傳輸和如在該第二接收天線上接收的該結合的無線傳輸;依據一或更多預定解碼參數解碼該資料以從該結合的無線傳輸決定該第一無線傳輸和該第二無線傳輸;以及將該解碼的第一無線傳輸發送到等化器並且將該解碼的第二無線傳輸傳送到等化器。
在範例153中,範例152之該預編碼解碼機構,其中解碼該資料包括將預定權重應用到該資料。
在範例154中,範例153之該預編碼解碼機構,其中該預定權重係基於該第一接收天線和該第二接收天線相對於該第一傳輸天線和該第二傳輸天線之間的空間關係。
在範例155中,範例154之該預編碼解碼機構,其中該第一接收天線和該第二接收天線相對該第一傳輸天線和該第二傳輸天線固定地裝設。
在範例156中,範例153至155之任一者的該預編碼解碼機構,其中該預定的權重代表該第一傳輸天線或該第二傳輸天線其中一者與該第一接收天線或該第二接收天線其中一者之間的相位移位。
在範例157中,範例152至156之任一者之該預編碼解碼機構,其中該第一接收天線和該第二接收天線相對該小晶片固定地裝設。
在範例158中,範例152至157之任一者之該預編碼解碼機構,更包括:一或更多等化電路,被組態以接收該解碼的第一無線傳輸和該解碼的第二無線傳輸,並且組態以依據一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸。
在範例159中,範例158之該預編碼解碼機構,其中依據該一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括降低符碼間干擾。
在範例160中,範例158或159之該預編碼解碼機構,其中依據該一或更多等化參數來修改該解碼的第一無線傳輸和該解碼的第二無線傳輸,包括進行前標等化操作或後標等化操作之至少一者。
在範例161中,範例152至160之任一者之該預編碼解碼機構,其中預編碼該無線傳輸。
在範例162中,範例152或161之任一者之該預編碼解碼機構,其中該無線傳輸為視線傳輸。
在範例163中,範例152至162之任一者之該預編碼解碼機構,其中該預編碼解碼機構更組態以進行訓練操作,包括:接收如在至少第一射頻天線或第二射頻天線上由第一傳輸天線傳輸的第一訓練傳輸;以及基於該第一訓練傳輸決定第一接收訓練參數。
在範例164中,範例163之該預編碼解碼機構,其中該預編碼解碼機構更組態以:接收如在至少該第一射頻天線或該第二射頻天線上由該第一傳輸天線所傳輸的第二訓練傳輸;決定該接收的第一訓練傳輸之第一信號參數和該接收的第二訓練傳輸之第二信號參數;以及基於該第一信號參數或該第二信號參數之至少一者傳輸代表用於該第一訓練傳輸或該第二訓練傳輸其一者之索引的資料。
在範例165中,範例164之該預編碼解碼機構,其中該第一信號參數和該第二信號參數包括信號強度。
在範例166中,範例152至165之任一者的該預編碼解碼機構,其中該預編碼解碼機構更組態以進行訓練操作,包括:接收在該第一接收天線和該第二接收天線上的第一訓練傳輸,其中該第一訓練傳輸係由該第一傳輸天線傳輸;在該第一接收天線和該第二接收天線上接收第二訓練傳輸,其中該第二訓練傳輸係由該第二傳輸天線傳輸;並且基於該第一訓練傳輸和該接收的第二訓練傳輸決定一或更多訓練參數。
在範例167中,範例166之該預編碼解碼機構,其中該一或更多訓練參數包括該第一訓練傳輸或該第二訓練傳輸之至少一者的相位或振幅。
在範例168中,預編碼解碼機構,包括:一或更多接收器,組態以接收代表接收的射頻信號之適應性複雜權重的資料;從該接收的資料決定該接收的射頻信號之相位偏移;基於該接收的資料決定無線通道之一或更多非對稱性質;發送指令來藉由該相位偏移及/或該一或更多非對稱性質修改該射頻信號。
在範例169中,預編碼解碼機構,包括:一或更多傳輸器,組態以接收代表第一射頻信號之複雜權重的資料;基於該接收的資料修改第二射頻信號之振幅及/或相位偏移。
在範例170中,169之該預編碼解碼機構,其中該傳輸器係組態以修改跨複數個MIMO通道的該振幅及/或該相位偏移。
在範例171中,170或169之該預編碼解碼機構,更包括從接收器到該傳輸器的回授路徑,用以報導用於在該傳輸器的複雜階數之較佳值。
在範例172中,範例152至171之任一者的該預編碼解碼機構,其中該預編碼解碼機構包含額外的小晶片,其包含一或更多處理器,其係組態以在MIMO解碼之前將該預定權重應用至該傳輸天線之至少一者。
DP#5
在範例173中,多晶片封裝包括:第一小晶片,其包括:無線電電路;複數個接收天線,連接到該無線電電路,並且組態以接收從第二小晶片之複數個傳輸天線在預定波長上傳輸的視線無線信號;其中該複數個接收天線之各者與該複數個接收天線之相鄰天線之間的距離大於預定最小距離;以及其中該預定最小距離係從包括至少預定波長的函數來決定。
在範例173a中,範例173之該多晶片封裝,其中該相鄰天線為該複數個接收天線附近的天線。
在範例174中,範例173之該多晶片封裝,其中該預定最小距離係從包括至少該預定波長與在該複數個接收天線中接收天線之總數或在傳輸天線之總數中傳輸天線之總數其一者的函數來計算。
在範例175中,範例173或174之該多晶片封裝,其中該複數個傳輸天線之各個天線和該複數個傳輸天線之相鄰天線之間的距離等於該複數個接收天線之各個天線與該複數個接收天線之相鄰天線之間的距離。
在範例176中,範例173至175之任一者之該多晶片封裝,其中該預定最小距離等於
Figure 02_image105
,其中D為該複數個傳輸天線之任一者與該複數個接收天線之任一者之間的距離;λ為預定波長;並且N為在該複數個傳輸天線中傳輸天線之總數或在該複數個接收天線中接收天線之總數。
在範例177中,多晶片系統包括:第一小晶片,包括:第一無線電電路;複數個傳輸天線,其連接至該第一無線電電路,且組態以在預定的波長上傳輸無線信號;第二小晶片,其包括:第二無線電電路;複數個接收天線,其連接至該第二無線電電路,且組態以在預定波長上接收無線信號;其中該複數個傳輸天線之各者相對於該複數個傳輸天線之相鄰天線之間的距離和該複數個接收天線之各者相對於該複數個接收天線之相鄰天線之間的距離大於預定最常距離;且其中該預定最小距離係從包括至少該預定波長的函數來計算。
在範例178a中,範例177之該多晶片系統,其中該複數個傳輸天線係組態以傳輸視線無線信號。
在範例178b中,範例177或177a之該多晶片系統,其中該複數個接收天線係組態以傳輸視線無線信號。
在範例178c中,範例177、177a或177b之該多晶片系統,其中該無線信號係在140 GHz從二傳輸天線傳輸到二接收天線,在任一傳輸天線和任一接收天線之間的最小距離為1 mm,且其中該二傳輸天線被擺置彼此遠離1.2 mm,以及該二接收天線被擺置彼此遠離1.2 mm。
在範例178d中,範例177、177a或177b之該多晶片系統,其中該無線信號係在140 GHz從四傳輸天線傳輸到四接收天線,在任一傳輸天線和任一接收天線之間的最小距離為1 mm,且其中該四傳輸天線被擺置彼此遠離0.8 mm,以及該四接收天線被擺置彼此遠離0.8 mm。
在範例178中,範例177之該多晶片封裝,其中該預定最小距離係從包括至少該預定波長與在該複數個接收天線中接收天線之總數或在傳輸天線之總數中傳輸天線之總數其一者的函數來計算。
在範例179中,範例177或178之該多晶片封裝,其中該複數個傳輸天線之各個天線和該複數個傳輸天線之相鄰天線之間的距離等於該複數個接收天線之各個天線與該複數個接收天線之相鄰天線之間的距離。
在範例180中,範例177至179之任一者之該多晶片系統,其中該預定最小距離等於
Figure 02_image105
,其中D為該複數個傳輸天線之任一者與該複數個接收天線之任一者之間的距離;λ為預定波長;並且N為在該複數個傳輸天線中傳輸天線之總數或在該複數個接收天線中接收天線之總數。
在範例181中,小晶片,包括:無線傳輸預編碼器,包括:天線陣列,其包括第一傳輸天線和第二傳輸天線;預編碼電路,組態以:接收第一信號,其代表用於無線傳輸的第一資料;接收第二信號,其代表用於無線傳輸的第二資料;如直接總和該第一信號和該第二信號產生第一預編碼信號;如直接總和該第一信號和該第二信號之反逆產生第二預編碼信號;以及將在第一傳輸天線上的該第一預編碼信號和在該第二傳輸天線上的該第二預編碼信號發送。
在範例182中,範例181之該無線通訊系統,其中該預編碼電路從一或更多等化器接收該第一信號和該第二信號。
在範例183中,範例181或182之該無線通訊系統,其中發送該第一預編碼信號包括進行在該第一傳輸天線上的該第一預編碼信號之視線傳輸,且其中發送該第二預編碼信號包括進行在該第二傳輸天線上該第二預編碼信號之視訊傳輸。
在範例184中,小晶片包括:無線傳輸解碥器,包括天線陣列,其包括第一接收天線和第二接收天線;解碼電路組態以:接收在該第一接收天線上的第一無線信號,其中該第一無線信號包括第一預編碼信號和第二預編碼信號;接收在該第二接收天線上的第二無線信號,其中該第二無線信號包括該第一預編碼信號和該第二預編碼信號;如直接總和該第一無線信號和該第二無線信號產生第一解碼信號;以及如直接總和該第一無線信號和該第二無線信號之反逆產生第二解碼信號。
在範例185中,範例184之該小晶片,其中該解碼電路更組態以將該第一解碼信號和該第二解碼信號發送到一或更多等化器。
在範例186中,範例184或185之該小晶片,其中接收該第一無線信號包括在該第一傳輸天線上接收視線傳輸的該第一預編碼信號和該第二預編碼信號,且其中接收該第二無線信號包括在該第二傳輸天線上接收視訊傳輸的該第一預編碥信號和該第二預編碼信號。
在範例187中,無線通訊系統包括:第一小晶片,包括:第一天線陣列,其包括第一傳輸天線和第二傳輸天線;預編碼電路,組態以:接收第一信號,其代表用於無線傳輸的第一資料;接收第二信號,其代表用於無線傳輸的第二資料;如直接加總該第一信號和該第二信號產生第一預編碼信號;如直接加總該第一信號和該第二信號之反逆產生第二預編碼信號;發送在該第一傳輸天線上的該第一預編碼信號和在該第二傳輸天線上的該第二預編碼信號;第二小晶片,包括:第二天線陣列,其包括第一接收天線和第二接收天線;解碼電路,組態以:接收在該第一接收天線上的第一無線信號,其中該第一無線信號包括該第一預編碼信號和該第二預編碼信號;接收在該第二接收天線上的第二無線信號,其中該第二無線信號包括該第一預編碼信號和該第二預編碼信號;如直接加總該第一無線信號和該第二無線信號產生第一解碼信號;以及如直接加總該第一無線信號和該第二無線信號之反逆產生第二解碼信號。
在範例188中,範例187之該無線通訊系統,其中該預編碼電路從一或更多等化器接收該第一信號和該第二信號。
在範例189中,範例187或188之該無線通訊系統,其中該解碼電路更組態以將該第一解碼信號和該第二解碼信號發送到一或更多等化器。
在範例190中,佈設天線陣列的方法,包括:決定用於無線傳輸的波長;基於至少該波長計算相鄰接收天線之間的最小距離;以及佈設在該接收天線陣列中的複數個接收天線,使得該複數個接收天線之該各個天線離任何相鄰接收天線至少該最小距離。
在範例191中,範例190之佈設天線陣列的該方法,更包括:決定用於接收天線陣列的接收天線之數量;決定該接收天線陣列之該接收天線和傳輸天線陣列之傳輸天線之間最小距離;以及佈設複數個接收天線,其組態以接收在預定波長上從複數個傳輸天線傳輸的視訊無線信號;其中該複數個接收天線之各者相對於該複數個接收天線之相鄰天線之間的距離大於預定最小距離;且其中該預定最小距離係從包括至少該預定波長的函數決定。
在範例192中,範例190之該方法,其中該預定最小距離係從包括至少該預定波長與在該複數個接收天線中接收天線之總數或在傳輸天線之總數中傳輸天線之總數其一者的函數來計算。
在範例193中,範例190或192之該方法,其中該複數個傳輸天線之各個天線相對於該複數個傳輸天線之相鄰天線之間的距離等於該複數個接收天線之各個天線與該複數個接收天線之相鄰天線之間的距離。
在範例194中,範例190至193之任一者之該方法,其中該預定最小距離等於
Figure 02_image105
,其中D為該複數個傳輸天線之任一者與該複數個接收天線之任一者之間的距離;λ為預定波長;並且N為在該複數個傳輸天線中傳輸天線之總數或在該複數個接收天線中接收天線之總數。
DP#16
在範例195中,多晶片封裝包括:複數個小晶片,在其中至少第一小晶片包括:第一表面和對立於該第一表面的第二表面,其中該第一表面包括腔室,且其中該腔室由複數個表面所界定;以及一或更多天線,在該複數個表面其中的表面上。
在範例196中,範例195之該多晶片封裝,其中一或更多天線係組態以傳輸及/或接收無線信號。
在範例197中,範例195或196之該多晶片封裝,其中該腔室包括底部表面、頂部表面、一或更多側表面之任一者,或其任何組合。
在範例198中,範例195至197之任一者的該多晶片封裝,更包括第二小晶片,其中該第二小晶片包括:第一表面和對立於該第一表面的第二表面,其中該第一表面包括腔室,且其中該腔室由複數個表面所界定;以及一或更多天線,在該複數個表面其中之表面上;其中該第一小晶片之一或更多天線被組態以將無線信號傳輸到該第二小晶片之該一或更多天線。
在範例199中,範例198之該多晶片封裝,其中該第一小晶片更包括:收發器,電性傳導地連接至該第一小晶片之該一或更多天線;且其中該第二小晶片更包括收發器,電性傳導地連接至該第二小晶片之該一或更多天線。
在範例200中,範例199之該多晶片封裝,其中該第一小晶片之該收發器係組態以控制該第一小晶片之該一或更多天線傳輸射頻信號及/或其中該第二小晶片之該收發器係組態以控制該第二小晶片之該一或更多天線傳輸射頻信號。
在範例201中,範例195至200之任一者的該多晶片封裝,其中該第一小晶片之該一或更多天線延伸遠離該第一小晶片之該第二表面。
在範例202中,範例195至201之任一者的該多晶片封裝,其中該第一小晶片之該一或更多天線的頂部部分與該第一小晶片之該第一表面共面。
在範例203中,範例195至202之任一者的該多晶片封裝,更包括該第一小晶片之該一或更多天線之至少一者上的焊錫元件。
在範例204中,範例203之該多晶片封裝,其中該焊錫元件為焊錫凸塊。
在範例205中,範例203之該多晶片封裝,其中焊錫元件為微凸塊。
在範例206中,範例195至205之任一者的該多晶片封裝,更包括第三小晶片,裝設在該第一小晶片之該第一表面之上,其中該一或更多天線係在該第一小晶片之該第二表面與該第二小晶片之間。
在範例207中,範例206之任一之該多晶片封裝,其中該第三小晶片係經由一或更多焊錫元件裝設到該第一小晶片之該第一表面之上。
在範例208中,範例207之該多晶片封裝,其中該一或更多焊錫元件為一或更多微凸塊。
在範例209中,範例195至208之任一者之該多晶片封裝,更包括在該腔室中的介電層。
在範例210中,範例209之該多晶片封裝,其中該介電層包圍該一或更多天線或天線延伸之一或更多側。
在範例211中,範例209或210之該多晶片封裝,其中該介電層具有比該一或更多天線更高的介電常數。
在範例212中,範例195至211之任一者的該多晶片封裝,更包括在該腔室之一或更多側周圍的複數個終端。
在範例213中,範例212之該多晶片封裝,其中該複數個終端被組態以接收在第一方向上由一或更多天線所傳輸的射頻信號,以及被組態以在不同於該第一方向的第二方向上將該射頻信號反射。
在範例214中,範例195至213之任一者之該多晶片封裝,其中該腔室為矩形。
在範例215中,範例195至214之任一者之該多晶片封裝,其中該腔室具有圓形形狀。
在範例216中,範例195至215之任一者之該多晶片封裝,其中該腔室具有圓柱形狀。
在範例217中,範例195至216之任一者之該多晶片封裝,其中該腔室之至少一側與該小晶片之邊緣對應,且其中該一或更多天線係組態以將無線信號發送至在第二小晶片上的一或更多天線。
在範例218中,範例217之該多晶片封裝,更包括在該第一小晶片和該第二小晶片之間的波導。
在範例219中,範例195至218之任一者之該多晶片封裝,其中該第一小晶片和一或更多額外的小晶片由封裝材料所包圍。
在範例220中,小晶片和天線結構,包括:中介層;第一補塊基板,裝設在該中介層上;第二補塊基板,裝設在該中介層上;該第一補塊基板與該第二補塊基板之間的間隙;以及在該間隙中的一或更多射頻傳輸元件。
在範例221中,範例220之該多晶片封裝,其中該一或更多射頻傳輸元件為一或更多波導。
在範例222中,範例220之該多晶片封裝,其中該一或更多射頻傳輸元件為一或更多天線。
在範例223中,範例220至222之任一者的該多晶片封裝,更包括收發器,其中該一或更多天線係電性傳導的連接至該收發器。
在範例224中,範例223之該多晶片封裝,其中該收發器係組態以控制該一或更多天線傳輸射頻信號。
在範例225中,範例220至224之任一者的該多晶片封裝,更包括小晶片,在該間隙上面裝設到該第一補塊基板和該第二補塊基板。
在範例226中,多晶片封裝通訊機構包括:複數個處理機構,在其中至少第一處理機構包括:第一表面和對立於該第一表面的第二表面,其中該第一表面包括腔室,且其中該腔室由複數個表面所界定;以及一或更多天線,在該複數個表面其中的表面上。
在範例227中,範例226之該多晶片封裝通訊機構,其中該一或更多天線係組態以傳輸及/或接收無線信號。
在範例228中,範例226或227之該多晶片封裝通訊機構,其中該腔室包括底部表面、頂部表面、一或更多側表面之任一者,或其任何組合。
在範例229中,範例226至228之任一者的該多晶片封裝通訊機構,更包括第二處理機構,其中該第二處理機構包括:第一表面和對立於該第一表面的第二表面,其中該第一表面包括腔室,且其中該腔室由複數個表面所界定;以及一或更多天線,在該複數個表面其中之表面上;其中該第一處理機構之一或更多天線被組態以將無線信號傳輸到該第二小處理機構之該一或更多天線。
在範例230中,範例229之該多晶片封裝通訊機構,其中該第一小處理機構更包括:收發器,電性傳導地連接至該第一處理機構之該一或更多天線;且其中該第二處理機構更包括收發器,電性傳導地連接至該第二處理機構之該一或更多天線。
在範例231中,範例230之該多晶片封裝通訊機構,其中該第一處理機構之該收發器係組態以控制該第一處理機構之該一或更多天線傳輸射頻信號及/或其中該第二處理機構之該收發器係組態以控制該第二處理機構之該一或更多天線傳輸射頻信號。
在範例232中,範例226至231之任一者的該多晶片封裝通訊機構,其中該第一處理機構之該一或更多天線延伸遠離該第一處理機構之該第二表面。
在範例233中,範例226至232之任一者的該多晶片封裝通訊機構,其中該第一處理機構之該一或更多天線的頂部部分與該第一處理機構之該第一表面共面。
在範例234中,範例226至233之任一者的該多晶片封裝通訊機構,更包括該第一處理機構之該一或更多天線之至少一者上的焊錫元件。
在範例235中,範例234之該多晶片封裝通訊機構,其中該焊錫元件為焊錫凸塊。
在範例236中,範例234之該多晶片封裝通訊機構,其中焊錫元件為微凸塊。
在範例237中,範例226至236之任一者的該多晶片封裝通訊機構,更包括第三處理機構,裝設在該第一處理機構之該第一表面之上,其中該一或更多天線係在該第一處理機構之該第二表面與該第二處理機構之間。
在範例238中,範例237任一之該多晶片封裝通訊機構,其中該第三處理機構係經由一或更多焊錫元件裝設到該第一處理機構之該第一表面之上。
在範例239中,範例238任一之該多晶片封裝通訊機構,其中該一或更多焊錫元件為一或更多微凸塊。
在範例240中,範例226或239之任一者之該多晶片封裝通訊機構,更包括在該腔室中的介電層。
在範例241中,範例240之該多晶片封裝通訊機構,其中該介電層包圍該一或更多天線或天線延伸之一或更多側。
在範例242中,範例240或241之該多晶片封裝通訊機構,其中該介電層具有比該一或更多天線更高的介電常數。
在範例243中,範例226至242之任一者的該多晶片封裝通訊機構,更包括在該腔室之一或更多側周圍的複數個終端。
在範例244中,範例243之該多晶片封裝通訊機構,其中該複數個終端被組態以接收在第一方向上由一或更多天線所傳輸的射頻信號,以及被組態以在不同於該第一方向的第二方向上將該射頻信號反射。
在範例245中,範例226至244之任一者之該多晶片封裝通訊機構,其中該腔室為矩形。
在範例246中,範例226至245之任一者之該多晶片封裝通訊機構,其中該腔室具有圓形形狀。
在範例247中,範例226至246之任一者之該多晶片封裝通訊機構,其中該腔室具有圓柱形狀。
在範例248中,範例226至247之任一者之該多晶片封裝通訊機構,其中該腔室之至少一側與該處理機構之邊緣對應,且其中該一或更多天線係組態以將無線信號發送至在第二處理機構上的一或更多天線。
在範例249中,範例248之該多晶片封裝通訊機構,更包括在該第一處理機構和該第二處理機構之間的波導。
在範例250中,範例226至249之任一者之該多晶片封裝通訊機構,其中該第一處理機構和一或更多額外的處理機構由封裝材料所包圍。
在範例251中,處理機構和天線結構,包括:中介層;第一補塊基板,裝設在該中介層上;第二補塊基板,裝設在該中介層上;該第一補塊基板與該第二補塊基板之間的間隙;以及在該間隙中的一或更多射頻傳輸元件。
在範例252中,範例251之該多晶片封裝通訊機構,其中該一或更多射頻傳輸元件為一或更多波導。
在範例253中,範例251之該多晶片封裝通訊機構,其中該一或更多射頻傳輸元件為一或更多天線。
在範例254中,範例251至253之任一者的該多晶片封裝通訊機構,更包括收發器,其中該一或更多天線係電性傳導的連接至該收發器。
在範例255中,範例254之該多晶片封裝通訊機構,其中該收發器係組態以控制該一或更多天線傳輸射頻信號。
在範例256中,範例251至255之任一者的該多晶片封裝通訊機構,更包括處理機構,在該間隙上面裝設到該第一補塊基板和該第二補塊基板。
DP#7
在範例257中,射頻電路包括:信號處理電路,組態以:接收代表包括一或更多已知符碼之接收的第一射頻信號的資料;決定該接收的資料與該一或更多已知符碼之間的差;產生代表該接收的資料與該一或更多已知符碼之該間決定的差的複數個等化參數;以及一或更多等化電路,組態以:從該信號處理電路接收複數個等化參數;接收第二射頻信號;依據該複數個等化參數修改該第二射頻信號;以及將修改的第二射頻信號輸出為等化的信號。
在範例258中,範例257之該射頻電路,其中該一或更多等化電路包括前標等化電路和後標等化電路;其中該前標等化電路係組態以接收該第二射頻信號;依據該複數個等化參數之第一複數等化參數來修改該第二射頻信號;及輸出該修改的第二射頻信號作為前標等化信號;且其中該後標等化電路係組態以接收該前標等化信號;依據該複數個等化參數之第二複數等化參數修改該前標等化信號;以及輸出該修改的前標信號作為該等化信號。
在範例259中,範例258之該射頻電路,其中該前標等化電路係組態以修改該第二射頻信號以進行該射頻傳輸之符碼降低與符碼的符碼間干擾。
在範例260中,範例258或259之該射頻電路,其中該後標等化電路係組態以修改該第二射頻信號以跟隨該射頻傳輸之符碼降低與符碼的符碼間干擾。
在範例261中,範例257至260之任一者之該射頻電路,其中該第一複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例262中,範例257至261之任一者的該射頻電路,其中該第二複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例263中,範例257至262之任一者的該射頻電路,其中該信號處理電路更組態以:產生代表該接收的資料與該一或更多已知符碼之間的差的複數個偏壓偏移參數;以及更包括偏壓偏移修改電路,組態以:接收DC偏壓的射頻信號;依據該複數個偏壓偏移參數修改該DC偏壓射頻信號之偏壓偏移;以及將該修改的DC偏壓射頻信號輸出為該第二射頻信號。
在範例264中,範例263之該射頻電路,其中該信號處理電路係組態以將該複數個偏壓偏移參數和該複數個等化參數之各者決定為一或更多同相分量和一或更多正交分量。
在範例265中,範例260至264之任一者的該射頻電路,更包括資料解調電路,組態以接收該等化信號、解調該等化信號以及輸出該解調的等化信號作為該解調號,其中該信號處理電路更組態以:接收該等化的信號;接收該解調信號;決定該等化的信號和該解調信號之間的差;從至少該等化的信號和該解調的信號之間的該差產生複數個相位調整參數;其中該射頻積體電路更包括相位調整電路,其中該相位調整電路係組態以:接收該複數個相位調整參數;接收該前標等化信號;以及藉由該複數個相位調整參數調整該前標等化信號之相位。
在範例266中,射頻電路包括:一或更多等化電路,組態以從天線接收第一射頻信號和第二射頻信號,其中該第一射頻信號代表一或更多已知符碼;第一類比數位轉換器,電性傳導地連接至該一或更多等化電路,並且組態以接收該第一射頻信號且以輸出代表該第一射頻信號的第一資料;第二類比數位轉換器,電性傳導地連接至該一或更多等化電路,並且組態以接收該一或更多等化電路之輸出,且以輸出代表該一或更多等化電路之該輸出的資料;信號處理電路,電性傳導地連接至該第一類比數位轉換器及該第二類比數位轉換器,並且組態以:接收該第一資料和該第二資料;決定該第一資料和該一或更多已知符碼之間的第一差;決定該第二資料和該一或更多已知符碼之間的第二差;產生代表該決定的第一差的第一複數等化參數以及代表該決定的第二差的第二複數等化參數;其中該一或更多等化電路更組態以:接收該第一複數等化參數和該第二複數等化參數;依據該第一複數等化參數和該第二複數等化參數修改該第二射頻信號;以及輸出該修改的第二射頻信號作為等化的信號。
在範例267中,範例266之該射頻電路,其中該第一複數等化參數為前標等化參數而該第二複數等化參數為後標等化參數。
在範例268中,範例266或267之該射頻電路,其中該一或更多等化電路包括前標等化電路和後標等化電路;其中該前標等化電路係組態以接收該第二射頻信號;依據該第一複數等化參數來修改該第二射頻信號;及輸出該修改的偏壓偏移信號作為前標等化信號;且其中該後標等化電路係組態以接收該前標等化信號;依據該第二複數等化參數修改該前標等化信號;以及輸出該修改的前標信號作為該等化信號。
在範例269中,範例268之該射頻電路,其中該前標等化電路係組態以修改該第二射頻信號以進行該射頻傳輸之符碼降低與符碼的符碼間干擾。
在範例270中,範例268或269之該射頻電路,其中該後標等化電路係組態以修改該第二射頻信號以跟隨該射頻傳輸之符碼降低與符碼的符碼間干擾。
在範例271中,範例268至270之任一者之該射頻電路,其中該第一複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例272中,範例268至271之任一者的該射頻電路,其中該第二複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例273中,範例268至272之任一者的該射頻電路,其中該信號處理電路更組態以:產生代表該接收的資料與該一或更多已知符碼之間決定的差的複數個偏壓偏移參數;以及更包括偏壓偏移修改電路,組態以:接收DC偏壓的射頻信號;依據該複數個偏壓偏移參數修改該DC偏壓射頻信號之偏壓偏移;以及將該修改的DC偏壓射頻信號輸出為該第二射頻信號。
在範例274中,範例273之該射頻電路,其中該信號處理電路係組態以將該複數個偏壓偏移參數和該複數個等化參數之各者決定為一或更多同相分量和一或更多正交分量。
在範例275中,範例268至274之任一者的該射頻電路,更包括資料解調電路,組態以接收該等化信號、解調該等化信號以及輸出該解調的等化信號作為該解調號,其中該信號處理電路更組態以:接收該等化的信號;接收該解調信號;決定該等化的信號和該解調信號之間的差;從至少該等化的信號和該解調的信號之間的該差產生複數個相位調整參數;其中該射頻電路更包括相位調整電路,其中該相位調整電路係組態以:接收該複數個相位調整參數;接收該前標等化信號;以及藉由該複數個相位調整參數調整該前標等化信號之相位。
在範例276中,射頻調整機構包括:信號處理機構,組態以:接收代表包括一或更多已知符碼之接收的第一射頻信號的資料;決定該接收的資料與該一或更多已知符碼之間的差;產生代表該接收的資料與該一或更多已知符碼之該間決定的差的複數個等化參數;以及一或更多等化機構,組態以:從該信號處理機構接收該複數個等化參數;接收第二射頻信號;依據該複數個等化參數修改該第二射頻信號;以及將該修改的第二射頻信號輸出為等化的信號。
在範例277中,範例276之該射頻調機構,其中該一或更多等化機構包括前標等化機構和後標等化機構;其中該前標等化機構係組態以接收該第二射頻信號;依據該複數個等化參數之第一複數等化參數來修改該第二射頻信號;及輸出該修改的第二射頻信號作為前標等化信號;且其中該後標等化機構係組態以接收該前標等化信號;依據該複數個等化參數之第二複數等化參數修改該前標等化信號;以及輸出該修改的前標信號作為該等化信號。
在範例278中,範例277之該射頻調整機構,其中該前標等化機構係組態以修改該第二射頻信號以進行該射頻傳輸之符碼降低與符碼的符碼間干擾。
在範例279中,範例277或278之該射頻調整機構,其中該後標等化機構係組態以修改該第二射頻信號以跟隨該射頻傳輸之該符碼降低與符碼之符碼間干擾。
在範例280中,範例276至279之任一者之該射頻調整機構,其中該第一複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例281中,範例276至280之任一者的該射頻調整機構,其中該第二複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例282中,範例276至281之任一者的該射頻調整機構,其中該信號處理機構更組態以:產生代表該接收的資料與該一或更多已知符碼之間的差的複數個偏壓偏移參數;以及更包括偏壓偏移修改機構,組態以:接收DC偏壓的射頻信號;依據該複數個偏壓偏移參數修改該DC偏壓射頻信號之偏壓偏移;以及將該修改的DC偏壓射頻信號輸出為該第二射頻信號。
在範例283中,範例282之該射頻調整機構,其中該信號處理機構係組態以將該複數個偏壓偏移參數和該複數個等化參數之各者決定為一或更多同相分量和一或更多正交分量。
在範例284中,範例279至283之任一者的該射頻調整機構,更包括資料解調機構,組態以接收該等化信號、解調該等化信號以及輸出該解調的等化信號作為該解調號,其中該信號處理機構更組態以:接收該等化的信號;接收該解調信號;決定該等化的信號和該解調信號之間的差;從至少該等化的信號和該解調的信號之間的該差產生複數個相位調整參數;其中該射頻積體機構更包括相位調整機構,其中該相位調整機構係組態以:接收該複數個相位調整參數;接收該前標等化信號;以及藉由該複數個相位調整參數調整該前標等化信號之相位。
在範例285中,射頻調整機構包括:一或更多等化機構,組態以從天線接收第一射頻信號和第二射頻信號,其中該第一射頻信號代表一或更多已知符碼;第一類比數位轉換器,電性傳導地連接至該一或更多等化機構,並且組態以接收該第一射頻信號且以輸出代表該第一射頻信號的第一資料;第二類比數位轉換器,電性傳導地連接至該一或更多等化機構,並且組態以接收該一或更多等化機構之輸出,且以輸出代表該一或更多等化機構之該輸出的資料;信號處理機構,電性傳導地連接至該第一類比數位轉換器及該第二類比數位轉換器,並且組態以:接收該第一資料和該第二資料;決定該第一資料和該一或更多已知符碼之間的第一差;決定該第二資料和該一或更多已知符碼之間的第二差;產生代表該決定的第一差的第一複數等化參數以及代表該決定的第二差的第二複數等化參數;其中該一或更多等化機構更組態以:接收該第一複數等化參數和該第二複數等化參數;依據該第一複數等化參數和該第二複數等化參數修改該第二射頻信號;以及輸出該修改的第二射頻信號作為等化的信號。
在範例286中,範例285之該射頻調整機構,其中該第一複數等化參數為前標等化參數而該第二複數等化參數為後標等化參數。
在範例287中,範例285或286之該射頻調整機構,其中該一或更多等化機構包括前標等化機構和後標等化機構;其中該前標等化機構係組態以接收該第二射頻信號;依據該第一複數等化參數來修改該第二射頻信號;及輸出該修改的偏壓偏移信號作為前標等化信號;且其中該後標等化機構係組態以接收該前標等化信號;依據該第二複數等化參數修改該前標等化信號;以及輸出該修改的前標信號作為該等化信號。
在範例288中,範例287之該射頻調整機構,其中該前標等化機構係組態以修改該第二射頻信號以進行該射頻傳輸之符碼降低與符碼的符碼間干擾。
在範例289中,範例287或288之該射頻調整機構,其中該後標等化電路係組態以修改該第二射頻信號以跟隨該射頻傳輸之該符碼降低與符碼之符碼間干擾。
在範例290中,範例287至289之任一者之該射頻調整機構,其中該第一複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例291中,範例287至290之任一者的該射頻調整機構,其中該第二複數等化參數包括同相位對同相位(II)參數;同相位對正交(IQ)參數;正交對同相位(QI)參數;以及正交對正交(QQ)參數。
在範例292中,範例287至291之任一者的該射頻調整機構,其中該信號處理機構更組態以:產生代表該接收的資料與該一或更多已知符碼之間決定的差的複數個偏壓偏移參數;以及更包括偏壓偏移修改機構,組態以:接收DC偏壓的射頻信號;依據該複數個偏壓偏移參數修改該DC偏壓射頻信號之偏壓偏移;以及將該修改的DC偏壓射頻信號輸出為該第二射頻信號。
在範例293中,範例292之該射頻調整機構,其中該信號處理機構係組態以將該複數個偏壓偏移參數和該複數個等化參數之各者決定為一或更多同相分量和一或更多正交分量。
在範例294中,範例287至293之任一者的該射頻調整機構,更包括資料解調機構,組態以接收該等化信號、解調該等化信號以及輸出該解調的等化信號作為該解調號,其中該信號處理機構更組態以:接收該等化的信號;接收該解調信號;決定該等化的信號和該解調信號之間的差;從至少該等化的信號和該解調的信號之間的該差產生複數個相位調整參數;其中該射頻調整機構更包括相位調整機構,其中該相位調整機構係組態以:接收該複數個相位調整參數;接收該前標等化信號;以及藉由該複數個相位調整參數調整該前標等化信號之相位。
DP#4
在範例295中,多晶片封裝包括:第一小晶片,包括第一天線,組態以將射頻信號放射;第二小晶片,包括第二天線,組態以接收射頻信號;以及至少一電磁能再放射元件,組態以從該第一天線接收該射頻信號,並且組態以將該射頻信號再放射。
在範例296中,範例295之該晶片封裝,其中該至少一電磁能再放射元件包括第一電磁能再放射元件和第二電磁能再放射元件,該第二電磁能再放射元件組態以從該第一電磁能再放射元件接收該再放射的射頻信號。
在範例297中,範例296之該晶片封裝,其中該第二天線更組態以從該至少一電磁能再放射元件接收該再放射射頻信號。
在範例298中,範例295至297之任一者的該晶片封裝,其中該至少一電磁能再放射元件係定位於該第一天線和該第二天線之間。
在範例299中,範例295至298之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括具有等於該射頻信號之波長的一半的長度的至少一區段。
在範例300中,範例299的該晶片封裝,其中該至少一電磁能再放射元件被組態為雙極天線。
在範例301中,範例295至300之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括具有等於該第一射頻信號之波長的四分之一的長度的至少一區段。
在範例302中,範例301之該晶片封裝,更包括散熱片或參考位勢面,其中該至少一電磁能再放射元件係電性傳導地連接至該散熱片或該參考位勢面,且該至少一電磁能再放射元件被組態為單極天線。
在範例303中,範例295至302之任一者的該晶片封裝,其中至少一電磁能再放射元件包括第一區段和本質上垂直於第一區段的第二區段。
在範例304中,範例303之該晶片封裝,其中該第一區段具有第一輻射角度,並且該第二區段具有第二輻射角度,其中至少一電磁能再放射元件具有在從該第一輻射角度到該第二輻射角度之範圍中的主輻射角度。
在範例305中,範例295至304之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括或為金屬棒。
在範例306中,範例295至305之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括或為通孔。
在範例307中,範例295至306之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括或為銅柱。
在範例308中,範例295至307之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括第一電磁能再放射元件和第二電磁能再放射元件,其中該第一電磁能再放射元件及/或該第二電磁能再放射元件為被動電磁能再放射元件。
在範例309中,範例295至308之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括第一電磁能再放射元件和第二電磁能再放射元件,其中該第一電磁能再放射元件及/或該第二電磁能再放射元件為主動電磁能再放射元件;更包括收發器,組態以接收該第一射頻信號且組態以隨後傳輸代表該接收的第一射頻信號的第二射頻信號。
在範例310中,範例295至309之任一者的該晶片封裝,其中該第一天線被組態為焊錫凸塊天線,該焊錫凸塊天線包括第一複數焊錫凸塊,其電性傳導地彼此連接,並且組態以在第一方向上將該射頻信號放射;及第三天線,被組態為槽孔天線,且組態以在不同於該第一方向的第二方向上將該射頻信號放射。
在範例311中,範例310之該晶片封裝,更包括饋入焊錫凸塊,其電性傳導地連接至射頻傳輸器,並且組態以將該射頻頻率放射至該第一天線和該第三天線。
在範例312中,範例310或311之該晶片封裝,其中該第一複數焊錫凸塊係線性地佈設。
在範例313中,範例310至312之任一者的該晶片封裝,更包括第二複數焊錫凸塊,平行於該第一複數焊錫凸塊佈設,其中該第二複數焊錫凸塊將電源連接至參考電位。
在範例314中,範例313之該晶片封裝,其中該第二複數焊錫凸塊形成短路。
在範例315中,範例310至314之任一者的該晶片封裝,更包括差動饋入,組態以將該射頻信號提供給該第一天線;以及單端饋入,組態以將該射頻信號提供給該第三天線。
在範例316中,範例295至315之任一者的該晶片封裝,其中該至少一電磁能再放射元件包括沿著該第一小晶片和該第二小晶片之間的路徑佈設的複數個電磁能再放射元件。
在範例317中,範例295至316之任一者的該晶片封裝,其中該第一天線和該第二天線之間的路徑包括一或更多曲線,且其中該再放射元件之一或更多者位在各個曲線中。
在範例318中,範例295至317之任一者的該晶片封裝,其中複數個再放射元件係沿著該第一天線和該第二天線之間的路徑來擺置。
在範例319中,多晶片通訊機構裝包括:第一處理機構,包括第一天線,組態以將射頻信號放射;第二處理機構,包括第二天線,組態以接收該射頻信號;以及至少一電磁能再放射元件,組態以從該第一天線接收該射頻信號,並且組態以將該射頻信號再放射。
在範例320中,範例319之該多晶片通訊機構,其中該至少一電磁能再放射元件包括第一電磁能再放射元件和第二電磁能再放射元件,該第二電磁能再放射元件組態以從該第一電磁能再放射元件接收該再放射的射頻信號。
在範例321中,範例320之該多晶片通訊機構,其中該第二天線更組態以從該至少一電磁能再放射元件接收該再放射射頻信號。
在範例322中,範例319至321之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件係定位於該第一天線和該第二天線之間。
在範例323中,範例319至322之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括具有等於該射頻信號之波長的一半的長度的至少一區段。
在範例324中,範例323的該多晶片通訊機構,其中該至少一電磁能再放射元件被組態為雙極天線。
在範例325中,範例319至324之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括具有等於該第一射頻信號之波長的四分之一的長度的至少一區段。
在範例326中,範例325之該多晶片通訊機構,更包括散熱片或參考電位面,其中該至少一電磁能再放射元件係電性傳導地連接至該散熱片或該參考電位面,且該至少一電磁能再放射元件被組態為單極天線。
在範例327中,範例319至326之任一者的該多晶片通訊機構,其中至少一電磁能再放射元件包括第一區段和本質上垂直於第一區段的第二區段。
在範例328中,範例327之該多晶片通訊機構,其中該第一區段具有第一輻射角度,並且該第二區段具有第二輻射角度,其中至少一電磁能再放射元件具有在從該第一輻射角度到該第二輻射角度之範圍中的主輻射角度。
在範例329中,範例319至328之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括或為金屬棒。
在範例330中,範例319至329之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括或為通孔。
在範例331中,範例319至330之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括或為銅柱。
在範例332中,範例319至331之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括第一電磁能再放射元件和第二電磁能再放射元件,其中該第一電磁能再放射元件及/或該第二電磁能再放射元件為被動電磁能再放射元件。
在範例333中,範例319至332之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括第一電磁能再放射元件和第二電磁能再放射元件,其中該第一電磁能再放射元件及/或該第二電磁能再放射元件為主動電磁能再放射元件;更包括收發器,組態以接收該第一射頻信號且組態以隨後傳輸代表該接收的第一射頻信號的第二射頻信號。
在範例334中,範例319至333之任一者的該多晶片通訊機構,其中該第一天線被組態為焊錫凸塊天線,該焊錫凸塊天線包括第一複數焊錫凸塊,其電性傳導地彼此連接,並且組態以在第一方向上將該射頻信號放射;及第三天線,被組態為槽孔天線,且組態以在不同於該第一方向的第二方向上將該射頻信號放射。
在範例335中,範例334之該多晶片通訊機構,更包括饋入焊錫凸塊,其電性傳導地連接至射頻傳輸器,並且組態以將該射頻頻率放射至該第一天線和該第三天線。
在範例336中,範例334或335之該多晶片通訊機構,其中該第一複數焊錫凸塊係線性地佈設。
在範例337中,範例334至336之任一者的該多晶片通訊機構,更包括第二複數焊錫凸塊,平行於該第一複數焊錫凸塊佈設,其中該第二複數焊錫凸塊將電源連接至參考電位。
在範例338中,範例337之該多晶片通訊機構,其中該第二複數焊錫凸塊形成短路。
在範例339中,範例334至338之任一者的該多晶片通訊機構,更包括差動饋入,組態以將該射頻信號提供給該第一天線;以及單端饋入,組態以將該射頻信號提供給該第三天線。
在範例340中,範例319至339之任一者的該多晶片通訊機構,其中該至少一電磁能再放射元件包括沿著該第一處理機構和該第二處理機構之間的路徑佈設的複數個電磁能再放射元件。
在範例341中,範例319至340之任一者的該多晶片通訊機構裝,其中該第一天線和該第二天線之間的路徑包括一或更多曲線,且其中該再放射元件之一或更多者位在各個曲線中。
在範例342中,範例319至341之任一者的該多晶片通訊機構,其中複數個再放射元件係沿著該第一天線和該第二天線之間的路徑來擺置。
100:多晶片電子裝置 110a-f:小晶片 120:基板 150a,150b:資源 200:裝置 210a,210b:小晶片 220:基板 230:中介層 245:凸塊 300:模組裝置 310a-h:小晶片 320:封裝基板 330:橋接器 340:矽穿通孔 350:裝置 400:多晶片模組 410a-h:小晶片 412:收發器電路 415:天線結構 420:封裝基板 445:凸塊 500a:模組 550a:對點對連結 500b:模組 550b:無線短距離通訊連結 500c:模組 550c:無線長距離通訊連結 610:圖形處理單元 620:中央處理單元 630:神經引擎 640:加密處理器 660:場可程式化閘陣列 670:記憶體裝置 710:裝置 720:板 780:機架單元 800:機架 810:機櫃 900:無線電路 910:無線電電路 920:射頻積體電路 930:射頻前端 940:天線 950:基帶積體電路 1010:低雜訊放大器 1020:組件 1030:功率放大器 1110:混頻器電路 1120:合成器電路 1130:濾波器電路 1140:放大器電路 1150:類比數位轉換器電路 1160:數位類比轉換器電路 1170:處理電路 1180:組件 1110a,1110b:混頻器 1502:天線 1504:矽基板 1506:方形金屬密封環 1602:金屬層 1603:墊 1604:通孔 1606:通孔 1608:耦合元件 1702:饋入微凸塊 1704:被勵天線 2002:被勵天線 2004:焊錫微凸塊 2006:短接元件 2008:頂端負載元件 2402:天線 2404:天線 3102:第一小晶片 3104:第一終端 3106:第一焊錫元件 3108:第二終端 3110:第二焊錫元件 3112:金屬元件 3114:微凸塊 3202:第一晶粒 3203:第一互連 3204:第二晶粒 3205:第二互連 3206:第三晶粒 3207:第三互連 3208:第四晶粒 3209:第四互連 3302:多晶片架構 4202:頂部接地層 4204:基板 4206:帶狀線 4208:基板 4210:底部接地 4302:天線 4502:通孔 4504:頂端負載結構 4902:晶粒 4903:天線 4904:傳輸線 5002:矽穿通孔天線 5004:矽穿通孔反射體 5302:第五晶粒 5304:矽穿通孔天線 5306:矽穿通孔反射體 5402:傳輸線 5404:矽穿通孔天線 5406:矽穿通孔反射體 5408:系統 5502:晶粒 5504:傳輸線 5506:矽穿通孔反射體 5508:橋接晶粒 5510:系統 5602:傳輸線 5604:系統 5802:天線 5804:天線 5806:天線 5808:天線 5902:傳輸部分 5904:第一傳輸饋入 5906:第二傳輸饋入 5907:輸出 5908:接收部分 5910:第一接收饋入 5912:第二接收饋入 5913:輸出 6102:傳輸天線 6104:傳輸天線 6106:接收天線 6108:接收天線 6202:元件 6204:元件 6206:元件 6208:元件 6407:天線元件 6409:晶粒 6502:天線腔室 6504:反射體 6506:天線 6508:反射體元件 6510:天線腔室 6512:反射體元件 6602:八角形 6604:天線 6606:反射元件 6702:第一腔室 6704:第二腔室 6802:天線 6804:反射元件 6902:封裝腔室 6904:封裝腔室 6906:波導 7002:天線 7004:波導 7006:天線 7008:波導 7102:補塊基底板 7104:補塊基底板 7106:中介層 7108:波導 7202:天線 7204:天線 7302:晶粒 7304:封裝 7306:低損耗封裝/印刷電路板 7402:天線 7404:射頻積體電路 7406:直流偏移去除單元 7408:前饋等化單元 7410:取樣/保持電路 7412:取樣/保持電路 7414:類比乘法器 7416:類比乘法器 7418:決定回饋等化單元 7420:類比乘法器 7422:取樣/保持方塊 7424:類比乘法器 7426:取樣/保持方塊 7428:類比數位轉換器 7430:數位信號處理器 7432:直流偏移訓練電路 7434:延遲模組 7436:訓練模組 7502:天線 7504:射頻積體電路 7506:直流偏移單元 7508:前饋等化 7510:決定回饋等化 7512:截剪器 7514:輸出 7602:訓練序列 7604:資料解碼之周期 7702:天線 7704:射頻積體電路 7706:直流偏移單元 7708:前饋等化 7710:決定回饋等化 7712:數位信號處理 7714:類比數位轉換器取樣器 7716:比較器 7718:類比數位轉換器 7802:相位 7804:資料周期 7900:嵌入式多晶粒互連橋 7902:第一晶片 7904:嵌入式多晶粒互連橋 8102:跨層通訊架構 8104:嵌入式多晶粒互連橋 8106:再放射器 8202:再放射結構 8204:再放射結構 8206:再放射結構 8208:再放射結構 8302:晶粒 8304:晶粒 8402:饋入微凸塊 8404:再放射微凸塊 8406:再放射微凸塊 8408:微凸塊 8604:第二晶粒 8606:再放射結構 8802:再放射結構 8804:第一曲線 8806:第二曲線 8808:第三曲線 8902:元件 8904:元件 9002:再放射結構 9004:再放射元件 9102:再放射元件 9104:再放射元件 9106:再放射元件
在圖式中,遍及不同的視圖中相似的參考字符一般指的是相同的部分。圖式不必然是按比例,反而是一般以強調著眼於本揭露之原理進行闡述。在下列說明中,隨著參考下列圖式來說明本揭露之各種態樣,其中: [圖1]繪示多晶片電子裝置之簡化表示; [圖2]繪示作為積體電路或組件之2.5D異構整合之範例的模組裝置; [圖3A]描繪作為積體電路或組件之3D異構整合之範例的模組裝置; [圖3B]描繪以曼哈頓架構實行的示範性多晶片模組(MCM); [圖4]描繪包含無線互連的MCM之範例; [圖5A到5C]繪示能被使用於裝置內組態的該些3種無線連結之範例; [圖5D]繪示用於無線晶片對晶片通訊的示範性通訊組態; [圖6]描繪具有無線封裝對封裝通訊的多晶片裝置; [圖7]描繪被使用於促進板對板通訊的控制層; [圖8]繪示對機架單元對機架單元通訊的無線通訊之擴充; [圖9]繪示顯示無線電路的方塊圖; [圖10]繪示可被實行於電路中的射頻前端部之範例; [圖11]繪示射頻積體電路或收發器電路之範例; [圖12]繪示收發器鏈之範例; [圖13]繪示相較於定比的電尺寸(ka)基於放射品質因子(Q rad)的天線尺寸和效能之基本限制理論之闡述,假定100%(或0 dB)輻射/放射效率; [圖14]繪示用於各種輻射/放射效率假設之在200 µm的第一天線、在150 µm的第二天線及在100 µm的第三天線之放射品質因子及電尺寸; [圖15]描繪依據本揭露之態樣示範性2×2多輸入多輸出(MIMO)天線組態; [圖16]描繪依據本揭露之態樣的寬帶微凸塊天線SKU#1之詳細幾何; [圖17]描繪第一終端,其組態為對於微凸塊天線的饋入; [圖18]繪示模擬的S參數結果; [圖19]描繪無線互連拓撲; [圖20]描繪依據本揭露之態樣的天線組態(SKU#2); [圖21]描繪關於天線組態的m8層; [圖22]描繪模擬的S參數結果; [圖23]描繪晶片拓撲; [圖24]描繪具有10-mm天線分離距離的組態; [圖25]描繪模擬結果; [圖26]描繪在MIMO設定中的天線組態; [圖27]描繪於各種4×4 MIMO陣列組態之間模擬的直接連結比較; [圖28]繪示用於極寬帶之模擬的反射表現; [圖29]描繪多天線組態; [圖30]描繪直接連結和交叉連結之比較; [圖31]描繪依據本揭露之態樣的天線之共同元件; [圖32]描繪核心無線廣播多晶片對多晶片互連架構; [圖33]描繪用於多晶片架構的範例互連(例如,天線元件); [圖34]描繪作為堆疊通孔之14層封裝的互連; [圖35]描繪具有頂部或底部通孔的互連; [圖36]描繪互連之模擬結果; [圖37]描繪如在圖32到35中所描繪的互連之模擬結果; [圖38]描繪所提出使用互連天線元件的無線廣播通道; [圖39]描繪模擬結果; [圖40]描繪模擬結果; [圖41]描繪模擬的帶狀線之傳輸效能; [圖42]描繪用於先前模擬的「疊加」; [圖43]描繪依據本揭露之態樣的無線通道組態; [圖44]描繪依據本揭露之態樣的無線通道組態; [圖45]描繪依據本揭露之態樣的無線通道組態; [圖46]描繪天線組態; [圖47]描繪天線組態; [圖48]描繪天線組態; [圖49]描繪多晶片對多晶片互連系統; [圖50]描繪TSV天線組態的側視圖; [圖51]描繪天線結構之範例組態; [圖52]描繪天線結構之範例組態; [圖53]描繪TSV天線通道之實行; [圖54]繪示在基底晶粒中TSV天線通道之實行; [圖55]繪示在橋接晶粒中TSV天線通道之實行; [圖56]繪示多串流TSV天線通道之實行; [圖57]描繪多線道多串流的多晶片對多晶片互連系統架構; [圖58]描繪MIMO天線組態; [圖59]描繪MIMO預編碼器/解碼器; [圖60]描繪瑞利距離對上天線距離的繪圖; [圖61]描繪包括二個傳輸天線的2 x 2 MIMO組態; [圖62]描繪MIMO架構,包括MIMO預編碼器和解碼器; [圖63]描繪兩個2元件子陣列的陣列; [圖64]描繪在有機封裝中天線之形成及整合; [圖65]描繪在腔室內側的一或更多反射體元件; [圖66]描繪在有機封裝中形成的非矩形腔室之頂視圖; [圖67]描繪利用在封裝邊緣的腔室以進行封裝對封裝通訊的二個封裝; [圖68]描繪封裝組態之頂視圖; [圖69]描繪用於封裝對封裝通訊的一或更多波導之使用; [圖70]描繪使用一或更多波導之封裝對封裝傳輸; [圖71]描繪貼片式基底板且建立於中介層上; [圖72]描繪具有二天線的貼片式基底板之間的間隙; [圖73]描繪信號線,其使用開放腔體被合併為晶粒對晶粒橋; [圖74]描繪無線晶片對晶片混合信號接收器設計及對應的訓練方法; [圖75]描繪用於資料模式的接收器架構; [圖76]描繪不具有相位雜訊追蹤的訓練序列; [圖77]描繪在資料模式中具有相位追蹤操作的接收器; [圖78]描繪訓練序列; [圖79]描繪用於晶片對晶片通訊的傳統組態; [圖80]描繪在多晶片背景中無線晶片對晶片組態; [圖81]描繪依據本揭露之態樣的跨層通訊架構; [圖82]描繪於此揭示的再放射結構之各種組態,連同他們的再輻射的方向; [圖83]描繪依據本揭露之態樣由再放射結構所支持的範例網路拓撲; [圖84]描繪具有一或更多諸多的放射微凸塊的雙極化微凸塊天線之饋入架構; [圖85]描繪與一或更多再放射結構連接的雙極化微凸塊天線結構; [圖86]描繪晶粒之間的再放射結構且使用以創建骨牌式通道; [圖87]描繪在晶粒之間這類點對點通訊; [圖88]描繪用以上述關於無線通道之挑戰的再放射結構之各種實行; [圖89]描繪用於晶粒之間點對點通訊的電力流之模擬結果; [圖90]描繪用於再放射元件的二示範性網路拓撲;以及 [圖91]描繪再放射元件之剖面視圖。
400:多晶片模組
410a-h:小晶片
412:收發器電路
415:天線結構
420:封裝基板
445:凸塊

Claims (23)

  1. 一種電路封裝,包含: 第一小晶片,包含: 第一側和與該第一側對立的第二側; 第一終端,其連接至該第一側和該第二側; 第一焊錫元件,其裝設到該第一終端上; 第二終端,其連接至該第一側和該第二側; 第二焊錫元件,其裝設到該第二終端上;以及 金屬元件,其操作地連接至該第二焊錫元件;其中該金屬元件具有其外周至少部分地包含該第一終端的形狀。
  2. 如請求項1所述的電路封裝,更包含: 第一傳輸線,其電性傳導的連接至該第一終端;其中該第一終端組態以從該第一傳輸線接收電信號且組態以從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的該電信號。
  3. 如請求項1所述的電路封裝,更包含: 第一傳輸線,其電性傳導的連接至該第二終端;其中該第二終端組態以從該第一傳輸線接收電信號且組態以從至少該焊錫元件發射射頻信號,其中該射頻信號代表接收的該電信號。
  4. 一種多晶片射頻傳輸裝置,包含: 第一小晶片; 第一天線; 第一傳輸線,其將該第一天線連接至該第一小晶片; 第二小晶片; 第二天線;以及 第二傳輸線,其將該第二天線連接至該第二小晶片; 其中該第一小晶片與該第二小晶片之間的最小距離大於該第一天線與該第二天線之間的最小距離。
  5. 如請求項4所述的多晶片射頻傳輸裝置,更包含: 第三小晶片; 第三天線;以及 第三傳輸線,其將該第三天線連接至該第二小晶片; 其中該第一小晶片、該第二小晶片和該第三小晶片之間的最小距離大於該第一天線、該第二天線和該第三天線之間的最小距離。
  6. 如請求項4所述的多晶片射頻傳輸裝置,其中該第一天線或該第二天線之至少一者包含複數個垂直堆疊的通孔。
  7. 如請求項4所述的多晶片射頻傳輸裝置,其中該第一天線或該第二天線之至少一者包含複數個矽穿通孔(TSV)天線。
  8. 如請求項5所述的多晶片射頻傳輸裝置,其中該第一天線、該第二天線或該第三天線之至少一者為頂部負載單極天線。
  9. 一種多晶片模組,包含: 小晶片,包含: 第一接收天線; 第二接收天線;以及 接收器,組態以: 在該第一接收天線及該第二接收天線上接收結合的無線傳輸,其中該結合的無線傳輸代表由第一傳輸天線所傳輸的第一無線傳輸和由第二傳輸天線所傳輸不同於該第一無線傳輸的第二無線傳輸;依據預定義的解碼參數來解碼該結合的無線傳輸,用以從該結合的無線傳輸決定該第一無線傳輸和該第二無線傳輸;以及 將解碼的該第一無線傳輸發送到等化器且將解碼的該第二無線傳輸發送到等化器。
  10. 如請求項9所述的多晶片模組,其中解碼該結合的無線傳輸包含:將預定的權重應用到如在該第一接收天線上接收的該結合的無線傳輸或是如在該第二接收天線上接收的該結合的無線傳輸之至少一者。
  11. 如請求項9所述的多晶片模組,其中該預定權重係基於該第一傳輸天線和該第二傳輸天線相對於該第一接收天線和該第二接收天線之間的空間關係。
  12. 一種多晶片封裝,包含: 第一小晶片,包含: 無線電電路; 複數個接收天線,其連接至該無線電電路且組態以接收從第二小晶片之複數個傳輸天線所傳輸的預定波長的無線信號; 其中該複數個接收天線之各者和該複數個接收天線之相鄰天線之間的距離大於預定最小距離;且其中該預定最小距離係從包含至少該預定波長的函數決定。
  13. 如請求項12所述的多晶片封裝,其中該預定最小距離係從包含至少該預定波長和在該複數個接收天線中接收天線之總數或在傳輸天線之總數中傳輸天線之總數其一者的函數來計算。
  14. 如請求項12所述的多晶片封裝,其中該複數個傳輸天線之各個天線和該複數個傳輸天線之相鄰天線之間的距離等於該複數個接收天線之各個天線與該複數個接收天線之相鄰天線之間的距離。
  15. 一種多晶片封裝,包含: 複數個小晶片,在其中至少一第一小晶片,包含: 第一表面和對立於該第一表面的第二表面,其中該第一表面包含腔室,且其中該腔室由複數個表面所界定;及天線,在該複數個表面其中的表面上。
  16. 如請求項15所述的多晶片封裝,其中該腔室包括底部表面、頂部表面、側表面之任一者,或其任何組合。
  17. 如請求項15所述的多晶片封裝,更包括第二小晶片,其中該第二小晶片包括: 第一表面和對立於該第一表面的第二表面,其中該第一表面包括腔室,且其中該腔室由複數個表面所界定;及天線,在該複數個表面其中的表面上; 其中該第一小晶片之天線係組態以將無線信號傳輸至該第二小晶片之天線。
  18. 一種射頻電路,包含: 信號處理電路,組態以: 接收代表包含已知符碼的接收的第一射頻信號的資料; 決定接收的該資料與該已知符碼之間的差;產生代表接收的該資料與該已知符碼之間決定的該差的複數個等化參數;及 等化電路,組態以從該信號處理電路接收該複數個等化參數; 接收第二射頻信號;及 依據該複數個等化參數修改該第二射頻信號;以及將修改的該第二射頻信號輸出為等化信號。
  19. 如請求項18所述的射頻電路,其中該等化電路包含前標等化電路和後標等化電路; 其中該前標等化電路係組態以: 接收該第二射頻信號; 依據該複數個等化參數中的第一複數等化參數來修改該第二射頻信號;及 將修改的該第二射頻信號輸出為前標等化信號;且其中該後標等化電路係組態以: 接收該前標等化信號; 依據該複數個等化參數中的第二複數等化參數來修改該前標等化信號;及 將修改的該前標信號輸出為該等化信號。
  20. 如請求項19所述的射頻電路,其中該前標等化電路係組態以修改該第二射頻信號以進行該射頻傳輸之符碼降低與符碼的符碼間干擾。
  21. 一種多晶片封裝,包含: 第一小晶片,包含第一天線,其組態以將射頻信號放射; 第二小晶片,包含第二天線,其組態以接收該射頻信號;及 至少一電磁能再放射元件,其組態以從該第一天線接收該射頻信號,且組態以將該射頻信號再放射。
  22. 如請求項21所述的晶片封裝,其中該至少一電磁能再放射元件包含第一電磁能再放射元件和第二電磁能再放射元件,該第二電磁能再放射元件組態以從該第一電磁能再放射元件接收再放射的該射頻信號。
  23. 如請求項21所述的晶片封裝,其中該第二天線更組態以從該至少一電磁能再放射元件接收再放射的該射頻信號。
TW110133732A 2020-12-23 2021-09-10 無線晶片對晶片高速資料傳送 TW202228409A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/US20/66747 2020-12-23
PCT/US2020/066747 WO2022139827A1 (en) 2020-12-23 2020-12-23 Wireless chip-to-chip high-speed data transport

Publications (1)

Publication Number Publication Date
TW202228409A true TW202228409A (zh) 2022-07-16

Family

ID=82158306

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110133732A TW202228409A (zh) 2020-12-23 2021-09-10 無線晶片對晶片高速資料傳送

Country Status (5)

Country Link
US (1) US20240021522A1 (zh)
EP (1) EP4268278A1 (zh)
NL (1) NL2029884B1 (zh)
TW (1) TW202228409A (zh)
WO (1) WO2022139827A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024025530A1 (en) * 2022-07-28 2024-02-01 Intel Corporation Chip-to-chip waveguide and contactless chip-to-chip communication
CN115664364B (zh) * 2022-12-22 2023-02-28 四川斯艾普电子科技有限公司 基于厚薄膜电路的大功率限幅器及实现方法
CN116232369B (zh) * 2023-05-06 2023-11-17 中科(深圳)无线半导体有限公司 一种sip封装的无人机sdr系统芯片

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5986382A (en) * 1997-08-18 1999-11-16 X-Cyte, Inc. Surface acoustic wave transponder configuration
US8351982B2 (en) * 2007-05-23 2013-01-08 Broadcom Corporation Fully integrated RF transceiver integrated circuit
CN103168354B (zh) * 2010-09-17 2015-11-25 日本电信电话株式会社 电感器
CN103947126B (zh) * 2011-07-05 2016-07-06 基萨公司 具有电隔离以及电介质传输媒介的ehf通信
US9075105B2 (en) * 2011-09-29 2015-07-07 Broadcom Corporation Passive probing of various locations in a wireless enabled integrated circuit (IC)
WO2014045518A1 (ja) * 2012-09-18 2014-03-27 パナソニック株式会社 アンテナ、送信装置、受信装置、三次元集積回路、及び非接触通信システム
CN107925161B (zh) * 2015-09-24 2021-02-09 英特尔公司 用于高频无线互连的串扰和干扰减少的装置
US20180212306A1 (en) * 2015-09-25 2018-07-26 Intel Corporation Antennas for platform level wireless interconnects
US11121828B2 (en) * 2017-05-04 2021-09-14 Intel IP Corporation Radio (NR) physical uplink structures and schemes
US11462463B2 (en) * 2018-09-27 2022-10-04 Intel Corporation Microelectronic assemblies having an integrated voltage regulator chiplet
CN109712947A (zh) * 2019-01-14 2019-05-03 北京七芯中创科技有限公司 一种基于多层凹嵌式基板的芯片天线单体化结构
US10771187B1 (en) * 2019-10-18 2020-09-08 Arm Limited Adaptive coding for wireless communication

Also Published As

Publication number Publication date
NL2029884B1 (en) 2023-05-25
US20240021522A1 (en) 2024-01-18
EP4268278A1 (en) 2023-11-01
NL2029884A (en) 2022-07-19
WO2022139827A1 (en) 2022-06-30

Similar Documents

Publication Publication Date Title
TW202228409A (zh) 無線晶片對晶片高速資料傳送
Liu et al. Antenna-in-package design considerations for Ka-band 5G communication applications
Gu et al. Packaging and antenna integration for silicon-based millimeter-wave phased arrays: 5G and beyond
US20220384956A1 (en) Wireless communication technology, apparatuses, and methods
US10431892B2 (en) Antenna-in-package structures with broadside and end-fire radiations
Valdes-Garcia et al. A fully integrated 16-element phased-array transmitter in SiGe BiCMOS for 60-GHz communications
Kam et al. Organic packages with embedded phased-array antennas for 60-GHz wireless chipsets
CN105845660B (zh) 集成阵列发射/接收模块
CN107852397B (zh) 使用选择矩阵进行天线相位校准的混合波束形成天线阵列
NL2029704B1 (en) Device-to-device communication system, packages, and package system
Rebeiz et al. Millimeter-wave large-scale phased-arrays for 5G systems
US12009321B2 (en) Package system and package
Narde et al. On-chip antennas for inter-chip wireless interconnections: Challenges and opportunities
WO2019175558A1 (en) Phased antenna array device
Jenning et al. Energy-efficient transceivers for ultra-highspeed computer board-to-board communication
CN111725184A (zh) 具有射频(rf)芯片的微电子封装
EP4016852A1 (en) Closed-loop baud rate carrier and carrier frequency tuning for wireless chip-to-chip interface
Meyer et al. The state of the art in beyond 5G distributed massive multiple-input multiple-output communication system solutions
US20230354507A1 (en) High-frequency circuit board and antenna module
Hajimiri The future of high frequency circuit design
US20240120642A1 (en) Antenna module and electronic device including same
TW202316725A (zh) 曲面及平面基板上之天線陣列
WO2024025530A1 (en) Chip-to-chip waveguide and contactless chip-to-chip communication
Hirokawa Recent Progress of Corporate-Feed Slot Array Antennas for Non-far Region Communication
Fan et al. Application of MIMO technology for next-generation optical and millimeter-wave interconnects