TW202215908A - Rf電壓及電流(v-i)感測器與測量方法 - Google Patents

Rf電壓及電流(v-i)感測器與測量方法

Info

Publication number
TW202215908A
TW202215908A TW110123041A TW110123041A TW202215908A TW 202215908 A TW202215908 A TW 202215908A TW 110123041 A TW110123041 A TW 110123041A TW 110123041 A TW110123041 A TW 110123041A TW 202215908 A TW202215908 A TW 202215908A
Authority
TW
Taiwan
Prior art keywords
sensor
conduit
current
voltage
signal
Prior art date
Application number
TW110123041A
Other languages
English (en)
Inventor
賈斯汀 摩西
巴頓 連恩
梅瑞特 方克
雀兒喜 杜博斯
山澤陽平
麥克 胡默爾
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202215908A publication Critical patent/TW202215908A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/24Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
    • H01J37/241High voltage power supply or regulation circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Abstract

一種射頻(RF)系統包含一RF功率源,其係配置以利用一RF信號對一負載進行供電;一RF管道,其包含內導體及接地的外導體,該RF管道將該RF功率源耦合至該負載;以及一電流感測器,其係與承載該RF信號之該RF管道的中心軸對齊。一感測器外殼係設置於該RF管道周圍,其中該感測器外殼包含連接至該RF管道之該外導體的導電材料。一通道係設置在該感測器外殼之內且在該RF管道的該外導體之外,其中該電流感測器係設置在該通道中。該RF管道的該外導體中的一狹縫使該電流感測器暴露於磁場,該磁場係因該RF管道之該內導體中的該RF信號之電流而產生。

Description

RF電壓及電流(V-I)感測器與測量方法
〔相關申請案的交互參照〕本申請案係與2020年6月26日提交的共同待審之美國臨時申請案第16/913,545號(代理人案件編號:200391US01)相關;與2020年6月26日提交的美國非臨時申請案第16/913,548號(代理人案件編號:190883US01)相關;並且主張2020年6月26日提交的美國非臨時申請案第16/913,526號(代理人案件編號:190907US01)的優先權,在此將該等申請案引入以供參照。
本發明大體上係關於電漿處理系統及方法,且在特定實施例中係關於射頻(RF)電壓及電流之感測器及量測方法。
一般而言,半導體積體電路(IC)的進展係由更低成本下的更高功能性之需求所驅動。更低成本下的更高功能性主要係藉由透過微型化增加元件封裝密度而提供。IC係藉由導線、接點、及通孔之多層系統互連的電子元件(例如電晶體、電阻器、及電容器)之網路。藉由使用包括如化學氣相沉積(CVD)、微影、及蝕刻等處理步驟的製造流程在半導體基板上依序沉積和圖案化介電材料、導電材料、及半導體材料之膜層,將該網路的元件整合在一起。藉由諸如浸沒式微影和多重圖案化等創新技術以週期性地減小最小特徵部尺寸,已使得電路元件的封裝密度提高。藉由利用三維(3D)裝置結構(例如,FinFET及堆疊式電容器記憶單元)減小裝置覆蓋區域,可實現進一步的微型化。
電漿處理(如反應離子蝕刻(RIE)、電漿輔助CVD(PECVD)、電漿輔助原子層蝕刻和沉積(PEALE和PEALD)、及循環式電漿處理(例如,交替的沉積和蝕刻之循環))通常係用於半導體IC製程中所使用的沉積和圖案化步驟。然而,隨著微縮至若干奈米之特徵部尺寸的來臨且結構特徵部被控制在原子尺度的尺寸,為先進IC設計提供可製造之電漿技術的挑戰已加劇。可製造的電漿處理有望提供具精確尺寸(例如,線寬、蝕刻深度、及薄膜厚度)的結構及電漿蝕刻(例如,側壁角、各向異性、和對蝕刻停止層的選擇性)和電漿沉積(例如,保形性、深寬比選擇性、和自下而上圖案化的區域選擇性)的精確受控特徵部、以及遍及寬(例如,300 mm)晶圓的均勻度。在IC製造中所使用的許多電漿處理中,電漿係透過RF功率維持。由於電漿特性受到輸送至處理腔室之RF功率所影響,因此對電漿處理的精確控制可能需要無干擾且準確的新穎RF信號計量。
依據本發明之實施例,一種射頻(RF)系統包含一射頻(RF)功率源,其係配置以利用一RF信號對一負載進行供電;一RF管道,其包含內導體及接地的外導體,該RF管道將該RF功率源耦合至該負載;以及一電流感測器,其係與承載該RF信號之該RF管道的中心軸對齊。該電流感測器係配置以監視該RF信號的電流,且包含鄰近該RF管道設置的導電半環路,其中該導電半環路包含第一端及相對的第二端。該電流感測器係配置以在該第一端與該第二端之間輸出一輸出信號。一感測器外殼係設置於該RF管道周圍,其中該感測器外殼包含連接至該RF管道之該外導體的導電材料。一通道係設置在該感測器外殼之內且在該RF管道的該外導體之外,其中該電流感測器係設置在該通道中。該RF管道的該外導體中的一狹縫使該電流感測器暴露於磁場,該磁場係因該RF管道之該內導體中的該RF信號之電流而產生。
依據本發明之實施例,一種射頻(RF)系統包含一射頻(RF)功率源,其係配置以利用一RF信號對一負載進行供電;一RF管道,其包含內導體及連接至參考電位節點的外導體,該RF管道將該RF功率源耦合至該負載;以及第一電壓感測器,其係圍繞承載該RF信號之該RF管道的軸線而軸對稱地設置,其中該第一電壓感測器係配置以監視該RF信號的電壓。
依據本發明之實施例,一種量測射頻(RF)信號之方法包含具有一電流感測器,其係與承載RF信號之RF管道的軸線對齊,其中該電流感測器係設置於一通道中,該通道係設置在一感測器外殼之內且在該RF管道的外導體之外。該感測器外殼係設置於該RF管道周圍。該電流感測器包含一導電半環路,其中該導電半環路包含第一端及相對的第二端。該方法包含基於量測該第一端與該第二端之間的輸出信號而判定該RF信號的電流。
以下詳細討論本揭示內容之實施例之產生與使用。然而,應理解,本文所揭示之概念可於各種特定情況中實施,且本文所述之特定實施例僅係說明性而並非用以限制申請專利範圍的範疇。
本揭示內容描述用於準確測量射頻(RF)電信號之電壓( V)、電流( I)、及電壓與電流之間的相位角(Φ)的感測器設計和方法。本文描述的電壓-電流(V-I)感測器之實施例已被應用於沿著同軸傳輸線(稱為RF管道)探測RF電磁波的電場和磁場。
半導體製造中的電漿處理(例如,電漿蝕刻和沉積處理)通常使用RF功率以維持電漿。如熟習本技藝者所公知,在電漿腔室中維持電漿的RF信號影響電漿特性。電漿特性(例如,電子密度、電漿鞘厚度、離子與自由基通量之比等)進而影響電漿處理的蝕刻及/或沉積特性。
在各種實施例中,本申請案描述用於測量通過RF管道之RF信號的電流和電壓的RF V-I感測器。用語「RF管道」在此係指同軸傳輸線,其將RF功率從電漿反應器(稱為電漿處理系統)的一個部分輸送至另一部分。經由RF管道傳輸的波形 IV為位置( x)和時間( t)的函數 I( x, t)和 V( x, t)。當單一頻率分量f存在時,電流和電壓係由正弦波形所描述,其簡寫為Re( I( x) e j ω t )和 Re( V( x)e j( ω t+ Φ)),其中ω= 2π fj 2= -1,且Re為複變函數的實部。如上所述, IV各自具有一幅度,並且彼此之間相隔一相位角Φ。一般而言,波形 IV可包括多個頻率分量。電壓 V( x, t)指涉RF管道(或同軸傳輸線)之內導體(或芯部)相對於接地外導體(或屏蔽)的電位,其中接地表示RF系統的參考電位。
如各種實施例中所述,RF V-I感測器可使用幾何對稱和差動測量方法以在感測器之位置處提供高精度的 VI。可沿 RF 管道於各個位置處使用若干V-I感測器,以探測該處的 RF 信號。由於 VI為位置x的函數,因此各個V-I感測器可被定位在盡可能靠近相應的所需測量位置之位置。例如,若期望使用供至電漿腔室之RF信號的電壓和電流之準確量測來監視和控制電漿處理,則用於該目的之V-I感測器可鄰近RF信號進入電漿腔室之處而定位。本文所述之各種實施例在不增加成本的情況下提高V-I感測器的測量精度和靈敏度,從而為電漿處理系統提供增強的能力以在相同的成本下提供具有更佳的可重複性和更嚴格的製程控制之電漿處理。此外,RF探針係經設計為無干擾的,以便利用改良的V-I感測器輕易地改造現有的電漿處理設備,而無需在生產流程中為電漿處理之既定配方進行耗時且昂貴的重新開發。
在本揭示內容中,首先參照圖1A中所示之方塊圖而說明V-I感測器在電漿處理系統中的使用。接著,根據一實施例,參照圖1B所示之示意圖而描述電漿處理系統中之V-I感測器的基本結構和操作原理。接著,參照圖 2A、2B、3、4、及5中所示之V-I感測器的例示實施例而說明V-I感測器設計(類似於圖1B中之示意圖中的基本結構之設計)的一些新穎態樣。
如以下參照圖1B而詳細描述,圖2A、2B、3、4、及5中之實施例中的電壓感測器具有軸對稱性,該等電壓感測器係經設計為置放在RF管道內的導電環,其在平行於電流流動方向延伸的中心縱軸周圍成環。軸對稱設計所提供的優點係在下文中參照圖2B的討論中加以說明。
例示實施例中的各個電流感測器係位於圍繞RF管道外側之周邊的套管或通道中。該通道為感測器外殼內的空腔。感測器外殼具有覆蓋通道的導電壁,並且可連接至RF管道的外導體,並且從而接地。如下文中參照圖1B而詳細描述,電流感測器為具有兩個開端的單一導電環路(稱為半環路);該環路係例如利用藉由同軸電纜連接至電流感測器之外部V-I分析儀的元件而完成。V-I分析儀為一測量系統,其對自電流和電壓感測器接收的原始信號進行分析。如下文中進一步說明,電流感測器的兩端可連接至V-I分析儀以進行差動測量俾進行精確分析。然而,為了簡化系統(以精度為代價),可僅將電流感測器的其中一端連接至 V-I分析儀,而另一端係經由負載阻抗(例如,經由50歐姆的負載)端接至接地或短路接地。接地連接可為與感測器外殼的直接連接。在此配置中,通道的外部接地覆蓋層係位於半環路兩端之間的電路中,從而對完成該環路起到重要作用。在使用本揭示內容中所描述的電流感測器之實施例的一替代RF系統中,整個閉環路可包含在具有適當阻抗匹配和一或更多外部信號連接的通道內。
在參照圖1B-5而描述的例示實施例中,半環路電流感測器的電流環路具有包括三個導電元件的一個導電匝。半環路的電流感測器之三個導電元件為兩個相同的垂直分支,其係由平行於RF管道之中心軸而定向的水平分支所連接。因此,本文所討論的電流感測器設計係關於與RF管道之中心軸垂直且穿過兩個垂直分支之間的半途之鏡面而具有鏡像對稱性。在下文中參照圖2B的討論中已說明具有反射對稱性的優點。然而,單匝半環路電流感測器係位於RF管道的一側,因此缺乏軸對稱性。參照圖6A-7E而描述軸對稱多匝半環路電流感測器設計,其中實施例利用環形心軸以機械式地支撐多匝電流拾取器。
本揭示內容中所描述的V-I感測器設計之新穎態樣可提供若干優點。例如,對電磁波之電場和磁場的非侵入式探測已被用於促成執行V-I量測而不會對RF管道中的RF信號造成不可忽略的干擾。並且,在感測器設計中有利地利用幾何對稱和差動測量技術以提供可對加工誤差不具敏感性的量測,其中該等加工誤差係歸因於用以形成元件之工具的標準公差以及V-I感測器組裝期間的定位誤差。此外,利用若干結構增強技術;例如,可複製式地置放V-I感測器的元件以增強幾何對稱性,並且可置放設計以提供額外機械支撐的部件,以減少/抑制在組裝期間由機械應力所引起的關鍵感測器元件形狀之微小變形。因此,藉由使用本揭示內容中所描述的實施例,可實現具有改良精度的RF V-I量測,而不會帶來更嚴格的加工公差之增加成本。
圖1A為可用於半導體IC製造的通用電漿處理系統之方塊圖。
現參照圖1A,在電漿處理系統中,RF信號可由高功率RF功率源10(例如耦合至RF功率放大器的RF振盪器)產生。可藉由可編程控制器20和相關的電子電路而調整RF信號波形(例如,頻率、振幅、脈衝/連續等)。可經由導管(例如RF管道110)而傳輸RF信號,以將RF功率送至電極,該等電極係耦合至在圖1A中表示為電漿腔室30之方塊內的電漿。
如熟習本技藝者所公知,可將RF管道110中的RF信號表示為行進的RF電磁波之組合。RF功率源10的輸出阻抗與負載阻抗之間的阻抗失配會導致從RF功率源10朝向負載行進的一部分RF功率被反射回RF功率源10。為了抑制此等不樂見的反射,可將包含匹配網路的匹配器40嵌入RF功率源10與電漿腔室30之間的RF信號路徑中,如圖1A所示。匹配網路的反射功率與入射功率之比率可由匹配器40感測(例如,使用V-I感測器和分析儀)並被提供至可編程控制器20。可編程控制器20可藉由使用例如回饋控制迴路(在圖1A中由匹配器40與可編程控制器20之間的兩個箭頭表示)調整其阻抗以減少從匹配網路反射回RF功率源10的RF功率。
可利用例如由RF管道110從RF功率源10輸送至電漿腔室30之電極的RF功率以在電漿腔室30中維持電漿。如圖1A所示,V-I感測器100可用於感測被供至電極之RF信號的電流和電壓。在各種電漿腔室設計中,電極可位在腔室壁內部,例如電容耦合式電漿(CCP)腔室中的盤形電極或腔室壁外部的天線。例如,在感應耦合式電漿(ICP)腔室中,天線可為設置在介電窗上方的導電平面螺線、或者係纏繞在介質圓柱體周圍的導電螺旋線。在圖1A中表示為電漿腔室30的方塊包括與電漿耦合的電極和天線。為簡潔起見,在本揭示內容中,用語「電極」是指電極及/或天線。電漿腔室30包括至少兩個電極,例如頂部電極和底部電極,其係電耦合至它們之間的電漿。在一些設計中,底部電極亦作為基板固持件可為有利的。
雖然圖1A中的方塊圖顯示出將RF功率從單一RF功率源10傳送至電漿腔室30的RF管道110,但可具有將RF功率提供至多個電極的多個RF電源。例如,使用相應的RF管道、匹配器、及鄰近電漿腔室而定位的V-I感測器以感測供至底部電極之RF信號的電壓和電流,RF功率源10可將RF功率提供至電漿腔室30的電極(例如,頂部電極),並且第二RF偏壓功率源可將RF偏壓功率供應至電漿腔室30的另一電極(例如,底部電極)。
在圖1A中,用於感測和測量鄰近接收RF信號之電極的RF信號的電流和電壓的V-I感測器100係連接至V-I分析儀60。V-I分析儀60可自V-I感測器100接收原始輸出波形,反映V(t)和I(t),如箭頭所示。V-I分析儀60可為信號處理器,例如數位信號處理器,其可從原始波形中提取各種RF信號特性。各種RF信號特性可包括振幅|V|、|I|、V與I之間的相位角(Φ)、以及峰值RF功率|V||I| cos Φ。此外,可進行諧波分析以提取多個頻率成分。所量測的RF信號特性可反映電漿阻抗和電漿特性,例如自由電子與離子之密度、以及離子/自由基通量和能量。可使用以下者對V-I分析儀60進行預校準:例如在頻率(例如,約0.4 MHz至約1 GHz)和功率(例如,約0.015 kW至約30 kW)之範圍內的RF校準信號、標準負載阻抗(例如,短路、開路、50歐姆等)、及向量網路分析儀(VNA)。
如圖1A中的箭頭所示,反映電漿特性的各種RF信號特性可藉由V-I感測器100和V-I分析儀60而被提供至可編程控制器20,並且用於例如製程監控或終點偵測。此外,可編程控制器20可利用接收到的RF量測以進行製程控制。如熟習本技藝者所公知,可藉由改變耦合至電漿的RF信號而改變電漿特性。可編程控制器20可利用來自RF量測的資訊以控制電漿處理;例如,藉由調整RF功率源10的設定、或藉由調整匹配器40之匹配網路的阻抗。
在 V-I感測器 100 用於估計和控制電漿之參數的實施例中,將 V-I感測器 100 鄰近電漿腔室 30 而設置可為有利的。可根據在不同位置處由位於該處的V-I感測器100所量測的V和I而估計電極位置處的RF信號之V和I。然而,隨著電極與V-I感測器之間的距離增加,電極處之V和I的測量誤差可能會增加。理論上,隨著兩個位置之間的距離增加,用於在兩個位置之間轉換感測器信號的轉換矩陣偏離單位矩陣更多。因此,針對電極位置而估計的V和I對估計相應轉換矩陣時的任何錯誤變得更為敏感。
現參照圖1B,根據一實施例,V-I感測器100係附接至連接於電漿腔室30的RF管道110。RF管道110可為同軸結構,其包括圍繞共同縱軸而同心地設置的兩個導電管(例如,鋁管或銅管)。內導電管(稱為內導體120)可電連接至匹配器40的輸出端,其係由指向左側的箭頭指示。外導電管(稱為外導體130)可連接至參考電位(通常稱為接地)的接地護套。RF管道110可被稱為主同軸線,因為其將RF功率從匹配器40載送至電漿腔室30。本揭示內容中的其他同軸線被稱為同軸信號線(例如,可用於將信號從V-I感測器100載送至VI分析儀60的同軸線)。
V-I感測器100包括兩個主要元件:電流感測器140及電壓感測器150。電流感測器140可被設置在具有導電壁(例如,鋁、黃銅、不銹鋼、或銅)之感測器外殼165內的環形通道160中。在圖1B中示意性顯示的實施例中,通道160為中空環形區域,其沿著外導體130外側的完整圓周延伸,並且係關於RF管道110的軸線而呈軸對稱。通道160的軸對稱設計提供了防止在RF管道110中傳播之RF電磁波的額外反射和非軸對稱波模式的優點。通道160及導電感測器外殼165可與RF管道110一體地形成、或者可對稱地附接於RF管道110的周圍,並且可在組裝期間加以定位俾協助防止RF管道110之縱軸與電流感測器140之間的對準誤差。在任一情況下,導電感測器外殼165和外導體130係電連接且物理連接。因此,感測器外殼165可被視為同軸RF管道110之外導體130的延伸。
雖然圖1B中的實施例具有環形通道160,但在一些其他實施例中,通道可能並非環形的。在一些其他實施例中,RF管道110的軸對稱性可能無法避免地因例如RF管道110中的彎曲而被破壞,因此,因V-I感測器設計中的非對稱性而導致的額外軸對稱性損失可為無足輕重的。於是在V-I感測器設計中放寬軸對稱性可為合理的。例如,通道可部分地沿著外導體130的圓周延伸而不形成RF管道110的完整環路。
參照圖1B和1C,通道160係顯示為被感測器外殼165的導電表面和外導體130完全包圍,除了將通道160的中空區域與RF管道110連接的狹縫132外。電流感測器140的電流拾取器141係顯示為位在通道160中且在狹縫132的正上方。在圖1B所示的實施例中,電流拾取器141包括設置成矩形之三個側邊的三個導電分支(稱為半環路):兩個垂直分支142和一水平分支143。在一個實施例中,兩個垂直分支142各自被擰入水平分支143中的開口中。
在圖1B的實施例中,使用三個單獨的部件形成電流拾取器141的垂直和水平分支。在一些其他實施例中,可使用不同數量的部件(更少/更多)。
狹縫132係經設計以允許磁通量穿入通道160中。在內導體120中流動的電流導致在內導體120與外導體130之間的區域中關於縱軸LA1而圍繞內導體120循環的磁通量。根據安培定律(Ampere’s law),在沒有狹縫132的情況下,外導體130外部的磁通量將會大致為零,因為在外導體130的內表面上流動的相等但相反的返回電流會抵消因內導體120中的電流而引起的循環磁通量。狹縫132藉由破壞圓柱形外導體130的連續性使返回電流轉向而沿著感測器外殼165之外導電體的內表面流動。因此,包含半環路電流拾取器141的通道160之中空區域係位在內導體120中流動的電流與相應的返回電流之間的區域內。根據安培定律,通道160內部現存在一磁場穿過電流拾取器141的矩形半環路。在一個範例中,狹縫132可沿著圓柱形外導體130的整個圓周延伸以協助使穿過電流拾取器141之半環路的磁通量最大化。
除了磁通量之外,還存在因內導體120與接地外導體130之間的電壓差而從內導體120發出的電通量。不樂見的電通量可能通過接地護套中由外導體130中形成之狹縫132所提供的間隙而洩漏至通道160中。穿過電流拾取器141之半環路的變化的磁通量在該位置處感生I(t)之量度的電信號。然而,進入通道160的電通量可能與電流拾取器141耦合,並且污染由磁通量所產生的信號。因此,如圖1C所示,狹縫132係經設計成具有約1 mm至約5 mm的寬度(平行於LA1的維度)。可使狹縫132的寬度保持狹窄以協助減少從RF管道110內部進入通道160的電通量。
雖然用於參照圖1B-5而描述之V-I感測器的實施例的狹縫設計的形狀像是沿著外導體之圓周的環,但應當理解,各種其他設計亦係可能的。例如,在參照圖7A-7E而描述的電流感測器組件中使用鋸齒狀狹縫設計。
電流感測器140的導電部件可藉由以下者而與外導體130及感測器外殼165的導電表面絕緣:氣隙(或其他絕緣體)、以及用於機械支撐的絕緣部件,例如圖1b中的絕緣部件162(及顯示於圖 2A-5中之類似的其他部件)。
電流拾取器141在拓撲上為以其兩個垂直分支142和水平分支143在矩形橫截面的區域周圍繞一圈的半環路(具有兩個開端的環路)。可在源自沿RF管道110行進之RF電磁波的時變磁場的存在下定位單匝半環電流拾取器141。根據法拉第定律(Faraday’s law),可在電流拾取器141的兩端之間感生時變電壓差,其與時變磁通量成比例。電流拾取器141的兩端可附接於在圖1B中顯示於感測器外殼165上方的一對對稱端子144。在一實施例中,端子144可為用於連接同軸信號線的同軸電纜連接器。
如下文中進一步詳細說明,對電流拾取器141使用對稱設計係有利的。測量系統(例如,圖1A和1B中的V-I分析儀60)利用對稱性,透過例如測量電流感測器140之兩個端子144之間的差動電壓以抵消兩個垂直分支142中的寄生信號。對於此測量方法而言,來自電流感測器140的差動信號為其輸出信號,並且可利用例如差動放大器來偵測。
藉由終端阻抗、初始偵測系統的輸入阻抗、及電纜的阻抗(若使用電纜將電流感測器140的輸出信號傳輸至測量系統的初始偵測系統)的組合,在V-I感測器外部完成電流拾取器141的半環路。若初始偵測系統被設置在電流感測器140本身所在之處,則可取消將偵測器阻抗與電纜阻抗匹配的要求。若測量系統係在電流感測器140的遠端,則可使用包括例如同軸電纜的同軸信號線將端子144連接至測量系統的初始偵測系統。同軸電纜具有通常在約20歐姆至約300歐姆之範圍內的阻抗。端接同軸信號線以具有匹配的阻抗係有利的,以避免因阻抗失配而造成自測量系統反射。將電流感測器140的兩個末端端子144連接至以對稱方式端接的對稱同軸信號線亦係有利的,從而保持電流感測器140之輸出信號的對稱性。例如,在一個實施例中,可使用一對具有50歐姆終端的相同50歐姆同軸電纜。
如上所述,使用差動信號作為電流感測器的輸出信號在測量 I時提供更高的精確度。為了偵測差動信號,來自該對端子144的一對信號必須利用例如一對同軸電纜而提供至初始偵測系統。然而,在精確度有些損失的情況下,電流感測器亦可與在該對端子144的其中一個端子處偵測信號的測量系統結合使用。在偵測該對端子144的第一端子處之信號(而非偵測差動信號)的系統中,可將該對端子144的第二端子連接至盡可能接近地反映第一端子處之阻抗的阻抗。例如,第一端子可連接至第一條50歐姆同軸電纜以將信號傳輸至初始偵測系統的50歐姆輸入埠,而第二端子可連接至第二條相同的50歐姆同軸電纜,該同軸電纜在電纜末端處具有50歐姆的終端,而非偵測器。應注意,一些其他的適當阻抗亦可用於端接;阻抗未必需為50歐姆。此外,可省略第二條同軸電纜,並且將適當的阻抗終端直接固定於該對端子144的第二端子。
量測系統(包括用於連接電流感測器140的元件)的設計亦考量到因電子元件之寄生電容而導致的對地阻抗。歸因於寄生電容阻抗的頻率相關性,使得元件在RF頻率下的阻抗可能與在低頻或DC(零頻率)下的元件阻抗顯著不同。例如,電阻器元件在DC下的阻抗可能在電信號的頻率增加至RF範圍時變低,其係歸因於與電阻器結構相關的對地寄生電容。具有較高電阻值之電阻器的阻抗對RF信號的頻率較為敏感。由於對地的寄生電容取決於置放電阻器的幾何和幾何環境,因此若電阻器具有高電阻值,則難以控制單元與單元之間的阻抗變化。因此,為了維持測量精度,將初始偵測系統的設計限制為所使用的電阻器之電阻值小於其寄生RF電抗的0.1係有利的,即使係在初始偵測系統被設置在感測器位置時亦是如此。
電壓感測器150的電壓拾取器151包括可沿著外導體130之內表面設置的導電環。外導體130與導電電壓拾取器151可藉由絕緣環152而彼此絕緣,如圖 1B 所示。絕緣環152可包含鐵氟龍(Teflon)、或一些其他塑性材料、或一些其他合適的介電質。在一個實施例中,可使電壓拾取器151(例如導電環)暴露於內導體120與外導體130之間的空氣(或其他絕緣體)。在另一實施例中,可將電壓拾取器151嵌入於絕緣外殼中。在所有實施例中,電壓拾取器151(例如,導電環)可與外導體130電絕緣並且係由絕緣結構機械式地支撐。
在一個實施例中,電壓拾取器151(例如,導電環)的內徑可與外導體130的內徑相同。在一些其他實施例中,電壓拾取器151(例如,導電環)的內徑可為不同(小於或大於外導體130的內徑)。當電壓拾取器151(例如,導電環)的內徑與外導體130的內徑相等時,嵌入電壓拾取器151對RF管道110中之電場和磁場造成的擾動相對最低。來自電壓拾取器151的輸出信號隨著電壓拾取器151(例如,導電環)的內徑減小而增加,如下文中進一步詳細說明。電壓拾取器151的接觸件延伸至外導體 130 的外部並端接於附接在感測器外殼165上方的第三端子153(例如,第三同軸電纜連接器)。電流拾取器141、電壓拾取器151、及相應端子144與153的接觸件可包括具有高導電性的金屬(例如,銅),並且可皆與其他導電元件(例如外導體130和導電感測器外殼165)絕緣。
電壓感測器150之終端阻抗及將初始偵測系統連接至端子153的同軸信號線之設計考量可類似於電流感測器140之終端阻抗及將初始偵測系統連接至端子144的同軸信號線之設計考量,如上所述。以上關於電流感測器140的討論包括用於保持差動輸出信號之對稱性的考量。然而,該部分的討論不適用於電壓感測器,因為在 V-I感測器 100 的實施例中,電壓感測器 150 僅具有一個環形電壓時取器 151 和一個端子 153,而電流感測器 140 具有一對端子144。對稱性考量可適用於另一實施例中,在該實施例中對稱地設置兩個電壓拾取環並且可使用兩個信號的算術平均數,例如在參照圖3而描述的V-I感測器300中。
如圖1B和1C所示,RF管道110的縱軸LA1係在電流拾取器141的平面P1中。縱軸LA1亦係平行於RF管道110中的電流方向。此外,如圖1C中更容易觀察到,沿著與RF管道110之縱軸LA1正交的方向,電流拾取器141包括:包含RF管道110之縱軸LA1的第一鏡像對稱平面M1、以及與第一鏡像對稱平面 M1 正交的第二鏡像對稱平面 M2。在一或更多實施例中,電流拾取器141的第一鏡像對稱平面M1與RF管道110的縱軸LA1共面。
磁場線大致在縱軸LA1周圍呈同心,並且垂直地穿過半環路的平面P1。在此配置中,磁場感應式地耦合至電流拾取器141(如所期望的)。藉由將電流拾取器141定位在外導體130外部,大幅地減弱與電場的非所欲之耦合。感應耦合的振盪磁場在電流拾取器141(三側的半環路)中感應出電動勢(emf)。根據法拉第定律,感應電動勢與變化的磁通量相關。由於載流導體周圍的磁場強度反映相應的電流,因此電流感測器140可產生反映相應位置處RF管道110中之RF電流的時變電信號。電流感測器140的一態樣為,兩個端子144處的電信號可被偵測系統接收,且兩個端子144之間的差動電壓係用作電流感測器140的輸出信號。下文中參照圖2B而更詳細地說明由差動輸出技術所提供的優點。
電位和電場幅值輪廓大致為圓,其中心在RF管道110的縱軸LA1上。圓形輪廓係包含在垂直於縱軸LA1的平面族中。因此,電場線從內導體120徑向地定向,且垂直於縱軸LA1。環形的電壓拾取器151大致位於其中一個圓形輪廓上。對於此配置而言,在內導體120之外部空間中的振盪電場係電容式地耦合至電壓拾取器151,並且根據電磁學的物理定律,導電環獲得與內導體在相應位置處的電位大致成比例的振盪電位。此振盪電位可用作電壓感測器150的輸出信號。根據高斯定律(Gauss’ law),內導體120與外導體130之間的徑向電場之幅值隨著距縱軸LA1的徑向距離增加而減小。因此,電壓感測器150的輸出信號可藉由將其電壓拾取器151定位為更靠近內導體120(例如藉由減小電壓拾取環的內徑)而增加。
雖然電壓拾取器151係電容式地耦合至電場,但幾乎沒有與磁場的耦合,因為垂直於環形電壓拾取器151之平面的磁通量對於此等幾何而言可忽略不計。由於導電管(在此範例中為內導體 120)周圍的電場強度反映出導體的電位,因此電壓感測器150可產生反映RF管道110上相應位置處之RF電壓的時變電信號。
原始輸出信號(例如,一對來自電流感測器140而另一個來自電壓感測器150)可被傳輸至V-I分析儀60,如箭頭所示(亦參見圖1A)。
圖2A顯示V-I感測器200和RF管道的外導體230(外管)的立體圖。圖2B顯示相同的V-I感測器200沿軸線2B-2B’的剖視圖。圖2A和2B中的V-I感測器200與圖1B中的V-I感測器100相似。電流感測器240和電壓感測器250被設置在V-I感測器200的環形通道260內。在圖2A和2B中,RF管道的內導體已被移除,以更佳地說明電壓感測器250之在外導體230內部的電壓拾取環251。立體圖(圖 2A)顯示V-I感測器 200 的三個端子(在此範例中為同軸電纜連接器)。在通道260的頂部上方延伸的一對端子244連接至電流感測器240的電流拾取器241,如圖2B所示。第三端子253連接至電壓感測器250的電壓拾取器251。
參照圖2B,電流感測器240的電流拾取器241為包括三個導體的半環路。電流拾取器241的兩個導電垂直分支242係經由塑膠(或其他絕緣材料)而與金屬感測器外殼265絕緣。垂直分支242連接至水平分支243的兩端,該水平分支243為水平設置在外導體 230 上方的通道 260內部之第三導體。沿著外導體 230 之圓周的狹縫 232 允許磁場穿過半環路之平面並在電流拾取器 241 的導電分支中感應出電動勢。電流拾取器241的水平分支243可沿著導體的側面而附接於水平的非導電(例如,塑膠)部件262。在圖2A和2B中所示的V-I感測器200之例示實施例中,水平分支243係經由以下者而與接地的金屬感測器外殼265及外導體230絕緣:在側邊的塑膠部件262、以及水平分支243的底部與外導體230的頂部之間的間隙中之空氣。在下文中進一步詳細描述的另一實施例中,可藉由設置在水平導體下方的氣隙中之額外的塑膠部件而加強水平分支243的機械支撐。
電流拾取器(例如,圖2B中的電流拾取器240)透過其與RF電磁場的相互作用而提供電信號。如上所述,反映RF電流者為磁場(而非電場)。狹縫232允許磁場從RF管道穿透至電流拾取器241所在的通道260中。電流拾取器241與電場的任何耦合皆會降低磁場量測的精密度。如本文所說明,電流感測器240可抑制可能因與電場的不樂見相互作用而引起的測量誤差。首先,V-I感測器200中的電流感測器240被設置在接地的外導體230的外部,從而利用外導體230以屏蔽電場。如上文中參照圖 1B 和 1C 所述,RF 電場係在徑向方向(垂直於 RF 管道的同軸軸線 LA1),因此,洩漏至通道中的電通量大致上與狹縫寬度成正比,該狹縫寬度在上文中係定義為與LA1平行的維度。狹縫232的寬度可經選擇為相對較小,以減少因狹縫232在外導體230中所產生的間隙而進入通道260之電通量的量。其次,可利用差動信號作為輸出信號,以進一步使得儘管存在外導體230但仍可穿透至空腔中之電場部分的影響減小。理想上,根據電磁學理論,電流感測器的兩個端子244之間的差動電壓大致上與振盪磁場成比例。但是,歸因於狹縫232的存在,通道260內的弱電場可電容式地耦合至電流拾取器241。然而,電流拾取器 241、狹縫 232、及通道 260 可經建構為關於一平面而呈鏡像對稱,其中該平面穿過狹縫 232 之中心且係垂直於RF管道之縱軸而定向。歸因於上述之半環路電流拾取器241的此等幾何鏡像對稱性,使得沿垂直分支242的擾動和出現在兩個端子244處之電位上的擾動在幅值和相位上大致相等。此等對稱特性可加以有利地利用,因為其意味著差動信號不受電流拾取器 241 中之寄生信號的影響,其中該寄生信號係因與通道 260 中之穿透電場的相互作用而產生。換言之,該對端子244的第一端子與第二端子之間的電位差保持未受干擾且準確達一階精度(first order)。可有利地利用電流感測器240設計之該等態樣以實現高精度的電流量測,尤其係在諸如將RF偏壓信號提供至電漿腔室中的靜電基板固持件等應用中,其中負載阻抗可能使得,在靠近RF信號進入電漿腔室之位置處,電場的振幅相對較高且磁場的振幅相對較低。
仍參照圖2B,設置在外導體230內靠近其內表面處的環形導體為電壓感測器250的電壓拾取器251。由電壓拾取器251所產生的信號之強度可取決於其尺寸。雖然直徑可大致上由外導體230的直徑決定,但寬度和厚度為可調整的設計參數。此實施例中的導電電壓拾取器251為在一點處電連接至V-I感測器200之第三端子253(例如,同軸電纜連接器)的環件。導電電壓拾取器 251 係經由附接於電壓拾取器 251 的環形介電元件 252 而與導電外導體 230 絕緣。
如上所述,電壓拾取器251在V-I感測器200的第三端子253處提供一電信號,其係因RF電磁場所感生的電荷極化而產生。第三端子253處的電位反映出內導體(為清楚起見而未於圖2B中圖示出)的振盪電壓。RF電場係電容式地耦合至電壓拾取器251。然而,與磁場的相互作用可忽略不計,因為縱軸係垂直於環件的平面,如上文中參照圖1B所說明。
電壓拾取器251的環形設計利用軸對稱性以降低電壓感測器250之輸出對一些置放和定尺寸誤差的敏感度,如此處所說明。首先,圓形對稱可消除對電壓拾取器 251 之精確放置的需求,因為(對於一階精度)環件的導電表面處之電位與以下者無關:環件之中心位置自中心軸(圖 2A 中的軸 2B-2B’ 和圖 1B 中的 LA1)的偏移。而是,電壓拾取器251的電位主要取決於環件的尺寸(例如,內徑、外徑、及厚度)。相比之下,在非對稱電壓拾取器設計中,電壓拾取導體將會獲得一電位,對於一階精度,該電位取決於非對稱電壓拾取器的尺寸和位置。例如,蘑菇形電壓拾取器可能不僅對蘑菇頭處之導電表面的尺寸敏感,而且還對其相對於內導體的位置敏感。在此等設計中,電壓拾取器與RF管道的縱軸之間的距離可能需要在組裝期間進行精確調整,有時需要使用螺旋測微計(micrometer screw gauge)加以手動調整。其次,電壓拾取器 251 的設計可在將電壓感測器 250 組裝於 V-I感測器 200 中的期間大致抵消任何的定心誤差。電壓拾取器 251 的軸對稱性確保(對於一階精度)總電通量即使係在電壓拾取器251的圓心稍微偏離內導體的縱軸時仍不會改變。較靠近內導體而偏移之一半的環件中的電通量增加被另一半的導電環中之電通量的伴隨減少所平衡,其中該另一半的導電環現在因環件的圓形幾何而較遠離縱軸。
圖3顯示V-I感測器300的另一實施例之剖視圖,V-I感測器300具有位於感測器外殼365內之通道360中的電流感測器340。水平分支343係顯示為由絕緣部件362所支撐並且係連接至電流感測器 340的兩個垂直分支342。可看出,在鏡像對稱平面 M2 中環繞的狹縫 332係位在水平分支 343 上方。
第一電壓感測器350係顯示在外導體330的內部,類似於參照圖2A和2B而描述的V-I感測器200。此外,V-I感測器300具有對稱地位在電流感測器340之相對側的第二電壓感測器355。在此實施例中,第一和第二電壓感測器350和355的電壓拾取器和外殼已凹入外導體330的主體中,俾使外導體330的內側表面盡可能保持平滑。外導體330的平滑內表面提供了減少因嵌入電壓感測器350和355而引起的對電磁場的擾動之優點。在此實施例中,V-I感測器300對RF管道中之電磁場引起的擾動可忽略不計。
歸因於第一電壓感測器350與電流感測器340之間的量測位置差異,使得來自它們的量測值具有相對相位誤差。在此實施例中,歸因於相對於電流感測器340的此等對稱位置,使得相對設置的第二電壓感測器355具有相對的相位誤差(亦即,電流感測器340的鏡像對稱平面M2係與第一電壓感測器350及第二電壓感測器355等距)。歸因於對稱性,使得由第一電壓感測器350感測到的RF信號波形中的電壓與電流之間的相對相位之誤差與由第二電壓感測器355感測到的RF信號波形中之相應誤差在兩個感測的電壓信號的總和中抵消,至少達一階精度。因此,可藉由結合來自第一和第二電壓感測器 350 和 355 的信號而提供更準確的電壓量測。藉由使用例如來自第一電壓感測器 350 和第二電壓感測器 355 的量測之算術平均數,可減少或甚至消除相位誤差,以產生反映出鏡像對稱平面處之電壓的電壓量測。
此外,第二電壓感測器355的存在有助於確保:電流拾取器341的兩個垂直分支342以及水平分支343的左半部及右半部經歷相同的電場和磁場。如上所說明,寄生電信號可能經由電流拾取器與穿透進入通道 360 中之空腔的電場之不樂見耦合而產生。藉由改善幾何對稱性,第二電壓感測器 355有助於確保:藉由利用差動電流量測,在電流感測器340的第一和第二端子344處所感知的電位中的擾動被更精確地抵消,如上文中參照圖2A和2B所述。在一些實施例中,第二電壓感測器355的輸出之使用可為選用性的。
圖4顯示附接於RF管道410之V-I感測器400的又另一實施例,該RF管道410包括內導體420和外導體430。
V-I感測器400包括設置在通道460中的電流感測器440和電壓感測器450,類似於參照圖2A和2B而描述的V-I感測器200。藉由對電流感測器440之電流拾取器441的水平導體443提供額外的機械支撐,V-I感測器400的設計已相對於V-I感測器200的設計(見圖2A和2B)而獲得改善。
在V-I感測器400(如圖4所示)的設計中,支撐元件(例如,塑膠部件462和470)可比V-I感測器200(如圖 2B 所示)中的相應部件(例如,塑膠部件262)更穩健地固定水平分支443 。例如,在一實施例中,V-I感測器200中之水平分支243的兩端處的塑膠部件262為帶有一組孔洞的環件,水平分支243可被設置於其中,而在V-I感測器400的設計中,塑膠部件(例如部件462和470)包圍水平分支443的更多部分,並且可具有凸座,其緊密地配適於金屬感測器外殼465中之匹配空腔及外導體430之金屬外表面中。
如圖4所示,除了絕緣支撐件462之外還另外設置的支撐結構470(例如由塑膠或其他非導電材料製成)從所有側固持電流拾取器441的導電水平分支443。支撐結構470包括用於支撐水平分支之第一部位的第一部分和用於支撐水平分支之第二部位的第二部分,並且係經由一間隙而分隔開。相比之下,如圖2B所示,塑膠部件262(與支撐件462相似)未從下方支撐水平分支。在圖2B中,電流感測器240之電流拾取器241的水平分支243與外導體230之間存在空的空間。當電流拾取器441的垂直分支442係設置為與水平分支443相接觸時,額外的支撐防止水平導體443彎曲。此外,支撐結構470可防止垂直分支442過度緊固於水平分支443。耦合至電流感測器 441 的磁通量之變化受到電流拾取器 441 之半環幾何的形狀及面積變化所影響。因此,穩定電流拾取器441的形狀使得電流感測器440的電輸出變化減小並且改善電流量測的精度。
圖5顯示附接於RF管道510之V-I感測器500,該RF管道510包括內導體520和外導體530。電流感測器540係顯示為具有設置在感測器外殼565上的一對端子544和設置在通道560內之單匝的半環路電流拾取器541。電流拾取器541包括附接至水平分支543的兩個垂直分支542。與圖4中的V-I感測器400類似,在組裝電流感測器540期間,塑膠部件570已被用於防止電流拾取器541之垂直分支542的過度緊固和水平分支543的彎曲現象。
V-I感測器500包含減低加工複雜度的改良,從而相對於V-I感測器400(如圖4所示)而減少製造成本。藉由使用電壓感測器 550,V-I感測器 500 的設計相較於 V-I感測器 400 的設計而有所改良,在該電壓感測器 550中,使RF管道510之內導體520居中的絕緣體片555亦用於支撐電壓感測器550的導電電壓拾取環,如圖5所示。為多種目的而使用相同的塑膠部件555允許消除例如在V-I感測器400中所使用的一些塑膠部件。這降低了V-I感測器500的加工複雜度和製造成本。
藉由將電壓拾取環的直徑設計成小於外導體 530 的直徑,圖 5 中之電壓感測器 550 的導電電壓拾取環被定位得更靠近內導體 520。電壓拾取環的較小直徑增加了電壓感測器550的輸出信號強度,如上文中參照圖1B和1C所說明。
雖然在上文中參照圖1-5而描述的V-I感測器中已使用單匝的半環路電流拾取器,但應理解,在電流感測器之電流拾取器的設計中亦可使用多匝。例如,圖1-5所示的V-I感測器中的電流拾取器可包括在連接至電流感測器之兩個端子的電流拾取器之兩端之間的複數矩形匝。如上所述,亦可藉由圍繞一心軸(例如環形心軸)纏繞導線而建構多匝電流拾取器。可繞著環形絕緣材料的圓軸而將導線纏繞成線圈,該環形絕緣材料繞著垂直穿過環形中心孔的RF管道之內導體而對稱地環繞。下文中參照圖6A-7E而描述使用環形心軸的多匝電流拾取器。
應理解,心軸可能並非完全符合環形的數學定義,但其大致上係經塑形為類似環形,且具有連接線圈、與端子連接等的結構。
圖6A顯示V-I感測器600的立體圖,圖6B顯示一剖視圖,並且圖6C顯示沿著V-I感測器600之軸線A-A’的截面圖。
圖6A顯示V-I感測器600的導電感測器外殼665。電流和電壓拾取器(在圖6A中不可見)係容置在由導電感測器外殼665所包圍的空間內。在圖6A-6C中,內導體會穿過中心孔621。為了清楚起見,內導體本身係從圖6A-6C中之V-I感測器600的各個視圖中省略。RF管道的外導體會連接至導電感測器外殼665之頂部和底部處的凸緣。在圖6A中,兩個頸部區域631係顯示為與感測器外殼665之頂部和底部處的凸緣相鄰。頸部區域631的形狀和尺寸可經設計為與RF管道之外導體的形狀和尺寸相似。感測器外殼665可因此被視為外導體的延伸部,其從頸部區域631擴展成較寬的中心部分,該中心部分包括具有更大直徑之導電壁的​​頂蓋663和底蓋666。感測器外殼665和外導體形成同軸結構的外屏蔽並且可接地。如下文中參照圖6B和6C而描述的,感測器外殼665之較寬的中心部分容納穿過中心孔621之內導體周圍的環形介電空腔661。
圖6A中的立體圖亦顯示組裝在感測器外殼665外部的三個同軸電纜連接器。該三個同軸電纜連接器為V-I感測器600的三個端子。外側的一對同軸連接器645連接至電流感測器641的端子,其連接至電流拾取器,且中間的同軸連接器連接至中心端子654,其連接至電壓感測器的電壓拾取器651。電流和電壓拾取器係位於頂蓋 663 與底蓋 666 之間。
圖6B中的剖視圖和圖6C中沿切割面A-A’(圖6A中所示)的截面圖說明了V-I感測器600的內部結構。為清楚起見,將RF管道的內導體省略。中心孔621的內表面638(如圖6B和6C中頸部區域631的內部所示)形成主要同軸結構(其可被視為RF管道)之內的側壁。頸部區域631擴展為感測器外殼665之較寬直徑的頂蓋663和底蓋666,其在V-I感測器組件600之中心孔621周圍的區段中包圍介電空腔661。內壁638作為頂蓋663和底蓋666的表面627而延續,直到其被狹縫671中斷。如圖 6C 所示,介電空腔661從中心孔621的中心沿徑向位於第一主外表面627與第二主外表面628之間。第一主外表面627包括與中心孔621實體接觸的連續圓環形區域。垂直地,環形的第一主外表面627被狹縫區域671分隔成兩部分。第二主外表面628係位於比第一主外表面627之半徑更大的徑向距離處。
空腔661包括狹縫區域671。如圖6B和6C所示,狹縫區域671包括在中心孔621之圓柱形壁部的內表面627中的物理中斷,其在感測器外殼665的頂蓋663與底蓋666之間的接合處中形成間隙。表面627為中心孔621之圓柱形壁部的表面638之延伸部。當從中心孔621的中心徑向地觀看時,狹縫區域671具有與中心孔621實體接觸之絕緣環的外觀。進一步徑向地往外,狹縫區域671呈鋸齒狀,其繞過環形導電電壓拾取器651,如圖6B和6C中的鋸齒狀虛線所表示。徑向設置在與中心孔621實體接觸的部分和環形電流感測器641的內半徑之間的空腔661的連續絕緣環形區域被稱為V-I感測器600的狹縫區域671。如圖6B和6C所示,狹縫區域671形成介電屏障,其係介設於導電電壓拾取器651與電流感測器641、以及感測器外殼665之間。在徑向上位於第一主外表面627與第二主外表面628之間的區域中,空腔661(其包括絕緣狹縫區域671)將頂蓋663與底蓋666電隔離。對於比第一主外表面627更小的徑向距離處而言,頂蓋663係經由中心孔621而與底蓋666電隔離。頂蓋663係經由耦合區域629而與底蓋666電耦合,耦合區域629在徑向上超出介電空腔661的第二主外表面628。
藉著此等設計,幾乎沒有在接地的感測器外殼665中流動的RF電流可在被環形電流感測器641包圍的區域中流動。電流會沿內壁638在頸部區域631中垂直流動,然後因介電狹縫671在內表面638中產生的物理中斷而繞電流感測器641行進。歸因於狹縫671,電流會在環形電流感測器641周圍徑向地往外轉向,沿著環形介電空腔661的導電壁而橫向流動,徑向地返回,然後沿著頸部區域的內壁638而繼續垂直行進。
參照圖6B和6C,電流感測器641為位在環形介電空腔661內部且在空腔的外側部分中(亦即,較遠離中心孔621的區域)的環形結構。電流感測器641包括導電線圈647和環形心軸642。線圈647包括在環形心軸642之中心圓軸周圍纏繞的多匝連續導線。線圈647的兩個相對端可附接至同軸連接器645,如圖 6B 中所示。線圈647的導線可為裸導體、漆包導體、或塗覆有絕緣體的導體。下文中參照圖6D而更詳細地描述環形心軸642。電流感測器641係與導電感測器外殼665電絕緣。
如圖6B和6C所示,電壓感測器的導電電壓拾取器651係經塑形為類似導電環。電壓拾取器651係顯示為設置於環形介電空腔661之在環形電流感測器641與中心孔621之間的區域中。固體介電材料(例如,塑膠)可用於為電壓拾取器651提供機械支撐的部件。空腔661的狹縫區域671及介電支撐部件將導電電壓拾取器651與導電感測器外殼665電隔離。電壓拾取器651與中心端子654之間的連接係顯示於圖6B中。(同軸連接器645和中心端子654未包含在切割面A-A’中;因此在圖6C所示的截面圖中不可見。)
電壓拾取器651的功能為藉由感測RF管道之內導體與外導體之間的徑向電場而感測中心孔之中心處的內導體之RF電壓。一般而言,RF管道的外導體及導電感測器外殼665係接地的。因此,若電壓拾取器651的導電環係與RF管道的內導體相屏蔽(例如藉由放置在內導體與電壓拾取器651之間的環形介電區域中之接地金屬環),則電壓拾取器651可能無法正常工作。在過度屏蔽的情況下,電壓感測器輸出將會過弱以致於無法使用。如圖6B和6C所示,電壓拾取器651垂直地部分延伸至環形介電空腔661中,且在空腔661的狹縫區域671之上和之下。空腔係由上方的金屬頂蓋663之凹槽及下方的金屬底蓋666中之相應凹槽所形成。雖然該等凹槽的接地導電內壁係介設於電壓拾取器651的導電環與內導體之中心孔621的中心軸之間,但接地的金屬並不會完全屏蔽電壓拾取器651。存在一介電狹縫671將頂蓋663與底蓋666分隔開,如圖6B和6C所示。由於中心孔 621 中不存在內導體,因此狹縫671被視為在鏡面M(在圖6C中由虛線所表示)中形狀類似於圓柱盤的介電區域。當內導體就位時,介電狹縫671的形狀類似於圍繞內導體的環形盤。介電狹縫671中未被屏蔽的徑向電場係藉由內導體與環形電壓拾取器651的中心部分之間的電容耦合而感測。電壓拾取器651現可提供與內導體在該位置處的RF電壓成比例的可用電信號。
電流拾取線圈647的功能為藉由感測沿平行於環形心軸642之圓形中心軸的方向穿過線圈的循環磁場而感測中心孔之中心處的內導體中之RF電流。根據法拉第定律,在線圈中感生振盪電信號,其與包圍在線圈 647 之導線匝內的環形心軸 642 中的振盪磁通量成比例。根據安培定律,穿過電流感測器641的磁場之強度與穿過環形電流感測器之中心孔(類似於甜甜圈的甜甜圈洞)內包圍的平面區域之總電流成比例。如同任何同軸結構一樣,在RF管道的任何位置處通過內導體的電流完全等於外導體中的反向電流。V-I感測器600的感測器外殼665可被視為RF管道的等效外導體,其中內導體穿過中心孔621。因此,除非感測器外殼665中的RF電流被限制在由環形心軸642之外圓周所包圍的圓盤形區域之外部流動,否則電流感測器641可能無法正常工作。例如,若頂蓋663與底蓋666在距中心軸的徑向距離比環形心軸642的內半徑而更短之處發生電接觸,則導電外殼中的一部分電流可能流過該接觸部分。此電流與內導體中的電流相反,將會減小由電流感測器 641 所包圍的總電流之大小,從而減小穿過線圈 647 的磁通量。若通過由環形電流感測器 641 包圍的區域內之接觸部分的總電流過低,則磁場可能不足以在電流拾取線圈 647 中感生可用的電信號。同樣地,介電狹縫區域671防止頂蓋663與底蓋666之間在徑向距離小於環形電流感測器641的內半徑之處的電接觸,如圖6B和6C所示。
將頂蓋 663 與底蓋 666 分隔直至環形電流感測器 641 之外圓的不間斷的、連續的介電區域係藉由將電壓拾取器 651 設計為小於空腔 661 的垂直高度而實現。可藉由包含絕緣材料的支撐部件而將電壓拾取器651的導電環大致對稱地定位在頂蓋663與底蓋666之間。因此,在所有方向上,導電電壓拾取器651的緊鄰區域為絕緣材料。如上所述,此絕緣材料係位在空腔661的狹縫區域671內。電壓拾取器651的導電環上方之介電質的外形係由圖6B中的鋸齒狀虛線所描繪。可注意到,如圖6C中的虛線所示,鋸齒狀的介電狹縫區域671係存在於電壓拾取器651的上方和下方,因為電壓拾取器 651 的導電環必須與接地的感測器外殼 665 電隔離。
電流感測器的電流拾取器大體上係經由接地的導電部件而與RF電場相屏蔽。在電場強而磁場弱的應用中(例如靠近高阻抗負載),屏蔽電流拾取器係有利的。在 V-I 感測器 600 中,電流拾取線圈 647 係位於感測器外殼 665 內部的介電空腔 661 中。在從環形電流感測器641徑向往內移動至內導體時遇到的導電部件包括:第一,導電電壓拾取器651;以及第二,導電感測器外殼665的內壁之一部分,如在圖6B和6C中所見且亦在上文中描述。該等中介的導電部件可能有助於將電流感測器641與徑向電場相屏蔽。從內導體發出的一些電場線可終止在導電感測器外殼665的接地內壁上。此外,導電電壓拾取器651藉由部分地將線圈647與RF電場相屏蔽而起到雙重目的。由於電壓拾取器 651 並未對地短路,因此由導電環提供之電場的減少取決於中心端子 654 處的對地阻抗之大小。
V-I感測器600之電流感測器641和電壓感測器651兩者的結構係相對於一共用軸線而呈軸對稱,該共用軸線通過中心並且在垂直於中心孔621之平面的方向上。此外,電流感測器641和電壓拾取器651兩者共用垂直於縱軸的同一鏡面(在圖6C中由虛線M表示)。V-I感測器 600 之結構的對稱性有助於減少/消除電壓與電流之間的相位角(Φ)量測中的任何偏差。此外,因軸對稱性而引致的一階抵消效應(first order cancellation effects)使得 V-I 感測器 600 的感測器輸出信號對加工公差和組裝期間的定位誤差較不敏感。
圖6D顯示可用作圖6B中所示之環形電流感測器641的心軸642之例示性環形結構。環形心軸642在其外表面上具有連續凹槽,在該連續凹槽中可設置導線以形成線圈647(如圖6B所示)。線圈647的兩個相對端可穿過兩個開口643(如圖6D所示),並且隨後附接至一對同軸連接器645(見圖6B)。藉由穿過環形心軸642中之孔洞和開口653的待附接至中心端子654之導電元件,可將電壓拾取器651(見圖6B)連接。
環形心軸642包括塑膠和其他絕緣材料,並且可利用例如3D列印技術製造。在線圈647已被安裝在具凹槽之環形心軸642上之後,可選用性地利用例如嵌入樹脂技術將該結構包封在樹脂塗層中。樹脂包封牢固地固定捲繞的多匝電流拾取器 647。
上文中描述的V-I感測器600之整合組件(包括電流感測器641和組合的電場屏蔽及電壓拾取器651)提供緊湊的V-I感測器設計之優點。
圖7A至7E顯示在設計上類似於V-I感測器600的電流感測器組件701。與V-I感測器600不同,電流感測器組件701並未感測電壓。並且,用於電流感測器組件701之環形心軸742的設計不同於具凹槽的環形心軸642,如下文中進一步描述。
圖7A顯示使用環形電流感測器741之電流感測器組件701的立體圖,環形電流感測器741係設置在感測器外殼765的頂蓋782與底蓋784之間的介電空腔中。下文中參照圖7C-7E而進一步描述電流感測器741。頂蓋782和底蓋784可包含金屬(例如,銅或鋁)。電流感測器組件701具有中心孔710。可使用電流感測器組件701的同軸傳輸線(例如,RF管道)之內導體會穿過中心孔710。電流感測器組件701從而係圍繞同軸傳輸線的縱軸而對稱地定位。
圖7B顯示電流感測器組件701的分解圖。在圖7B中,電流感測器741已從感測器外殼765移除,以顯示介電空腔720的底半部和底蓋784的結構(下文中參照圖 7C 而進一步描述該結構的頂半部)。介電空腔 720 可被分為外介電區域 723 和內介電區域(其稱為鋸齒形介電狹縫 725)。外介電區域為底蓋784之底板中最外側凹槽上方的區域。在此最外側凹槽的外圓之外,金屬頂蓋782和金屬底蓋784可物理連接在一起並且電連接在一起,但在最外側凹槽的外圓之內,頂蓋782與底蓋784之間沒有發生電接觸。
鋸齒形介電狹縫725包括在導電脊部750兩側的兩個凹槽上方的介電區域,導電脊部750的形狀如同從底蓋784之底板突出的環部。介電空腔720的導電底板(包括導電脊部750)將會經由不間斷的連續介電區域而與介電空腔720的相應導電頂壁電氣分離和物理分離。因此,導電脊部750的頂部可突出至頂部金屬蓋782中的相應凹槽中,但不會與頂壁發生接觸。鋸齒形介電狹縫725之組合的頂部和底部因此會形成在導電脊部750周圍和上方行進的鋸齒形介電區域,如圖7B中的鋸齒形虛線所示。
圖7C顯示RF系統700的一部分,其包括穿過電流感測器組件701之中心孔710的RF管道之內導體711,電流感測器組件701係圍繞內導體711而對稱地定位。接地的外導體係物理地且電氣地從上方附接至頂蓋 782且從下方附接至底蓋 784,從而將感測器外殼 765 接地。針對內導體 711 穿過中心孔 710 的部分,感測器外殼將會用作接地的外導體,類似於V-I感測器600的感測器外殼665。
在圖7C中,電流感測器組件701係由包括電流感測器741的分解圖之剖視圖所圖示。電流感測器741包括環形心軸742、以及導電電流拾取線圈747。環形心軸742包括帶有纏繞通道的固體介電材料。可經由位於環形心軸 742 表面上不同位置處的出入孔 749 而出入纏繞通道。具有兩個孔洞的附件 743 已被設置在出入孔 749 之一的上方。線圈747的導線之兩個相對端係顯示為經由附件743中的孔洞而向上突出。環形心軸742的一部分係經切除以顯示線圈747的導線穿隧通過環形心軸742之固體介電材料中的纏繞通道。線圈747係鑲嵌在心軸742內。心軸742的設計相對於心軸642的凹槽設計而提供更大的機械支撐,從而刪除先前參照圖6D所描述的樹脂包封步驟。
在圖7C中,環形心軸742已被設置在外介電區域723和底蓋784之底板上的相應凹槽中(見圖7B)。電流感測器組件 701 的分解圖顯示環形心軸 742 的頂半部可配適於介電空腔 720 之外介電區域 723 中的頂蓋 782 中之凹槽中。導電脊部 750 可同樣地延伸至介電空腔720之鋸齒形介電狹縫725中的頂蓋782中之相鄰凹槽中。作為介設於環形電流感測器741與內導體711之間的連續環件的導電脊部750可有效地將電流感測器741與 RF電場相屏蔽。
如上所述,在由環形電流感測器741所包圍的區域中且在接地頂蓋782與接地底蓋784之間的電接觸會減小穿過電流拾取線圈747的磁場強度,並且可能過度地減弱電流信號的輸出信號。因此,導電脊部750的頂部係經由鋸齒形介電狹縫725而與頂蓋782電隔離。介電區域的鋸齒形狀係由圖7C中的鋸齒形虛線表示。
圖7D中所示的RF系統700之一部分的剖視圖顯示頂蓋782配適於底蓋784上之情況下的電流感測器組件701。介電狹縫725的鋸齒形狀係由圖7D中的鋸齒形虛線表示。
圖7E顯示電流感測器組件701之底部和穿過電流感測器組件之中心孔710的RF管道之內導體711的平面圖。包含環形心軸 742 和電流拾取線圈 747 的電流感測器741係顯示為位在底蓋 784 上方。線圈 747 的兩個相對端穿過附件 743 中的孔洞。附件743可被設置在類似於出入孔749的開口上。可看到,環形的導電脊部 750 係介設於內導體 711 與電流感測器 741 之間。介電狹縫 725係位在導電脊部 750 的兩側。
使用心軸(例如心軸642和742)允許電流感測器設計使用多匝的線圈作為電流拾取器。較大的匝數使得相應的電流感測器之靈敏度提高。提高的靈敏度允許各匝具有更小的橫截面,因此,可減小整個電流感測器的尺寸,從而允許將電流感測器設置在否則難以接近的區域中。
雖然本揭示內容中所描述之心軸的形狀類似於環形,但應理解,可使用其他的形狀,例如具有任何數量之側邊的正方形或規則多邊形。此外,可在不使用心軸的情況下實施各種形狀的拾取器。
可應用本揭示內容中所描述的實施例之各種態樣以利用各種其他製造技術製造V-I感測器。例如,可在經由通孔連接的介電質和導電材料之膜層中製造電流拾取器,例如在印刷電路板(PCB)技術中。
上述環形電流感測器的實施例提供了環面的軸對稱性、多匝電流拾取器對雜訊的較高抗擾性、及藉由緊湊結構獲得的易用性之優點。
本揭示內容中所描述的V-I感測器和量測方法提供可以低製造成本實現非常高精度之量測的實施例。藉由包含旨在降低V-I量測對加工誤差和組裝誤差的敏感度之設計特徵,可以低製造成本實現高精度。電流感測器的精度取決於加工公差,加工公差會導致決定電流拾取器之幾何的尺度(例如,矩形半環路所包圍的區域)之變化。量測電流的精度亦可能受到組裝公差的限制,例如,置放電流拾取器時的精確度,包括距縱軸之徑向距離及半環路平面與縱軸之間的角度。電壓量測的精度同樣地取決於加工公差(例如,電壓拾取環的直徑和周邊的精度)和組裝公差(例如,環部平面與縱軸之間的角度)。發明人已對 V-I 感測器信號對電流和電壓拾取器之尺度和位置的變化之敏感度進行詳細的電腦模擬,並發現對於標準機器而言可實現1%的高精度並且可實現0.005英吋之置放公差值。電腦模擬係針對在電漿處理中所使用的廣泛範圍的RF功率、RF頻率、及負載阻抗,對馬克士威方程式(Maxwell’s equations)利用經校準之3D有限元素解算器而完成。
將本申請案之例示實施例總結於此。從整體說明書及本文提出的申請專利範圍亦可理解其他實施例。
範例1. 一種射頻(RF)系統包含:一射頻(RF)功率源,其係配置以利用一RF信號對一負載進行供電;一RF管道,其包含內導體及接地的外導體,該RF管道將該RF功率源耦合至該負載;以及一電流感測器,其係與承載該RF信號之該RF管道的中心軸對齊。該電流感測器係配置以監視該RF信號的電流,且包含鄰近該RF管道設置的導電半環路,其中該導電半環路包含第一端及相對的第二端。該電流感測器係配置以在該第一端與該第二端之間輸出一輸出信號。一感測器外殼係設置於該RF管道周圍,其中該感測器外殼包含連接至該RF管道之該外導體的導電材料。一通道係設置在該感測器外殼之內且在該RF管道的該外導體之外,其中該電流感測器係設置在該通道中。該RF管道的該外導體中的一狹縫使該電流感測器暴露於磁場,該磁場係因該RF管道之該內導體中的該RF信號之電流而產生。
範例2. 範例1之系統,其中該狹縫具有沿著該外導體之內周的一長度以及平行於該RF管道之中心軸的一寬度,且其中該寬度係介於0.5 mm至5 mm之間。
範例3. 範例1或2中之一者之系統,其中,沿著與該RF管道之中心軸正交的方向,該導電半環路包含第一鏡像對稱平面及第二鏡像對稱平面,該第一鏡像對稱平面包含該RF管道的中心軸,且該第二鏡像對稱平面係正交於該第一鏡像對稱平面,且其中該導電半環路的該第一鏡像對稱平面與該RF管道之中心軸共面。
範例4. 範例1至3中之一者之系統,其中該導電半環路包含:一分支,其平行於該RF管道之軸線而對齊;一第二分支,其係耦合於該第一分支的第一端,該第二分支係正交於該第一分支;以及一第三分支,其係耦合於該第一分支的第二端,該第三分支係正交於該第一分支且平行於該第二分支。
範例5. 範例1至4中之一者之系統,更包含:絕緣支撐結構,用以支撐該導電半環路的各個分支。
範例6. 範例1至5中之一者之系統,其中該RF管道包含:一內導體,其係電耦合至該RF功率源及該負載;以及一外導體,其係電耦合至一參考電位節點。
範例7. 範例1至6中之一者之系統,更包含:第一電壓感測器,用以監視該RF信號的電壓,該電壓感測器係圍繞該RF管道而軸對稱地設置。
範例8. 範例1至7中之一者之系統,其中該第一電壓感測器包含:一導電環,其係沿著該RF管道之該外導體的內表面設置;以及一絕緣環,其係設置於該導電環與該RF管道的該外導體之間,其中該絕緣環將該導電環與該RF管道電絕緣。
範例9. 範例1至8中之一者之系統,更包含:第二電壓感測器,其係圍繞該RF管道而對稱地設置,其中該第一電壓感測器係位在該RF管道之軸線上的第一位置處,該第二電壓感測器係位在該RF管道之軸線上的第二位置處,且其中該第一位置和該電流感測器的鏡像對稱平面之間的第一距離係與該第二位置和該鏡像對稱平面之間的第二距離大約相同。
範例10. 一種射頻(RF)系統包含:一射頻(RF)功率源,其係配置以利用一RF信號對一負載進行供電;一RF管道,其包含內導體及連接至參考電位節點的外導體,該RF管道將該RF功率源耦合至該負載;以及第一電壓感測器,其係圍繞承載該RF信號之該RF管道的軸線而軸對稱地設置,該第一電壓感測器係配置以監視該RF信號的電壓。
範例11. 範例10之系統,更包含:第二電壓感測器,其係圍繞該RF管道而對稱地設置,其中該第一電壓感測器係位在該RF管道之軸線上的第一位置處,該第二電壓感測器係位在該RF管道之軸線上的第二位置處。
範例12. 範例10或11中之一者之系統,更包含:一電流感測器,其係在該RF管道周圍設置於第三位置處,該電流感測器與承載該RF信號之該RF管道的軸線對齊,該電流感測器係配置以監視該RF信號的電流。
範例13. 範例10至12中之一者之系統,該第三位置係位於該第一位置與該第二位置之間。
範例14. 範例10至13中之一者之系統,其中該電流感測器包含一導電半環路,該導電半環路包含第一端及相對的第二端,其中,沿著與該RF管道之軸線正交的方向,該導電半環路包含第一鏡像對稱平面及第二鏡像對稱平面,該第一鏡像對稱平面包含該RF管道的軸線,且該第二鏡像對稱平面係正交於該第一鏡像對稱平面,且其中該導電半環路的該第一鏡像對稱平面與該RF管道之軸線共面。
範例15. 範例10至14中之一者之系統,其中該第一位置和鏡像對稱平面之間的第一距離係與該第二位置和鏡像對稱平面之間的第二距離大約相同。
範例16. 一種量測射頻(RF)信號之方法,該方法包含:具有一電流感測器,其係與承載RF信號之RF管道的軸線對齊,該電流感測器係設置於一通道中,該通道係設置在一感測器外殼之內且在該RF管道的外導體之外,該感測器外殼係設置於該RF管道周圍,該電流感測器包含一導電半環路,該導電半環路包含第一端及相對的第二端;以及基於量測該第一端與該第二端之間的輸出信號而判定該RF信號的電流。
範例17. 範例16之方法,其中該RF管道包含電耦合至RF功率源及負載的一內導體、以及一外導體,其中該方法更包含將該外導體接地。
範例18. 範例16或17中之一者之方法,更包含:具有第一電壓感測器,其係圍繞該RF管道而軸對稱地設置;以及基於在該第一電壓感測器之端子處量測一電信號而判定該RF信號的電壓。
範例19. 範例16至18中之一者之方法,更包含:具有第二電壓感測器,其係圍繞該RF管道而對稱地設置,其中該第一電壓感測器係位在該RF管道之軸線上的第一位置處,該第二電壓感測器係位在該RF管道之軸線上的第二位置處;以及在該第二電壓感測器之端子處量測另一電信號,其中該RF信號的電壓係基於該電信號及該另一電信號而判定。
範例20. 範例16至19中之一者之方法,其中該導電半環路包含第一鏡像對稱平面及第二鏡像對稱平面,該第一鏡像對稱平面包含該RF管道的軸線,且該第二鏡像對稱平面係正交於該第一鏡像對稱平面,且其中該導電半環路的該第一鏡像對稱平面與該RF管道之軸線共面。
雖然已參照說明性實施例而描述本發明,但此實施方式章節不應被解釋為具限制性。該等說明性實施例之修改及組合及本發明之其他實施例對於參照實施方式後的熟習本技藝者而言係顯而易見的。因此,意圖使隨附的申請專利範圍任何此等修改或實施例。
10:RF功率源 20:可編程控制器 30:電漿腔室 40:匹配器 60:V-I分析儀 100:V-I感測器 110:RF管道 120:內導體 130:外導體 132:狹縫 140:電流感測器 141:電流拾取器 142:兩個垂直分支 143:水平分支 144:端子 150:電壓感測器 151:電壓拾取器 152:絕緣環 153:端子 160:通道 162:絕緣部件 165:感測器外殼 200:V-I感測器 230:外導體 232:狹縫 240:電流感測器 241:電流拾取器 242:垂直分支 243:水平分支 244:端子 250:電壓感測器 251:電壓拾取器 253:第三端子 260:通道 262:塑膠部件 265:金屬感測器外殼 300:V-I感測器 330:外導體 340:電流感測器 341:電流拾取器 342:兩個垂直分支 343:水平分支 344:第二端子 350:第一電壓感測器 355:第二電壓感測器 360:通道 362:絕緣部件 365:感測器外殼 400:V-I感測器 410:RF管道 420:內導體 430:外導體 440:電流感測器 441:電流拾取器 442:垂直分支 443:水平分支 450:電壓感測器 460:通道 462:塑膠部件 465:金屬感測器外殼 470:塑膠部件 500:V-I感測器 510:RF管道 520:內導體 530:外導體 540:電流感測器 541:電流拾取器 542:垂直分支 543:水平分支 544:一對端子 550:電壓感測器 555:絕緣體片 560:通道 565:感測器外殼 570:塑膠部件 600:V-I感測器 621:中心孔 627:第一主外表面 628:第二主外表面 629:耦合區域 631:頸部區域 638:內表面 641:電流感測器 642:心軸 643:開口 645:同軸連接器 647:線圈 651:電壓拾取器 653:開口 654:中心端子 661:介電空腔 663:頂蓋 665:感測器外殼 666:底蓋 671:狹縫區域 700:RF系統 701:電流感測器組件 710:中心孔 711:內導體 720:介電空腔 723:外介電區域 725:介電狹縫 741:電流感測器 742:環形心軸 743:附件 747:線圈 749:出入孔 750:導電脊部 765:感測器外殼 782:頂蓋 784:底蓋
為了更完整地理解本發明及其優點,現結合附圖而參照以下描述,其中:
圖1A中顯示用於半導體IC製造的通用電漿處理系統之方塊圖;
依據一實施例,圖1B顯示用於RF管道之V-I感測器的橫剖面圖;
依據一實施例,圖1C顯示用於RF管道之V-I感測器之電流感測器的俯視截面圖;
依據一實施例,圖2A顯示用於RF管道之V-I感測器的立體圖;
圖2B顯示圖2A所示之V-I感測器的剖視圖;
依據某些實施例,圖3顯示用於RF管道之V-I感測器的剖視圖;
依據某些實施例,圖4顯示用於RF管道之V-I感測器的剖視圖;
依據某些實施例,圖5顯示用於RF管道之V-I感測器的剖視圖;
依據某些實施例,圖6A顯示用於RF管道之V-I感測器的立體圖;
圖6B顯示圖6A所示之V-I感測器的剖視圖;
圖6C顯示圖6A所示之V-I感測器的橫剖面圖;
依據一實施例,圖6D顯示圖6A所示之V-I感測器之電流感測器元件的立體圖;
依據某些實施例,圖7A顯示用於RF管道之電流感測器組件的立體圖;
圖7B顯示圖7A所示之電流感測器組件的分解圖;
圖7C顯示圖7A之電流感測器組件連同RF管道之RF導體之剖視圖的分解圖;
依據某些實施例,圖7D顯示圖7C所示之帶有RF管道之RF導體的電流感測器組件之剖視圖;以及
圖7E顯示圖7C所示之帶有RF導體的電流感測器組件之底部的平面圖。
圖1A至圖7E中所有的三位數參考數字中的最後兩位數總是代表相似的元件。
10:RF功率源
20:可編程控制器
30:電漿腔室
40:匹配器
60:V-I分析儀
100:V-I感測器
110:RF管道

Claims (20)

  1. 一種射頻(RF)系統,包含: 一射頻(RF)功率源,其係配置以利用一RF信號對一負載進行供電; 一RF管道,其包含內導體及接地的外導體,該RF管道將該RF功率源耦合至該負載; 一電流感測器,其係與承載該RF信號之該RF管道的中心軸對齊,該電流感測器係配置以監視該RF信號的電流,該電流感測器包含鄰近該RF管道設置的導電半環路,該導電半環路包含第一端及相對的第二端,該電流感測器係配置以在該第一端與該第二端之間輸出一輸出信號; 一感測器外殼,其係設置於該RF管道周圍,其中該感測器外殼包含連接至該RF管道之該外導體的導電材料; 一通道,其係設置在該感測器外殼之內且在該RF管道的該外導體之外,其中該電流感測器係設置在該通道中;以及 一狹縫,其位在該RF管道的該外導體中,用以使該電流感測器暴露於磁場,該磁場係因該RF管道之該內導體中的該RF信號之電流而產生。
  2. 如請求項1之射頻(RF)系統,其中該狹縫具有沿著該外導體之內周的一長度以及平行於該RF管道之中心軸的一寬度,且其中該寬度係介於0.5 mm至5 mm之間。
  3. 如請求項1之射頻(RF)系統,其中,沿著與該RF管道之中心軸正交的方向,該導電半環路包含第一鏡像對稱平面及第二鏡像對稱平面,該第一鏡像對稱平面包含該RF管道的中心軸,且該第二鏡像對稱平面係正交於該第一鏡像對稱平面,且其中該導電半環路的該第一鏡像對稱平面與該RF管道之中心軸共面。
  4. 如請求項1之射頻(RF)系統,其中該導電半環路包含: 一第一分支,其平行於該RF管道之中心軸而定向; 一第二分支,其係耦合於該第一分支的第一端,該第二分支係正交於該第一分支;以及 一第三分支,其係耦合於該第一分支的第二端,該第三分支係正交於該第一分支且平行於該第二分支。
  5. 如請求項4之射頻(RF)系統,更包含: 絕緣支撐結構,用以支撐該導電半環路的各個分支。
  6. 如請求項1之射頻(RF)系統,其中該RF管道包含: 一內導體,其係電耦合至該RF功率源及該負載;以及 一外導體,其係電耦合至一參考電位節點。
  7. 如請求項1之射頻(RF)系統,更包含: 第一電壓感測器,用以監視該RF信號的電壓,該第一電壓感測器係圍繞該RF管道而軸對稱地設置。
  8. 如請求項7之射頻(RF)系統,其中該第一電壓感測器包含: 一導電環,其係沿著該RF管道之該外導體的內表面設置;以及 一絕緣環,其係設置於該導電環與該RF管道的該外導體之間,其中該絕緣環將該導電環與該RF管道電絕緣。
  9. 如請求項8之射頻(RF)系統,更包含: 第二電壓感測器,其係圍繞該RF管道而對稱地設置,其中該第一電壓感測器係位在該RF管道之中心軸上的第一位置處,該第二電壓感測器係位在該RF管道之中心軸上的第二位置處,且其中該第一位置和該電流感測器的鏡像對稱平面之間的第一距離係與該第二位置和該鏡像對稱平面之間的第二距離大約相同。
  10. 一種射頻(RF)系統,包含: 一射頻(RF)功率源,其係配置以利用一RF信號對一負載進行供電; 一RF管道,其包含內導體及連接至參考電位節點的外導體,該RF管道將該RF功率源耦合至該負載;以及 第一電壓感測器,其係圍繞承載該RF信號之該RF管道的軸線而軸對稱地設置,該第一電壓感測器係配置以監視該RF信號的電壓。
  11. 如請求項10之射頻(RF)系統,更包含: 第二電壓感測器,其係圍繞該RF管道而對稱地設置,其中該第一電壓感測器係位在該RF管道之軸線上的第一位置處,該第二電壓感測器係位在該RF管道之軸線上的第二位置處。
  12. 如請求項11之射頻(RF)系統,更包含: 一電流感測器,其係在該RF管道周圍設置於第三位置處,該電流感測器與承載該RF信號之該RF管道的軸線對齊,該電流感測器係配置以監視該RF信號的電流。
  13. 如請求項12之射頻(RF)系統,其中該第三位置係位於該第一位置與該第二位置之間。
  14. 如請求項12之射頻(RF)系統,其中該電流感測器包含一導電半環路,該導電半環路包含第一端及相對的第二端,其中,沿著與該RF管道之軸線正交的方向,該導電半環路包含第一鏡像對稱平面及第二鏡像對稱平面,該第一鏡像對稱平面包含該RF管道的軸線,且該第二鏡像對稱平面係正交於該第一鏡像對稱平面,且其中該導電半環路的該第一鏡像對稱平面與該RF管道之軸線共面。
  15. 如請求項14之射頻(RF)系統,其中該第一位置和該第二鏡像對稱平面之間的第一距離係與該第二位置和該第二鏡像對稱平面之間的第二距離大約相同。
  16. 一種量測射頻(RF)信號之方法,包含: 將一電流感測器與承載RF信號之RF管道的軸線對齊,該電流感測器係設置於一通道中,該通道係設置在一感測器外殼之內且在該RF管道的外導體之外,該感測器外殼係設置於該RF管道周圍,該電流感測器包含一導電半環路,該導電半環路包含第一端及相對的第二端;以及 基於量測該第一端與該第二端之間的輸出信號而判定該RF信號的電流。
  17. 如請求項16之量測射頻(RF)信號之方法,其中該RF管道包含電耦合至RF功率源及負載的一內導體、以及一外導體,其中該方法更包含將該外導體接地。
  18. 如請求項16之量測射頻(RF)信號之方法,更包含: 將第一電壓感測器軸對稱地設置於該RF管道周圍;以及 基於在該第一電壓感測器之端子處量測一電信號而判定該RF信號的電壓。
  19. 如請求項18之量測射頻(RF)信號之方法,更包含: 將第二電壓感測器對稱地設置於該RF管道周圍,其中該第一電壓感測器係位在該RF管道之軸線上的第一位置處,該第二電壓感測器係位在該RF管道之軸線上的第二位置處;以及 在該第二電壓感測器之端子處量測另一電信號,其中該RF信號的電壓係基於該電信號及該另一電信號而判定。
  20. 如請求項19之量測射頻(RF)信號之方法,其中該導電半環路包含第一鏡像對稱平面及第二鏡像對稱平面,該第一鏡像對稱平面包含該RF管道的軸線,且該第二鏡像對稱平面係正交於該第一鏡像對稱平面,且其中該導電半環路的該第一鏡像對稱平面與該RF管道之軸線共面。
TW110123041A 2020-06-26 2021-06-24 Rf電壓及電流(v-i)感測器與測量方法 TW202215908A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/913,526 US11600474B2 (en) 2020-06-26 2020-06-26 RF voltage and current (V-I) sensors and measurement methods
US16/913,526 2020-06-26

Publications (1)

Publication Number Publication Date
TW202215908A true TW202215908A (zh) 2022-04-16

Family

ID=79031339

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123041A TW202215908A (zh) 2020-06-26 2021-06-24 Rf電壓及電流(v-i)感測器與測量方法

Country Status (6)

Country Link
US (1) US11600474B2 (zh)
JP (1) JP2023534624A (zh)
KR (1) KR20230027087A (zh)
CN (1) CN115715421A (zh)
TW (1) TW202215908A (zh)
WO (1) WO2021262513A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806771B (zh) * 2022-09-16 2023-06-21 英業達股份有限公司 抗靜電型電子裝置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11817296B2 (en) * 2020-06-26 2023-11-14 Tokyo Electron Limited RF voltage and current (V-I) sensors and measurement methods
US20230358790A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Rf measurement from a transmission line sensor

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834931A (en) 1996-10-31 1998-11-10 Sematech, Inc. RF current sensor
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
TWI315091B (en) 2001-12-31 2009-09-21 Tokyo Electron Limite Method of fault detection for material process system
WO2003075300A1 (en) 2002-02-28 2003-09-12 Tokyo Electron Limited Integrated vi probe
US7102345B2 (en) 2002-02-28 2006-09-05 Tokyo Electron Limited Portable VI probe
TWI328164B (en) 2002-05-29 2010-08-01 Tokyo Electron Ltd Method and apparatus for monitoring tool performance
US7084369B2 (en) 2002-08-20 2006-08-01 Tokyo Electron Limited Harmonic multiplexer
US7403764B2 (en) * 2004-11-30 2008-07-22 Turner Terry R RF power delivery diagnostic system
KR101306612B1 (ko) * 2005-06-10 2013-09-11 버드 테크놀로지 그룹 인크. 반도체 플라즈마 발생 시스템들에서 전력 흐름을 분석하는시스템 및 방법
KR20100067413A (ko) 2008-12-11 2010-06-21 삼성전자주식회사 비접촉식 플라즈마 모니터링 장치, 플라즈마 처리 장치 및 비접촉식 플라즈마 모니터링 방법
US8847711B2 (en) 2012-08-07 2014-09-30 Harris Corporation RF coaxial transmission line having a two-piece rigid outer conductor for a wellbore and related methods
US10621265B2 (en) * 2013-05-09 2020-04-14 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion
KR101632603B1 (ko) 2014-09-30 2016-06-24 세메스 주식회사 전류 측정 센서 및 플라즈마 기판 처리 장치
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
KR101917523B1 (ko) 2017-06-27 2018-11-09 명지대학교 산학협력단 신호 출력 감도 조절이 가능한 알에프 센서
US11282679B2 (en) * 2019-05-22 2022-03-22 Samsung Electronics Co., Ltd. Plasma control apparatus and plasma processing system including the same
JP7253985B2 (ja) * 2019-06-12 2023-04-07 東京エレクトロン株式会社 マイクロ波供給機構、プラズマ処理装置およびプラズマ処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806771B (zh) * 2022-09-16 2023-06-21 英業達股份有限公司 抗靜電型電子裝置

Also Published As

Publication number Publication date
KR20230027087A (ko) 2023-02-27
WO2021262513A1 (en) 2021-12-30
US20210407775A1 (en) 2021-12-30
US11600474B2 (en) 2023-03-07
CN115715421A (zh) 2023-02-24
JP2023534624A (ja) 2023-08-10

Similar Documents

Publication Publication Date Title
TW202215908A (zh) Rf電壓及電流(v-i)感測器與測量方法
US5867020A (en) Capacitively coupled RF voltage probe having optimized flux linkage
US5793214A (en) Electromagnetic inductive probe
US8040141B2 (en) Orthogonal radio frequency voltage/current sensor with high dynamic range
US5834931A (en) RF current sensor
JPS62128105A (ja) 核磁気共鳴検査用表面コイル
US20240038496A1 (en) RF Voltage and Current (V-I) Sensors and Measurement Methods
US7482814B2 (en) Electric/magnetic field sensor
US6239587B1 (en) Probe for monitoring radio frequency voltage and current
US20160091534A1 (en) Current sensor
US20130134964A1 (en) Coil comprising a winding comprising a multi-axial cable
JP3583276B2 (ja) 近磁界プローブ及び近磁界プローブユニット及び近磁界プローブアレー及び磁界計測システム
KR20230162594A (ko) 전자기장 감지 디바이스
US20070075908A1 (en) Electromagnetic measurement probe and method
CN110045171B (zh) 射频电压电流复合探头
US20210251067A1 (en) Probe for Measuring Plasma Parameters
JPH06100629B2 (ja) 磁界測定プロ−ブ
US20230132660A1 (en) Radio frequency (rf) system with embedded rf signal pickups
CN110531285B (zh) 一种多间隙瞬态磁场传感器
JP3516766B2 (ja) 電磁誘導式プローブ
Schill Jr et al. Electric/magnetic field sensor
JPH0432231A (ja) 成膜装置の高周波電圧検出装置