JP2023534624A - Rf電圧及び電流(v-i)センサ並びに測定方法 - Google Patents

Rf電圧及び電流(v-i)センサ並びに測定方法 Download PDF

Info

Publication number
JP2023534624A
JP2023534624A JP2022580257A JP2022580257A JP2023534624A JP 2023534624 A JP2023534624 A JP 2023534624A JP 2022580257 A JP2022580257 A JP 2022580257A JP 2022580257 A JP2022580257 A JP 2022580257A JP 2023534624 A JP2023534624 A JP 2023534624A
Authority
JP
Japan
Prior art keywords
tube
sensor
current
voltage
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022580257A
Other languages
English (en)
Other versions
JPWO2021262513A5 (ja
Inventor
レーン,バートン
ファン,メリット
陽平 山澤
モーゼス,ジャスティン
デュボーズ,チェルシー
ヒュンメル,マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2023534624A publication Critical patent/JP2023534624A/ja
Publication of JPWO2021262513A5 publication Critical patent/JPWO2021262513A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/24Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
    • H01J37/241High voltage power supply or regulation circuits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Measuring Instrument Details And Bridges, And Automatic Balancing Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Measurement Of Current Or Voltage (AREA)

Abstract

無線周波数(RF)システムは、負荷にRF信号で給電するように構成されたRF電源と、RF電源を負荷に結合する接地に接続された外側導体と内側導体とを含むRF管と、RF信号を搬送するRF管の中心軸線に位置合わせされた電流センサとを含む。センサ筐体は、RF管の周囲に配置され、センサ筐体は、RF管の外側導体に接続された導電性材料を含む。ギャラリは、センサ筐体内に且つRF管の外側導体の外側に配置され、電流センサは、ギャラリ内に配置される。RF管の外側導体におけるスリットは、RF管の内側導体内のRF信号の電流によって電流センサを磁界にさらす。

Description

関連出願の相互参照
本出願は、2020年6月26日に出願された同時係属中の米国特許出願第16/913,545号明細書(代理人整理番号:200391US01)、及び2020年6月26日に提出された米国特許出願第16/913,548号明細書(代理人整理番号:190883US01)に関連しており、また、2020年6月26日に出願された米国特許出願第16/913,526号明細書(代理人整理番号:190907US01)の優先権を主張するものであって、これらの出願は参照により本明細書に組み込まれる。
本発明は、概してプラズマ処理システム及び方法に関し、特定の実施形態では、無線周波数(RF)電圧及び電流センサ並びに測定方法に関する。
概して、半導体集積回路(IC)の進歩は、低コストで高機能化を求める要求によって推進される。低コストでの高機能化は、主に小型化を通じて構成要素の実装密度を高めることによって実現される。ICは、導電線、接点、及びビアのマルチレベルシステムによって相互接続された電子部品(例えば、トランジスタ、抵抗器、コンデンサ)のネットワークである。回路網の素子は、化学気相成長(CVD)、フォトリソグラフィ、及びエッチングなどの、プロセスステップを含む製造フローを使用して、半導体基板上に誘電体、導電体、及び半導体材料の層を順次堆積させパターニングすることによって共に集積される。回路素子の実装密度は、液浸リソグラフィ及び多重パターニングなどの技術革新により、最小フィーチャサイズを一定期間ごと縮小することによって高められてきた。更なる小型化は、3次元(3D)デバイス構造(例えば、FINFET及びスタックトキャパシタメモリセル)を用いてデバイスのフットプリントを低減することによって達成される。
反応性イオンエッチング(RIE)、プラズマ励起CVD(PECVD)、プラズマ励起原子層エッチング及び堆積(PEALE及びPEALD)、並びに周期的プラズマ処理(例えば、堆積とエッチングとを交互に行うサイクル)などのプラズマ処理が、半導体ICの製造に使用される堆積及びパターニングステップで通常使用される。しかしながら、原子スケールの寸法で制御された構造的フィーチャに関する数ナノメートルまで縮小されたフィーチャサイズの出現に伴って、高度なIC設計のための製造に適したプラズマ技術の実現を求める要求が高まっている。製造に適したプラズマ処理は、プラズマエッチング(例えば、側壁角度、異方性、及びエッチング停止層に対する選択性)とプラズマ蒸着(例えば、共形性、アスペクト比の選択性、及びボトムアップパターニングの面積選択性)の両方のための精密に制御されたフィーチャ、及び幅広の(例えば、300mmの)ウェハ全体にわたる均一性と共に、精密な寸法(例えば、線幅、エッチング深さ、膜厚)を有する構造を提供することが期待される。IC製造で使用されるプラズマ処理の多くでは、プラズマは、RF電力によって維持される。プラズマ特性は、処理チャンバに送達されるRF電力によって影響を受けるので、プラズマ処理の精密な制御には、目立たない正確なRF信号の革新的な計測が必要となる場合がある。
本発明の実施形態に従って、無線周波数(RF)システムは、負荷にRF信号で給電するように構成された無線周波数(RF)電源と、RF電源を負荷に結合する接地に接続された外側導体と内側導体とを含むRF管と、RF信号を搬送するRF管の中心軸線に位置合わせされた電流センサとを含む。電流センサは、RF信号の電流を監視するように構成され、RF管に近接して配置された導電性半ループを含み、導電性半ループは、第1の端部と反対側の第2の端部とを含む。電流センサは、第1の端部と第2の端部との間に出力信号を出力するように構成される。センサ筐体は、RF管の周囲に配置され、センサ筐体は、RF管の外側導体に接続された導電性材料を含む。ギャラリは、センサ筐体内に且つRF管の外側導体の外側に配置され、電流センサは、ギャラリ内に配置される。RF管の外側導体におけるスリットは、RF管の内側導体内のRF信号の電流によって電流センサを磁界にさらす。
本発明の実施形態に従って、無線周波数(RF)システムは、負荷にRF信号で給電するように構成された無線周波数(RF)電源と、RF電源を負荷に結合する基準電位ノードに接続された外側導体と内側導体とを含むRF管と、RF信号を搬送するRF管の軸線を中心として軸対称に配置された第1の電圧センサであって、第1の電圧センサは、RF信号の電圧を監視するように構成される、第1の電圧センサとを含む。
本発明の実施形態に従って、無線周波数(RF)信号を測定する方法は、RF信号を搬送するRF管の軸線に位置合わせされた電流センサを有することであって、電流センサは、センサ筐体内に且つRF管の外側導体の外側に配置されたギャラリ内に配置される、有することを含む。センサ筐体は、RF管の周囲に配置される。電流センサは、導電性半ループを含み、導電性半ループは、第1の端部と反対側の第2の端部とを含む。本方法は、第1の端部と第2の端部との間の出力信号を測定することに基づいて、RF信号の電流を特定することを含む。
本発明及びその利点をより完全に理解するために、ここで、添付図面と併せて読まれるべき以下の説明を参照する。
半導体IC製造用の汎用プラズマ処理システムのブロック図を示す。 実施形態による、RF管用のV-Iセンサの断面図を示す。 実施形態による、RF管用のV-Iセンサの電流センサの水平断面図を示す。 実施形態による、RF管用のV-Iセンサの斜視図を示す。 図2Aに示すV-Iセンサの切欠図を示す。 いくつかの実施形態による、RF管用のV-Iセンサの切欠図を示す。 いくつかの実施形態による、RF管用のV-Iセンサの切欠図を示す。 いくつかの実施形態による、RF管用のV-Iセンサの切欠図を示す。 いくつかの実施形態による、RF管用のV-Iセンサの斜視図を示す。 図6Aに示すV-Iセンサの切欠図を示す。 図6Aに示すV-Iセンサの断面図を示す。 一実施形態による、図6Aに示すV-Iセンサの電流センサ素子の斜視図を示す。 いくつかの実施形態による、RF管用の電流センサアセンブリの斜視図を示す。 図7Aに示す電流センサアセンブリの分解図を示す。 RF管用のRF導体を伴う、図7Aの電流センサアセンブリの切欠分解図を示す。 いくつかの実施形態による、図7Cに示すRF管用のRF導体を有する電流センサアセンブリの切欠図を示す。 図7Cに示す、RF導体を有する電流センサアセンブリの底部分の平面図を示す。
図1A~図7Eにおける全ての3桁の参照番号の最後の2桁は、常に同様の構成要素を表す。
本開示の実施形態の作製及び使用について、以下で詳細に述べる。しかしながら、本明細書に開示する概念は種々の具体的な文脈で具現化することができ、本明細書で述べる具体的な実施形態は例示的なものに過ぎず、特許請求の範囲を限定する役割を果たすものではないことを認識すべきである。
本開示では、電圧(V)、電流(I)、及び無線周波数(RF)電気信号の電圧と電流の位相角(Φ)を正確に測定するために使用されるセンサ設計及び方法を説明する。本明細書で説明する電圧-電流(V-I)センサの実施形態は、RF管と呼ばれる、同軸伝送線路に沿ったRF電磁波の電界及び磁界のプロービングに適用されている。
半導体製造におけるプラズマ処理(例えば、プラズマエッチング及び堆積プロセスでは、多くの場合、プラズマを維持するためにRF電力が使用される。当業者に知られているように、プラズマチャンバ内でプラズマを維持するRF信号は、プラズマ特性に影響を及ぼす。そして、プラズマ特性(例えば、電子密度、プラズマシースの厚さ、イオンフラックスとラジカルフラックスとの比など)は、プラズマ処理のエッチング及び/又は堆積特性に影響を及ぼす。
様々な実施形態において、本出願は、RF管を通るRF信号の電流及び電圧を測定するためのRF V-Iセンサについて説明する。RF管という用語は、ここでは、プラズマ反応器(プラズマ処理システムと呼ばれる)の一部分から別の部分にRF電力を搬送する、同軸伝送線路を指す。RF管を通して伝送される、波形I及びVは、位置(x)及び時間(t)の関数I(x,t)及びV(x,t)である。単一の周波数成分fが存在する場合、電流及び電圧は、Re(I(x)ejωt)及びRe(V(x)ej(ωt+Φ))として簡潔に記述された正弦波形によって表され、ここで、ω=2πfであり、j=-1であり、Reは複素関数の実部である。上述したように、I及びVは各々大きさを有し、I及びVの各々は、位相角Φによって他方から区別される。概して、波形、I及びVは、複数の周波数成分を含み得る。電圧V(x,t)は、接地された外側導体(又はシールド)に対するRF管(又は同軸伝送線路)の内側導体(又はコア)の電位を指し、接地は、RFシステムの基準電位を表す。
様々な実施形態で説明するような、RF V-Iセンサは、幾何学的対称性と差動測定法とを使用して、センサの位置において高精度でV及びIを提供し得る。いくつかのV-Iセンサは、RF管に沿った様々な位置で使用され、そこでRF信号をプローブし得る。V及びIは位置xの関数であるので、各V-Iセンサは、それぞれの所望の測定位置のできるだけ近傍に位置決めされ得る。例えば、プラズマチャンバに提供されるRF信号の電圧及び電流の正確な測定値を用いてプラズマ処理を監視し制御することが望ましい場合、その目的で使用されるV-Iセンサは、RF信号がプラズマチャンバ内に入る場所の近傍に位置決めされ得る。本明細書で説明する様々な実施形態は、コストを増加させずにV-Iセンサの測定精度及び感度を高め、それにより、同じコストでのより優れた再現性とより厳密なプロセス制御を伴うプラズマ処理を提供する向上した能力をプラズマ処理システムに与える。追加的に、RFプローブは、生産フローにおけるプラズマ処理のための確立されたレシピの時間と費用がかかる再作成なしに、改良されたV-Iセンサを既存のプラズマ処理装置に容易に後付けできるように、目立たないように設計される。
本開示では、まず、プラズマ処理システムでのV-Iセンサの使用について、図1Aに示すブロック図を参照して説明する。次に、プラズマ処理システムにおけるV-Iセンサの基本構造及び動作原理について、実施形態による図1Bに示す概略図を参照して説明する。次いで、V-Iセンサ設計(図1Bの概略図における基本構造と同様の設計)の革新的な態様の一部について、図2A、図2B、図3、図4及び図5に示すV-Iセンサの例示的な実施形態を参照して説明する。
図1Bを参照して以下で詳細に説明するように、図2A、図2B、図3、図4、及び図5の実施形態における電圧センサは、軸対称性を有し、RF管の内側に配置された導電性リングであって、電流の流れ方向に平行に延在する長手方向中心軸線の周囲にループを形成する導電性リングとして設計される。軸対称設計によってもたらされる利点については、図2Bを参照して後述で説明されている。
例示的な実施形態におけるそれぞれの電流センサは、RF管の外側周囲のスリーブ又はギャラリ内に位置する。ギャラリは、センサ筐体内側の空洞である。センサ筐体は、ギャラリを覆う導電性壁を有し、RF管の外側導体に接続され、それにより、接地に接続され得る。図1Bを参照して以下で詳細に説明するように、電流センサは、2つの開放端を有する単一の導電性ループ(半ループと呼ばれる)であり、ループは、例えば、同軸ケーブルによって電流センサに接続された外部V-I解析装置の構成要素を使用して完成される。V-I解析装置は、電流及び電圧センサから受信した生信号を解析する測定システムである。以下で更に説明するように、電流センサの両端部は、正確な解析のための差動測定を行うために、V-I解析装置に接続され得る。しかしながら、精度を犠牲にしてシステムを簡素化するために、電流センサの端部の一方のみがV-I解析装置に接続され、他方の端部は、接地に対する負荷インピーダンスによって(例えば、50オームの負荷によって)終端される又は接地に短絡される。接地接続は、センサ筐体への直接接続であり得る。この構成では、ギャラリの外側接地カバーは、半ループの2つの端部間の回路内にあり、ループを完成させるのに大きく寄与する。本開示で説明する電流センサの実施形態を使用する別のRFシステムでは、閉ループ全体が、適切なインピーダンス整合及び1つ又は複数の外部信号接続を伴ってギャラリ内に収容され得る。
図1B~図5を参照して説明する例示的な実施形態では、半ループ電流センサの電流ループは、3つの導電素子を含む1つの導電性巻回を有する。電流センサの半ループの3つの導電素子は、RF管の中心軸線に平行な向きに配置された水平分岐部によって接続された2つの同一の垂直分岐部である。よって、本明細書で述べる電流センサ設計は、RF管の中心軸線に垂直な鏡面であって、2つの垂直分岐部の中間を通る鏡面に関して鏡面対称性を有する。鏡映対称性を有する利点については、図2Bを参照して後述で説明されている。しかしながら、一巻回半ループ電流センサは、RF管の片側に位置しており、軸対称性に欠けている。軸対称の多巻回半ループ電流センサ設計について、図6A~図7Eを参照して説明し、実施形態は、円環状マンドレルを利用して、多巻回電流ピックアップを機械的に支持する。
本開示で説明するV-Iセンサ設計の革新的な態様は、いくつかの利点をもたらし得る。例えば、電磁波の電界及び磁界の非侵入プロービングが、RF管内のRF信号の無視できるほど僅かな妨害しか伴わないV-I測定を行うことを可能にするために使用されている。また、幾何学的対称性及び差動測定技術は、有利には、構成要素を形成するために使用されるツールの標準公差に起因する加工公差と、V-Iセンサの組み立て時の位置決め誤差とに対する感度の低い測定であり得る測定を提供するために、センサ設計において利用され得る。加えて、いくつかの構造強化技術が利用されており、例えば、V-Iセンサの素子の二重配置が、幾何学的対称性を高めるために行われ得、追加の機械的支持を与えるように設計された部分が、組み立て時に機械的応力によって生じる重要なセンサ部品の僅かな形状変形でさえも低減/抑制するために配置され得る。したがって、本開示で説明する実施形態を使用することによって、より厳密な加工公差によるコストの増加を招かずに、精度の向上したRF V-I測定が実現され得る。
図1Aは、半導体IC製造に使用され得る汎用プラズマ処理システムのブロック図である。
ここで図1Aを参照すると、プラズマ処理システムでは、RF信号は、高電力RF電源10、例えば、RF電力増幅器に結合されたRF発振器によって生成され得る。RF信号波形(例えば、周波数、振幅、パルス状/連続など)は、プログラマブルコントローラ20及び関連する電子回路によって調整され得る。図1Aにプラズマチャンバ30として示すブロック内のプラズマに結合された電極にRF電力をもたらすために、RF信号が、導管、例えば、RF管110を通して伝送され得る。
当業者には知られているように、RF管110内のRF信号は、進行するRF電磁波の組み合わせとして表され得る。RF電源10の出力インピーダンスと負荷インピーダンスとのインピーダンス不整合は、RF電源10から負荷に向かって進むRF電力の一部が反射してRF電源10へ逆戻りする結果をもたらす。このような望ましくない反射を抑制するために、整合ネットワークを含む整合器40が、図1Aに示すように、RF電源10とプラズマチャンバ30との間のRF信号経路に挿入され得る。整合ネットワークの入射電力に対する反射電力の比率は、整合器40によって(例えば、V-Iセンサ及び解析装置を使用して)検知され、プログラマブルコントローラ20に提供され得る。プログラマブルコントローラ20は、例えば、フィードバック制御ループ(整合器40とプログラマブルコントローラ20との間の2つの矢印によって図1Aに示す)を使用して整合ネットワークのインピーダンスを調整することによって、反射して整合ネットワークからRF電源10へ逆戻りするRF電力を低減し得る。
プラズマは、例えば、RF管110によってRF電源10からプラズマチャンバ30の電極に送達されるRF電力を使用して、プラズマチャンバ30内で維持され得る。図1Aに示すように、V-Iセンサ100は、電極に提供されるRF信号の電流及び電圧を検知するために使用され得る。様々なプラズマチャンバ設計において、電極は、チャンバ壁の内側に位置し得る、例えば、容量結合プラズマ(CCP)チャンバ内の円盤状電極又はチャンバ壁の外側のアンテナであり得る。例えば、誘導結合プラズマ(ICP)チャンバ内において、アンテナは、誘電体窓の上方に配置された導電性平面螺旋状物、又は誘電体円筒の周囲に巻き付けられた導電性螺旋線であり得る。図1Aにプラズマチャンバ30として示すブロックは、プラズマに結合された電極及びアンテナを含む。簡略化するために、本開示では、電極という用語は、電極及び/又はアンテナを指す。プラズマチャンバ30は、少なくとも2つの電極、例えば、それらの間のプラズマに電気的に結合された上部電極及び下部電極を含む。いくつかの設計では、下部電極が基板ホルダでもあることが有利である場合がある。
図1Aのブロック図は、単一のRF電源10からプラズマチャンバ30にRF電力を送達するRF管110を示しているが、2つ以上の電極にRF電力を提供する2つ以上のRF電源が存在する場合がある。例えば、それぞれのRF管と、整合器と、下部電極に提供されるRF信号の電圧及び電流を検知するためにプラズマチャンバの近傍に位置決めされたV-Iセンサとを使用して、RF電源10は、プラズマチャンバ30の電極(例えば、上部電極)にRF電力を提供し得、第2のRFバイアス電源は、プラズマチャンバ30の別の電極(例えば、下部電極)にRFバイアス電力を供給し得る。
図1Aでは、RF信号を受信する電極の近傍でRF信号の電流及び電圧を検知して測定するために使用されるV-Iセンサ100は、V-I解析装置60に接続される。V-I解析装置60は、矢印で示すように、V(t)及びI(t)を反映する、生の出力波形をV-Iセンサ100から受信し得る。V-I解析装置60は、生波形から様々なRF信号特性を抽出し得る信号プロセッサ、例えば、デジタル信号プロセッサであり得る。様々なRF信号特性は、大きさ|V|、|I|と、VとIの位相角(Φ)と、ピークRF電力|V||I|cosΦとを含み得る。加えて、複数の周波数成分を抽出するために、高調波解析が行われ得る。測定されたRF信号特性は、自由電子及びイオン密度並びにイオン/ラジカルフラックス及びエネルギーなどのプラズマ特性及びプラズマインピーダンスを反映し得る。V-I解析装置60は、例えば、周波数(例えば、約0.4MHz~約1GHz)及び電力(例えば、約0.015kW~約30kW)の範囲にわたるRF較正信号、標準負荷インピーダンス(例えば、短絡、開回路、50オームなど)、並びにベクトルネットワークアナライザ(VNA)を使用して再較正され得る。
図1Aの矢印で示すように、プラズマ特性を反映する様々なRF信号特性が、V-Iセンサ100及びV-I解析装置60によってプログラマブルコントローラ20に提供され、例えば、プロセス監視又は終点検出のために使用され得る。加えて、プログラマブルコントローラ20は、受信したRF測定値をプロセス制御のために使用し得る。当業者に知られているように、プラズマ特性は、プラズマに結合されたRF信号を変化させることによって変化させることができる。プログラマブルコントローラ20は、RF測定からの情報を使用して、例えば、RF電源10の設定を調整することによって、又は整合器40の整合ネットワークのインピーダンスを調整することによって、プラズマ処理を制御し得る。
プラズマのパラメータを推定して制御するためにV-Iセンサ100が使用される実施形態では、V-Iセンサ100をプラズマチャンバ30の近傍に設置することが有利であり得る。電極位置におけるRF信号のV及びIは、異なる位置においてそこに位置するV-Iセンサ100によって測定されたV及びIから推定され得る。しかしながら、電極におけるV及びIの測定誤差は、電極とV-Iセンサとの間の距離を増大させるにつれて増加し得る。理論的には、2つの位置間のセンサ信号を変換するために使用される伝達行列は、2つの位置間の距離が増大するにつれて、単位行列から更に逸脱する。よって、電極位置について推定されるV及びIは、それぞれの伝達行列を推定する際の誤差に対してますます敏感になる。
ここで図1Bを参照すると、V-Iセンサ100は、一実施形態に従って、プラズマチャンバ30に接続するRF管110に取り付けられる。RF管110は、共有される長手方向軸線を中心に同心円状に配置された2つの導電管(例えば、アルミニウム又は銅管)を含む同軸構造であり得る。内側導体120と呼ばれる、内側導電管は、左向き矢印で示す整合器40の出力端子に電気的に接続され得る。外側導体130と呼ばれる、外側導電管は、一般に接地と呼ばれる、基準電位に接続された接地シースであり得る。RF管110は、整合器40からプラズマチャンバ30にRF電力を搬送するので、主同軸線路と呼ばれることがある。本開示における他の同軸線路は、同軸信号線路(例えば、V-Iセンサ100からV-I解析装置60に信号を搬送するために使用され得る同軸線路)と呼ばれる。
V-Iセンサ100は、2つの主要な構成要素、すなわち、電流センサ140と電圧センサ150とを含む。電流センサ140は、導電性壁(例えば、アルミニウム、真鍮、ステンレス鋼、又は銅)を備えたセンサ筐体165内側の環状ギャラリ160内に配置され得る。図1Bに概略的に示す実施形態では、ギャラリ160は、外側導体130の外側の全周に沿った中空環状領域であって、RF管110の軸線を中心に軸対称である中空環状領域である。ギャラリ160の軸対称設計は、RF管110内を伝搬するRF電磁波の更なる反射及び非軸対称波モードを防止する利点をもたらす。ギャラリ160及び導電性のセンサ筐体165は、RF管110と一体的に形成され得るか、又はRF管110を中心として対称に取り付けることができ、RF管110の長手方向軸線と電流センサ140との位置合わせ誤差を防ぐのに役立つように組み立て時に位置決めされ得る。いずれの場合にも、導電性のセンサ筐体165及び外側導体130は、電気的及び物理的に接続される。よって、センサ筐体165は、同軸RF管110の外側導体130の延長部とみなされ得る。
図1Bの実施形態は環状ギャラリ160を有するが、いくつかの他の実施形態では、ギャラリは環状でない場合もある。いくつかの他の実施形態では、RF管110の軸対称性は、例えば、RF管110の曲がり、及びV-Iセンサ設計における非対称性に起因する軸対称性の更なる低下などによって、不可避的に損なわれている可能性があり、重要ではない場合がある。その時には、V-Iセンサ設計における軸対称性を緩和することが合理的であり得る。例えば、ギャラリは、外側導体130の円周に部分的に従い、RF管110の完全な回路を形成しない場合がある。
図1B及び図1Cを参照すると、ギャラリ160は、ギャラリ160の中空領域とRF管110とを接続するスリット132を除いてセンサ筐体165の導電性表面と外側導体130とで完全に囲まれた状態で示されている。電流センサ140の電流ピックアップ141は、スリット132の真上のギャラリ160内に位置する状態で示されている。図1Bに示す実施形態では、電流ピックアップ141は、矩形の3辺(半ループと呼ばれる)、すなわち、2つの垂直分岐部142及び水平分岐部143として配設された3つの導電性分岐部を含む。一実施形態では、2つの垂直分岐部142は各々、水平分岐部143の開口にねじ込まれる。
図1Bの実施形態では、電流ピックアップ141の垂直分岐部及び水平分岐部は、3つの別個の部分を使用して形成される。いくつかの他の実施形態では、異なる数の部分(より少ない/多い)が使用され得る。
スリット132は、磁束がギャラリ160に侵入することを許容するように設計される。内側導体120を流れる電流は、内側導体120と外側導体130との間の領域において、長手方向軸線LA1を中心に内側導体120の周囲を周回する磁束をもたらす。スリット132がなければ、外側導体130の内面を流れる等しいが逆向きのリターン電流が、アンペールの法則に従って、内側導体120内の電流による周回磁束を打ち消すため、外側導体130の外側の磁束はほぼゼロになる。スリット132は、円筒状の外側導体130の連続性を遮断することによって、センサ筐体165の外側導電体の内面に沿って流れるようにリターン電流を迂回させる。それにより、半ループ電流ピックアップ141を収容するギャラリ160の中空領域は、内側導体120を流れる電流とそれぞれのリターン電流との間の領域内に収まる。アンペールの法則によって、電流ピックアップ141の矩形の半ループを通り抜ける磁界がギャラリ160内に生じる。一例では、スリット132は、電流ピックアップ141の半ループを通る抜ける磁束を最大化するのに役立つように、円筒状の外側導体130の全周にわたって延び得る。
磁束に加えて、接地された外側導体130と内側導体120との電圧差に起因して内側導体120から電束が生じる。望ましくない電束は、外側導体130に作られたスリット132によって設けられた、接地シースにおける間隙を通ってギャラリ160内に漏出し得る。電流ピックアップ141の半ループを通り抜ける変化する磁束によって、その位置におけるI(t)の尺度である電気信号が誘導される。しかしながら、ギャラリ160内に入る電束は、電流ピックアップ141と結合し、磁束によって生じる信号に悪影響を及ぼし得る。よって、図1Cに示すように、スリット132は、約1mm~約5mmの幅(LA1に平行な寸法)を有するように設計される。スリット132の幅は、RF管110の内側からギャラリ160内に入る電束を減少させるのに役立つように狭く保たれ得る。
図1B~図5を参照して説明するV-Iセンサの実施形態に使用されるスリット設計は、外側導体の周囲に沿ったリングのような形状とされるが、様々な他の設計も可能であることが理解される。例えば、図7A~図7Eを参照して説明する電流センサアセンブリでは、ジグザグ状スリット設計が使用されている。
電流センサ140の導電部は、空隙(又は他の絶縁体)によって、また、図1bの絶縁部162(及び図2A~図5に示す同様の他の部分)などの、機械的支持に使用される絶縁部品によって、外側導体130及びセンサ筐体165の導電性表面から絶縁され得る。
電流ピックアップ141は、形態的に、2つの垂直分岐部142と水平分岐部143とを備えた矩形断面を有する領域の周囲を一周する半ループ(2つの開放端を有するループ)である。一巻回半ループ電流ピックアップ141は、RF管110に沿って進行するRF電磁波から発生する時間的に変化する磁界の存在下で位置決めされ得る。ファラデーの法則によって、時間的に変化する電圧差は、電流ピックアップ141の2つの端部間に誘導され、時間的に変化する磁束に比例し得る。電流ピックアップ141の2つの端部は、図1Bにおいてセンサ筐体165の上に示す左右対称な1対の端子144に取り付けられ得る。一実施形態では、端子144は、同軸信号線路を接続するために使用される同軸ケーブルコネクタであり得る。
以下で更に詳細に説明するように、電流ピックアップ141に対称設計を使用することが有利である。この対称性は、例えば、電流センサの2つの端子144間の差動電圧を測定することによって2つの垂直分岐部142における寄生信号を打ち消すために、測定システム(例えば、図1A及び図1BのV-I解析装置60)によって利用される。この測定方法では、電流センサ140からの差動信号は、電流センサ140の出力信号であり、例えば差動増幅器を使用して検出され得る。
電流ピックアップ141の半ループは、終端インピーダンスと初期検出システムの入力インピーダンスとケーブルのインピーダンス(電流センサ140の出力信号を測定システムの初期検出システムに伝送するためにケーブルが使用される場合)との組み合わせによって、V-Iセンサの外部で完成される。初期検出システムが電流センサ140自体に配置される場合、検出器のインピーダンスをケーブルのインピーダンスに整合させる必要性が取り除かれ得る。測定システムが電流センサ140から離れている場合、端子144は、例えば同軸ケーブルを含む同軸信号線路を使用して、測定システムの初期検出システムに接続され得る。同軸ケーブルは、典型的には約20オーム~約300オームの範囲のインピーダンスを有する。インピーダンス不一致に起因する測定システムからの反射を防ぐために、同軸信号線路を整合インピーダンスで終端させることが有利である。また、電流センサ140の2つの端部端子144を、対称的に終端された対称同軸信号線路に接続し、それにより、電流センサ140の出力信号の対称性を維持することも有利である。例えば、一実施形態では、50オームの終端を有する1対の同一の50オーム同軸ケーブルが使用され得る。
上述したように、電流センサの出力信号として差動信号を使用することによって、Iを測定する際のより高い精度がもたらされる。差動信号を検出するために、例えば1対の同軸ケーブルを使用して、1対の端子144からの1対の信号を初期検出システムに提供しなければならない。しかしながら、精度のある程度低下を伴って、電流センサは、1対の端子144のうちの1つの端子において信号を検出する測定システムと併せて使用されることもある。(差動信号を検出するのではなく)1対の端子144の第1の端子において信号を検出するシステムでは、1対の端子144の第2の端子は、第1の端子におけるインピーダンスをできるだけ厳密に反映するインピーダンスに接続され得る。例えば、第1の端子は、初期検出システムの50オームの入力ポートに信号を伝送するために、第1の50オーム同軸ケーブルに接続され得、第2の端子は、検出器の代わりにケーブルの端部に50オームの終端を有する第2の同一の50オーム同軸ケーブルに接続され得る。何か他の適切なインピーダンスが使用され得る、すなわちインピーダンスが50オームである必要はないことに留意すべきである。更に、第2の同軸ケーブルは省略されてもよく、適切なインピーダンス終端が、1対の端子144の第2の端子に直接取り付けられ得る。
電流センサ140を接続するために使用される素子を含む、測定システムの設計は、電子部品の寄生容量による接地に対するインピーダンスも考慮に入れる。寄生容量インピーダンスの周波数依存性のため、RF周波数での構成要素のインピーダンスは、低周波数又は直流(ゼロ周波数)での構成要素のインピーダンスと大きく異なる場合がある。例えば、抵抗構造に関連する接地に対する寄生容量のため、電気信号の周波数がRF範囲まで増加すると、直流での抵抗部品のインピーダンスが低減され得る。より高い抵抗値を有する抵抗器のインピーダンスは、RF信号の周波数に対する感度がより高い。接地に対する寄生容量は、幾何学的形状と、抵抗器が配置される幾何学的環境とによって決まるので、抵抗器が高い抵抗値を有する場合、インピーダンスの変動をユニットごとに制御することは困難である。したがって、測定精度を維持するために、初期検出システムがセンサ位置に配置される場合でも、抵抗値がその寄生RFリアクタンスの0.1未満である抵抗器を使用することに初期検出システムの設計を制限することが有利である。
電圧センサ150の電圧ピックアップ151は、外側導体130の内面に沿って配置され得る導電性リングを含む。外側導体130及び導電性の電圧ピックアップ151は、図1Bに示すように、絶縁リング152によって互いに絶縁され得る。絶縁リング152は、テフロン(登録商標)、又はその他のプラスチック材料、又はその他の好適な誘電体を含み得る。一実施形態では、導電リングなどの、電圧ピックアップ151は、内側導体120と外側導体130との間の空気(又は他の絶縁体)にさらされ得る。別の実施形態では、電圧ピックアップ151は、絶縁ハウジングに埋設され得る。全ての実施形態において、電圧ピックアップ151(例えば、導電リング)は、外側導体130から電気的に絶縁され、絶縁構造によって機械的に支持され得る。
一実施形態では、電圧ピックアップ151(例えば、導電リング)の内径は、外側導体130の内径と同じであり得る。いくつかの他の実施形態では、電圧ピックアップ151(例えば、導電リング)の内径は異なり得る(外側導体130の内径よりも小さい又は大きい)。電圧ピックアップ151を挿入することによって生じるRF管110内の電界及び磁界の摂動は、電圧ピックアップ151(例えば、導電リング)の内径及び外側導体130の内径が等しい場合、相対的に最も小さくなる。電圧ピックアップ151からの出力信号は、以下で更に詳細に説明するように、電圧ピックアップ151(例えば、導電リング)の内径が減少するにつれて増加する。電圧ピックアップ151への接点は、外側導体130の外側に延び、センサ筐体165の上方に取り付けられた第3の端子153(例えば、第3の同軸ケーブルコネクタ)で終端する。電流ピックアップ141、電圧ピックアップ151、並びにそれぞれの端子144及び153への接点は、高導電性を有する金属(例えば、銅)を含み得、これらは全て、外側導体130及び導電性のセンサ筐体165などの他の導電素子から絶縁され得る。
初期検出システムを電圧センサ150の端子153に接続する終端インピーダンス及び同軸信号線路の設計に関する考慮事項は、上述したように、初期検出システムを電流センサ140の端子144に接続する終端インピーダンス及び同軸信号線路の設計に関するものと同様であり得る。電流センサ140に関する、上述の内容には、差動出力信号の対称性の維持に関する考慮事項が含まれる。しかしながら、上述の内容のその部分は、V-Iセンサ100の実施形態では、電圧センサ150が、1つのリング状の電圧ピックアップ151及び1つの端子153のみを有し、その一方で、電流センサ140が1対の端子144を有するため、電圧センサに適用できない。対称性の考慮事項は、2つの電圧ピックアップリングが対称に配置され、2つの信号の算術平均が、例えば、図3を参照して説明する、V-Iセンサ300で使用され得る、別の実施形態に適用可能であり得る。
図1B及び図1Cに示すように、RF管110の長手方向軸線LA1は、電流ピックアップ141の平面P1内にある。長手方向軸線LA1はまた、RF管110内の電流の方向に平行である。加えて、図1Cでより容易に分かるように、RF管110の長手方向軸線LA1に直交する方向に沿って、電流ピックアップ141は、RF管110の長手方向軸線LA1を含む第1の鏡面対称面M1と、第1の鏡面対称面M1に直交する第2の鏡面対称面M2とを含む。電流ピックアップ141の第1の鏡面対称面M1とRF管110の長手方向軸線LA1とは、1つ又は複数の実施形態では、同一平面上にある。
磁力線は、長手方向軸線LA1を中心としたほぼ同心円状であり、半ループの平面P1を垂直に通過する。この構成では、磁界は、(要求通りに)電流ピックアップ141に誘導結合される。電流ピックアップ141を外側導体130の外側に設置することによって、電界に対する望ましくない結合が著しく弱められる。誘導結合された振動磁界によって、電流ピックアップ141(3辺の半ループ)に起電力(emf)が誘導される。誘導起電力は、ファラデーの法則に従って、磁束の変化に関連している。通電導体の周囲の磁界強度はそれぞれの電流を反映するので、電流センサ140は、それぞれの位置においてRF管110内のRF電流を反映する時間的に変化する電気信号を生成し得る。電流センサ140の態様は、両方の端子144における電気信号が検出システムによって受信され得、2つの端子144間の差動電圧が電流センサ140の出力信号として使用され得ることである。差動出力技術によってもたらされる利点について、図2Bを参照して以下で更に詳細に説明する。
電位及び電界の大きさの輪郭は、RF管110の長手方向軸線LA1上に中心を有するほぼ円形である。円形輪郭は、長手方向軸線LA1に垂直な平面群に含まれる。したがって、電界線は、長手方向軸線LA1に垂直に、内側導体120から径方向に導かれる。リング状の電圧ピックアップ151は、ほぼ円形輪郭の1つに位置する。この構成では、内側導体120の外側の空間内の振動電界は、電圧ピックアップ151に容量結合され、導電性リングは、電磁気学の物理法則に従って、それぞれの位置における内側導体の電位にほぼ比例する振動電位を得る。この振動電位は、電圧センサ150の出力信号として使用され得る。内側導体120と外側導体130との間の径方向電界の大きさは、ガウスの法則に従って、長手方向軸線LA1からの径方向距離が増大するにつれて減少する。よって、電圧センサ150の電圧ピックアップ151を内側導体120のより近傍に位置決めすることによって、例えば、電圧ピックアップリングの内径を小さくすることによって、電圧センサ150の出力信号を増加させ得る。
電圧ピックアップ151は電界に容量結合されるが、リング状の電圧ピックアップ151の平面に垂直な磁束は、この幾何学形状に対しては無視できるほど少ないので、磁界との結合はほとんど生じない。導電管(この例では内側導体120)の周囲の電界強度は、導体の電位を反映しているので、電圧センサ150は、それぞれの位置においてRF管110上のRF電圧を反映する時間的に変化する電気信号を生成し得る。
生の出力信号(例えば、電流センサ140からの1対及び電圧センサ150からの別の対)は、矢印で示すように、V-I解析装置60に伝送され得る(図1Aも参照)。
図2Aは、V-Iセンサ200及びRF管の外側導体230(外管)の斜視図を示している。図2Bは、軸線2B-2B’に沿った同じV-Iセンサ200の切欠図を示している。図2A及び図2BのV-Iセンサ200は、図1BのV-Iセンサ100と同様である。電流センサ240及び電圧センサ250は、V-Iセンサ200の環状ギャラリ260内に配置される。図2A及び図2Bでは、外側導体230内側の電圧センサ250の電圧ピックアップリング251をより良く示すために、RF管の内側導体が取り除かれている。斜視図(図2A)は、V-Iセンサ200の3つの端子(この例では同軸ケーブルコネクタ)を示している。ギャラリ260の上部の上方に延びる1対の端子244は、図2Bに見られるように、電流センサ240の電流ピックアップ241に接続する。第3の端子253は、電圧センサ250の電圧ピックアップ251に接続する。
図2Bを参照すると、電流センサ240の電流ピックアップ241は、3つの導体を含む半ループである。電流ピックアップ241の2つの導電性の垂直分岐部242は、プラスチック(又は他の絶縁材料)によって金属製センサ筐体265から絶縁される。垂直分岐部242は、外側導体230の上のギャラリ260の内側に水平に配置された第3の導体である、水平分岐部243の2つの端部に接続する。外側導体230の周囲に沿ったスリット232は、磁界が半ループの平面を通り抜けて電流ピックアップ241の導電性分岐部に起電力を誘導することを可能にする。電流ピックアップ241の水平分岐部243は、導体の側面に沿った水平な非導電(例えば、プラスチック)部262に取り付けられ得る。図2A及び図2Bに示すV-Iセンサ200の例示的な実施形態では、水平分岐部243は、両側のプラスチック部262によって、及び水平分岐部243の底部と外側導体230の上部との間の隙間内の空気によって、接地された金属製センサ筐体265及び外側導体230から絶縁される。以下で更に詳細に説明する、別の実施形態では、水平分岐部243に対する機械的支持は、水平導体の下方の空隙内に配置された追加のプラスチック部によって補強され得る。
電流ピックアップ(例えば、図2Bの電流ピックアップ240)は、RF電磁界との相互作用を通じて電気信号を提供する。上で説明したように、RF電流を反映するのは磁界(電界ではない)である。スリット232は、RF管から、電流ピックアップ241が位置するギャラリ260内への磁界の侵入を可能にする。電界への電流ピックアップ241の結合によって、磁界の測定精度が低下する。電流センサ240は、本明細書で説明するように、電界との望ましくない相互作用から生じ得る測定誤差を抑制し得る。まず、V-Iセンサ200における電流センサ240は、接地された外側導体230の外側に配置され、それにより、外側導体230を用いて電界を遮蔽する。図1B及び図1Cを参照して上述したように、RF電界は、(RF管の同軸線LA1に垂直な径方向にあり、したがって、ギャラリ内に漏出する電束は、LA1に平行な寸法として上で定義した、スリット幅にほぼ正比例する。スリット232の幅は、外側導体230におけるスリット232によって間隙が生じるためギャラリ260内に入る電束の量を低減するために、比較的小さなものとなるように選択され得る。第2に、外側導体230があるにもかかわらず空洞に侵入する可能性のある電界の一部の影響を更に低減するために、差動信号が出力信号として使用され得る。理想的には、電流センサの2つの端子244間の差動電圧は、電磁気学の理論に従って、振動磁界にほぼ比例する。但し、スリット232が存在するため、ギャラリ260内の弱い電界が電流ピックアップ241に容量結合され得る。しかしながら、電流ピックアップ241、スリット232、及びギャラリ260は、スリット232の中心を通る平面に関して鏡面対称となるように構築され得、RF管の長手方向軸線に垂直な向きに配置される。上述した半ループ電流ピックアップ241のこの幾何学的鏡面対称性のため、垂直分岐部242に沿った摂動及び2つの端子244に現れる電位の摂動は、大きさ及び位相がほぼ等しい。この対称性は、有利には、ギャラリ260内の侵入電界との相互作用に起因して、差動信号が電流ピックアップ241内の寄生信号の影響を受けないことを意味するため、使用され得る。換言すれば、端子対244の第1の端子と第2の端子との電位差は、摂動されず、一次的に正しいままである。電流センサ240設計のこれらの態様は、有利には、電流の高精度での測定を達成するために、特に、プラズマチャンバ内の静電基板ホルダにRFバイアス信号を提供するなどの用途において使用され得、負荷インピーダンスは、RF信号がプラズマチャンバ内に入る箇所の近傍で、電界の振幅が相対的に高く、磁界の振幅が相対的に低くなるようなものであり得る。
引き続き図2Bを参照すると、外側導体230の内面の近傍の外側導体230の内側に配置されたリング状の導体は、電圧センサ250の電圧ピックアップ251である。電圧ピックアップ251によって生成される信号の強度は、電圧ピックアップ251の寸法によって決まり得る。その直径は外側導体230の直径によってほぼ決定され得るが、幅及び厚さは、調整可能な設計パラメータである。この実施形態における導電性の電圧ピックアップ251は、V-Iセンサ200の第3の端子253(例えば、同軸ケーブルコネクタ)に1箇所で電気的に接続されたリングである。導電性の電圧ピックアップ251は、電圧ピックアップ251に取り付けられたリング状の誘電体部品252によって導電性の外側導体230から絶縁される。
上で説明したように、電圧ピックアップ251は、RF電磁界によって誘導される電荷分極から生じる電気信号をV-Iセンサ200の第3の端子253に提供する。第3の端子253における電位は、内側導体(明確にするために図2Bには図示しない)の振動電圧を反映している。RF電界は、電圧ピックアップ251に容量結合される。しかしながら、図1Bを参照して上で説明したように、長手方向軸線はリングの平面に垂直であるため、磁界との相互作用は無視できる。
電圧ピックアップ251のリング状設計は、本明細書で説明するように、軸対称性を使用して、配置及び寸法決めの誤差の一部に対する電圧センサ250の出力の感度を低下させる。第1に、リングの導電性表面における電位が、一次的に、中心軸線(図2Aの軸線2B-2B’及び図1Bの軸線LA1)からのリングの中心位置のずれと無関係であるため、円対称性は、電圧ピックアップ251の精密な配置を不要にし得る。むしろ、電圧ピックアップ251の電位は、主にリングの寸法(例えば、内径、外径、及び厚さ)によって決まる。対照的に、非対称電圧ピックアップ設計では、電圧ピックアップ導体は、非対称電圧ピックアップの大きさ及び配置に一次的に依存する電位を得る。例えば、マッシュルーム状の電圧ピックアップは、マッシュルームヘッドの導電性表面の寸法だけでなく、内側導体に対するマッシュルームヘッドの位置にも敏感であり得る。このような設計では、電圧ピックアップとRF管の長手方向軸線との間の距離を、場合によりマイクロメータねじゲージを使用して手動で、組み立て時に精密に調整しなければならない。第2に、電圧ピックアップ251の設計は、電圧センサ250をV-Iセンサ200に組み付ける際の中心合わせ誤差をほぼ打ち消し得る。電圧ピックアップ251の軸対称性は、電圧ピックアップ251の円中心が内側導体の長手方向軸線から僅かにずれていても、一次的に、全電束が変わらないことを確実にする。内側導体のより近傍に配置され得るリングの一方の半部の電束の増加は、ここで、リングの円形状のため、長手方向軸線から離れている導電性リングの他方の半部の電束の付随的な減少によってバランスが保たれる。
図3は、センサ筐体365内側のギャラリ360内に位置する電流センサ340を有するV-Iセンサ300の別の実施形態の切欠図を示している。水平分岐部343は、絶縁部362によって支持され、電流センサ340の2つの垂直分岐部342に接続された状態で示されている。鏡面対称面M2内を一周するスリット332が、水平分岐部343の上に見られる。
第1の電圧センサ350は、図2A及び図2Bを参照して説明したV-Iセンサ200と同様に、外側導体330の内側に示されている。加えて、V-Iセンサ300は、電流センサ340の反対側に対称に位置する第2の電圧センサ355を有する。この実施形態では、第1の電圧センサ350及び第2の電圧センサ355の電圧ピックアップ及びハウジングは、外側導体330の内側面をできるだけ滑らかに保つために、外側導体330の本体に埋め込まれている。外側導体330の滑らかな内面は、電圧センサ350及び355を挿入することによって生じる電磁界の摂動を低減する利点をもたらす。この実施形態では、V-Iセンサ300は、RF管内の電磁界に無視できるほど小さな摂動を生じさせる。
第1の電圧センサ350及び電流センサ340からの測定値は、第1の電圧センサ350と電流センサ340との測定位置の違いのため、相対位相誤差を有する。この実施形態では、反対側に配置された第2の電圧センサ355は、電流センサ340に対するこの対称位置のため、正反対の位相誤差を有する(すなわち、電流センサ340の鏡面対称面M2は、第1の電圧センサ350及び第2の電圧センサ355から等距離にある)。対称性から、第1の電圧センサ350によって検知されたRF信号波形の電圧と電流の相対位相における誤差、及び第2の電圧センサ355によって検知されたRF信号波形におけるそれぞれの誤差は、検知された2つの電圧信号の和で少なくとも一次的に打ち消される。よって、第1の電圧センサ350及び第2の電圧センサ355からの信号を合成することによって、より正確な電圧測定値が提供され得る。例えば、第1の電圧センサ350及び第2の電圧センサ355からの測定値の算術平均を使用することによって、鏡面対称面における電圧を反映する電圧測定値を得るために、位相誤差が低減又は更には排除され得る。
加えて、第2の電圧センサ355の存在は、電流ピックアップ341の水平分岐部343の左半部及び右半部だけでなく、2つの垂直分岐部342も同じ電界及び磁界を受けることを確実にするのに役立つ。上で説明したように、寄生電気信号は、ギャラリ360内の空洞に侵入する電界に対する電流ピックアップの望ましくない結合によって生成され得る。幾何学的対称性を改善することによって、第2の電圧センサ351は、図2A及び図2Bを参照して上で説明したように、電流センサ340の第1の端子及び第2の端子344において見られる電位の摂動が、差動電流測定を使用することによってより正確に打ち消されることを確実にするのに役立つ。いくつかの実施形態では、第2の電圧センサ355の出力を使用することは任意選択であり得る。
図4は、内側導体420と外側導体430とを含むRF管410に取り付けられたV-Iセンサ400の更に別の実施形態を示している。
V-Iセンサ400は、図2A及び図2Bを参照して説明したV-Iセンサ200と同様に、ギャラリ460内に配置された電流センサ440及び電圧センサ450を含む。V-Iセンサ400の設計は、電流センサ440の電流ピックアップ441の水平導体443に追加の機械的支持を与えることによって、V-Iセンサ200(図2A及び図2Bを参照)の設計に比べて改善されている。
図4に示すV-Iセンサ400の設計では、支持部品(例えば、プラスチック部462及び470)は、図2Bに示す、V-Iセンサ200内のそれぞれの部分(例えば、プラスチック部262)よりも堅牢に水平分岐部443を固定し得る。例えば、一実施形態では、V-Iセンサ200内の水平分岐部243の2つの端部におけるプラスチック部262は、水平分岐部243が配置され得る1組の孔を備えたリングであり、その一方で、V-Iセンサ400の設計では、部分462及び470などの、プラスチック部は、水平分岐部443のより多くを包囲し、金属製センサ筐体465及び外側導体430の金属製外面における対応する空洞に密着嵌合するボスを有し得る。
図4に示すように、絶縁支持体462に加えて配置された支持構造470(例えば、プラスチック又は他の非導電性材料で作られた)は、電流ピックアップ441の導電性の水平分岐部443をあらゆる側面から保持する。支持構造470は、水平分岐部の第1の部分を支持するための第1の部分と、水平分岐部の第2の部分を支持するための第2の部分とを含み、間隙によって離隔される。対照的に、図2Bに示すように、プラスチック部262(支持体462と同様)は、水平分岐部を下から支持しない。図2Bでは、電流センサ240の電流ピックアップ241の水平分岐部243と外側導体230との間に空き空間がある。追加の支持体は、電流ピックアップ441の垂直分岐部442が水平分岐部443と接触して配置されたときに、水平導体443が曲がることを防止する。加えて、支持構造470は、水平分岐部443に対する垂直分岐部442の過度の締め付けを防止し得る。電流ピックアップ441に結合された磁束の変動は、電流ピックアップ441の半ループ幾何学形状の形状及び面積の変動による影響を受ける。したがって、電流ピックアップ441の形状を一定に保つことによって、電流センサ440の電気出力の変動が低減され、電流の測定精度が向上する。
図5は、内側導体520と外側導体530とを含むRF管510に取り付けられたV-Iセンサ500を示している。電流センサ540は、センサ筐体565の上に配置された1対の端子544と、ギャラリ560内に配置された一巻回半ループ電流ピックアップ541とを有する状態で示されている。電流ピックアップ541は、水平分岐部543に取り付けられた2つの垂直分岐部542を含む。図4のV-Iセンサ400と同様に、プラスチック部570は、電流センサ540の組み立て時に、垂直分岐部542の過度の締め付けと、電流ピックアップ541の水平分岐部543の曲がりとを防止するために使用されている。
V-Iセンサ500は、加工の複雑さを低減する改良を含み、それにより、V-Iセンサ400(図4に示す)に比べて製造コストを低減する。V-Iセンサ500の設計は、RF管510の内側導体520の中心合わせをする絶縁体片555が、図5に示すように、電圧センサ550の導電性の電圧ピックアップリングを支持するためにも使用される、電圧センサ550を使用することによって、V-Iセンサ400の設計よりも改善されている。複数の目的で同じプラスチック部555を使用することによって、例えばV-Iセンサ400で使用されるプラスチック部の一部を排除することが可能になる。これにより、V-Iセンサ500の加工の複雑さ及び製造コストが低減される。
図5の電圧センサ550の導電性の電圧ピックアップリングは、外側導体530の直径よりも小さくなるように電圧ピックアップリングの直径を設計することによって、内側導体520のより近傍に位置決めされている。電圧ピックアップリングのより小さな直径は、図1B及び図1Cを参照して上で説明したように、電圧センサ550の出力信号強度を増加させる。
図1~図5を参照して上で説明したV-Iセンサでは一巻回半ループ電流ピックアップが使用されているが、電流センサの電流ピックアップの設計では多数の巻回も利用され得ることが理解される。例えば、図1~図5に示すV-Iセンサ内の電流ピックアップは、電流センサの2つの端子に接続された電流ピックアップの2つの端部の間に複数の矩形巻回を含み得る。上述したように、多巻回電流ピックアップは、マンドレル、例えば、円環状マンドレルに導線を巻き付けることによって構築され得る。導線は、環状体の中心孔を垂直に通過するRF管の内側導体を中心として対称な円をなすドーナツ状の絶縁材料の円形軸線を中心にコイル状に巻き付けられ得る。円環状マンドレルを使用する多巻回電流ピックアップについて、図6A~図7Eを参照して以下で説明する。
マンドレルは、環状体の数学的定義に正確に適合しない場合があるが、概して、コイルを取り付けるため、端子に接続するためなどの構造を備えた環状体のような形状とされることが理解される。
図6Aは、V-Iセンサ600の斜視図を示しており、図6Bは切欠図を示しており、図6Cは、V-Iセンサ600の軸線A-A’に沿った断面図を示している。
図6Aは、V-Iセンサ600の導電性のセンサ筐体665を示している。図6Aでは視認できない、電流及び電圧ピックアップは、導電性のセンサ筐体665で囲まれた空間内に収納される。図6A~図6Cでは、内側導体は、中心孔621を貫通する。内側導体自体は、明確にするために、図6A~図6CのV-Iセンサ600の様々な図から省略されている。RF管の外側導体は、導電性のセンサ筐体665の上部及び下部におけるフランジに接続する。2つのネック領域631は、図6Aのセンサ筐体665の上部分及び下部分におけるフランジに隣接して示されている。ネック領域631の形状及び寸法は、RF管の外側導体の形状及び寸法と同様となるように設計され得る。したがって、センサ筐体665は、ネック領域631から、より大径の導電性壁を有する上部カバー663及び下部カバー666を含むより幅広の中央部分に延びる、外側導体の延長部とみなされ得る。センサ筐体665及び外側導体は、同軸構造の外側シールドを形成し、接地に接続され得る。図6B及び図6Cを参照して以下で説明するように、センサ筐体665のより幅広の中央部分は、中心孔621を貫通する内側導体の周囲に環状誘電体空洞661を収容する。
図6Aの斜視図は、センサ筐体665の外側に組み付けられた3つの同軸ケーブルコネクタも示している。3つの同軸ケーブルコネクタは、V-Iセンサ600の3つの端子である。外側の1対の同軸コネクタ645は、電流ピックアップに接続された、電流センサ641の端子に接続し、中央の同軸コネクタは、電圧センサの電圧ピックアップ651に接続された、中央端子654に接続する。電流及び電圧ピックアップは、上部カバー663と下部カバー666との間に位置する。
図6Bの切欠図及び切断面A-A’(図6Aに示す)に沿った図6Cの断面図は、V-Iセンサ600の内部構造を示している。RF管の内側導体は、明確にするために省略されている。中心孔621の内面638は、図6B及び図6Cにおいてネック領域631の内側に示すように、RF管とみなされ得る主同軸構造の内側に側壁を形成する。ネック領域631は、V-Iセンサアセンブリ600の中心孔621の周囲のセクションにおいて、誘電体空洞661を囲むセンサ筐体665のより大径の上部カバー663及び下部カバー666内に延びる。内壁638は、スリット671によって中断されるまで、上部カバー663及び下部カバー666の表面627として連続している。図6Cに示すように、誘電体空洞661は、中心孔621の中心から径方向に沿って第1の主外面627と第2の主外面628との間にある。第1の主外面627は、中心孔621と物理的に接触する連続円形リング状の領域を含む。リング状の第1の主外面627は、スリット領域671によって垂直方向に2つの部分に分けられる。第2の主外面628は、第1の主外面627の半径よりも大きな径方向距離に位置する。
空洞661は、スリット領域671を含む。図6B及び図6Cに示すように、スリット領域671は、センサ筐体665の上部カバー663と下部カバー666との接合部に間隙を形成する中心孔621の円筒壁の内面627における物理的な途切れを含む。表面627は、中心孔621の円筒壁の表面638の延長部である。中心孔621の中心から径方向に見たときに、スリット領域671は、中心孔621と物理的に接触する絶縁リングの外観を有する。更に径方向外方では、スリット領域671は、図6B及び図6Cにジグザグ状の破線で示すように、リング状の導電性電圧ピックアップ651を迂回するジグザグ形状をとる。中心孔621との物理的接点と円環状電流センサ641の内径との間に径方向に配置された空洞661の連続した絶縁環状領域は、V-Iセンサ600のスリット領域671と呼ばれる。図6B及び図6Cに示すように、スリット領域671は、導電性の電圧ピックアップ651及び電流センサ641とセンサ筐体665との間に介在する誘電体バリアを形成する。第1の主外面627と第2の主外面628との間の径方向領域では、空洞661(絶縁スリット領域671を含む)は、上部カバー663と下部カバー666とを電気的に絶縁する。第1の主外面627よりも小さな径方向距離について、上部カバー663は、中心孔621によって下部カバー666から電気的に絶縁される。上部カバー663は、誘電体空洞661の第2の主外面628を径方向に越えた所にある結合領域629を介して下部カバー666に電気的に結合される。
この設計に関して、接地されたセンサ筐体665内を流れるRF電流は、円環状電流センサ641に取り囲まれた領域にはほとんど流れない可能性がある。電流は、内壁638に沿ってネック領域631内を垂直方向に流れ、次いで、誘電体スリット671によって生じた、内面638における物理的な途切れのため、電流センサ641の周囲に送られる。スリット671のため、電流は、円環状電流センサ641の周囲に径方向外方にそらされ、環状誘電体空洞661の導電性壁に沿って横方向に流れ、径方向に戻り、次いで、ネック領域631の内壁638に沿って引き続き垂直方向に流れる。
図6B及び図6Cを参照すると、電流センサ641は、空洞の外側部分、すなわち、中心孔621から離れた領域における、環状誘電体空洞661内の円環状構造である。電流センサ641は、導電性コイル647と、円環状マンドレル642とを含む。コイル647は、円環状マンドレル642の中心円形軸線の周囲に巻き付けられた連続した導線の複数の巻回を含む。コイル647の対向する2つの端部は、図6Bに示すように、同軸コネクタ645に取り付けられ得る。コイル647の導線は、裸導体、エナメル導体、又は絶縁体で被覆された導体であり得る。円環状マンドレル642について、図6Dを参照して以下で更に詳細に説明する。電流センサ641は、導電性のセンサ筐体665から電気的に絶縁される。
図6B及び図6Cに示すように、電圧センサの導電性の電圧ピックアップ651は、導電リングのような形状とされる。電圧ピックアップ651は、円環状電流センサ641と中心孔621との間の環状誘電体空洞661の領域内に配置された状態で示されている。固体誘電体材料(例えば、プラスチック)が、電圧ピックアップ651を機械的に支持するために使用される部分に使用され得る。空洞661のスリット領域671及び誘電体支持部は、導電性の電圧ピックアップ651を導電性のセンサ筐体665から電気的に絶縁する。電圧ピックアップ651と中央端子654との接続が図6Bに示されている(同軸コネクタ645及び中央端子654は、切断面A-A’には含まれず、したがって、図6Cに示す断面図では視認できない)。
電圧ピックアップ651の機能は、RF管の内側導体と外側導体との間の径方向電界を検知することによって、中心孔の中心において内側導体のRF電圧を検知することである。概して、RF管の外側導体及び導電性のセンサ筐体665は接地される。したがって、例えば、内側導体と電圧ピックアップ651との間の環状誘電体領域内に配置された、接地された金属リングによって、電圧ピックアップ651の導電性リングがRF管の内側導体から遮蔽される場合、電圧ピックアップ651は、適切に機能できない場合がある。過度の遮蔽では、電圧センサの出力が、あまりにも弱くなり使用できなくなる。図6B及び図6Cに示すように、電圧ピックアップ651は、空洞661のスリット領域671の上方及び下方の環状誘電体空洞661内に部分的に垂直方向に延びる。空洞は、上方の金属製上部カバー663の溝と下方の金属製下部カバー666のそれぞれの溝とによって形成される。これらの溝の接地された導電性内壁が、電圧ピックアップ651の導電性リングと内側導体用の中心孔621の中心軸線との間に介在する場合、接地金属は、電圧ピックアップ651を完全には遮蔽しない。図6B及び図6Cに示す、上部カバー663を下部カバー666から離隔する誘電体スリット671が存在する。スリット671は、中心孔621内に内側導体がないため、鏡面M(図6Cに破線で示す)内の円筒状円盤のような形状とされた誘電体領域とみなされる。内側導体が定位置にあるときに、誘電体スリット671は、内側導体の周囲の環状円盤のような形状とされる。誘電体スリット671内の遮蔽されない径方向電界は、内側導体とリング状の電圧ピックアップ651の中心部分との容量結合によって検知される。ここで、電圧ピックアップ651は、その位置において内側導体のRF電圧に比例する使用可能な電気信号を提供し得る。
電流ピックアップコイル647の機能は、円環状マンドレル642の円形中心軸線に平行な方向にコイルを通る周回磁界を検知することによって、中心孔の中心において内側導体内のRF電流を検知することである。ファラデーの法則によって、コイル647の導線の巻回で囲まれた円環状マンドレル642内の振動磁束に比例して、振動電気信号がコイル内に誘導される。アンペールの法則によれば、電流センサ641を通り抜ける磁界の強度は、円環状電流センサの中心孔における中心孔(ドーナツのドーナツ孔に類似する)に囲まれた平面の領域を横断する総電流に比例する。いかなる同軸構造にも当てはまるように、RF管の任意の位置において内側導体を流れる電流は、外側導体内の逆向きの電流と全く等しい。V-Iセンサ600のセンサ筐体665をRF管の同等の外側導体とみなしてもよく、内側導体は、中心孔621を貫通している。よって、電流センサ641は、センサ筐体665内のRF電流が、円環状マンドレル642の外周で囲まれた円盤状領域の外側に流れるように制限されない限り、適切に機能しない場合がある。例えば、上部カバー663と下部カバー666とが、円環状マンドレル642の内側半径よりも短い、中心軸線からの径方向距離において電気的に接触する場合、導電性筐体内の電流の一部が、その接点を通って流れ得る。内側導体内の電流とは逆向きである、この電流は、電流センサ641で囲まれた総電流の大きさを減少させ、したがって、コイル647を通り抜ける磁束を減少させる。円環状電流センサ641で囲まれた領域内の接点を通る総電流が少なすぎる場合、使用可能な電気信号を電流ピックアップコイル647に誘導するには磁界が不十分であることがある。ここでもまた、誘電体スリット領域671は、図6B及び図6Cに示すように、円環状電流センサ641の内側半径よりも小さな径方向距離での上部カバー663と下部カバー666との電気的接触を防止する。
上部カバー663と下部カバー666とを円環状電流センサ641の外円までずっと離隔する途切れのない連続した誘電体領域は、電圧ピックアップ651を空洞661の垂直高さよりも小さく設計することによって得られる。電圧ピックアップ651の導電性リングは、絶縁材料を含む支持部によって、上部カバー663と下部カバー666との間にほぼ対称に位置決めされ得る。よって、全ての方向において、導電性の電圧ピックアップ651のすぐ近傍には、絶縁材料がある。上で説明したように、この絶縁材料は、空洞661のスリット領域671内にある。電圧ピックアップ651の導電性リングの上方の誘電体の形状は、図6Bにジグザグ状の破線で描かれている。図6Cに破線で示すように、電圧ピックアップ651の導電性リングを、接地されたセンサ筐体665から電気的に絶縁しなければならないため、ジグザグ状の誘電体スリット領域671が電圧ピックアップ651の上方と下方の両方向に存在することが留意され得る。
電流センサの電流ピックアップは、概して、接地された導電部によってRF電界から遮蔽される。電流ピックアップの遮蔽は、高インピーダンス負荷の近傍などの、電界が強く且つ磁界が弱い場所での用途において有利である。V-Iセンサ600では、電流ピックアップコイル647は、センサ筐体665内側の誘電体空洞661内に位置する。円環状電流センサ641から内側導体に径方向内方に移動する間に遭遇する導電部は、図6B及び図6Cに見られるように、また、上で説明したように、第1の導電性の電圧ピックアップ651と、第2の導電性のセンサ筐体665の内壁の一部分とを含む。これらの介在する導電部は、電流センサ641を径方向電界から遮蔽するのに役立ち得る。内側導体から生じる電界線の一部は、導電性のセンサ筐体665の接地された内壁で終端し得る。加えて、導電性の電圧ピックアップ651は、コイル647をRF電界から部分的に遮蔽することによって2つの目的を果たす。電圧ピックアップ651は接地に短絡されていないので、導電性リングによって提供される電界の減少は、中央端子654における接地に対するインピーダンスの大きさによって決まる。
V-Iセンサ600の電流センサ641と電圧ピックアップ651の両方の構造は、中心を通る共有軸線であって、中心孔621の平面に垂直な方向における共有軸線に対して軸対称である。更に、電流センサ641と電圧ピックアップ651の両方は、長手方向軸線に垂直な同じ鏡面(図6Cに破線Mで示す)を共有する。V-Iセンサ600の構造の対称性は、電圧と電流の位相角(Φ)の測定における何らかの矛盾を低減/排除するのに役立つ。更に、軸対称性に起因する一次打ち消し効果によって、V-Iセンサ600のセンサ出力信号は、加工公差と組み立て時の位置決め誤差とに対して敏感でなくなる。
図6Dは、図6Bに示す円環状電流センサ641のマンドレル642として使用され得る例示的な円環状構造を示している。円環状マンドレル642は、コイル647(図6Bに示す)を形成するために導線が配置され得る連続溝を円環状マンドレル642の外面に有する。コイル647の対向する2つの端部は、図6Dに示す、2つの開口643に通され、続いて1対の同軸コネクタ645に取り付けられ得る(図6Bを参照)。電圧ピックアップ651(図6Bを参照)は、中央端子654に取り付けられるように、円環状マンドレル642における孔と開口653とに通された導電素子によって接続され得る。
円環状マンドレル642は、プラスチック及び他の絶縁材料を含み、例えば、3D印刷技術を使用して製造され得る。コイル647が溝付き円環状マンドレル642に取り付けられた後に、構造は、任意選択的に、例えば包埋樹脂技術を使用して樹脂のコーティングで封止され得る。樹脂封止によって、コイル状の多巻回電流ピックアップ647が強固に固定される。
電流センサ641と、組み合わせた電界シールド及び電圧ピックアップ651とを含む、上で説明した、V-Iセンサ600の一体型アセンブリは、コンパクトなV-Iセンサ設計という利点をもたらす。
図7A~図7Eは、V-Iセンサ600のものと設計が同様の、電流センサアセンブリ701を示している。V-Iセンサ600と異なり、電流センサアセンブリ701は、電圧を検知しない。また、電流センサアセンブリ701に使用される円環状マンドレル742の設計は、以下で更に説明するように、溝付き円環状マンドレル642と異なる。
図7Aは、センサ筐体765の上部カバー782と下部カバー784との間の誘電体空洞内に配置された円環状電流センサ741を用いる電流センサアセンブリ701の斜視図を示している。電流センサ741について、図7C~図7Eを参照して以下で更に説明する。上部カバー782及び下部カバー784は、金属(例えば、銅又はアルミニウム)を含み得る。電流センサアセンブリ701は、中心孔710を有する。電流センサアセンブリ701が使用され得る同軸伝送線路(例えば、RF管)の内側導体は、中心孔710を貫通している。それにより、電流センサアセンブリ701は、同軸伝送線路の長手方向軸線を中心に対称に位置決めされる。
図7Bは、電流センサアセンブリ701の分解図を示している。図7Bでは、電流センサ741は、誘電体空洞720の下半部及び下部カバー784の構造を示すために、センサ筐体765から取り除かれている(構造の上半部について、図7Cを参照して以下で更に説明する)。誘電体空洞720は、外側誘電体領域723と、ジグザグ状の誘電体スリット725と呼ばれる、内側誘電体領域とに分けられ得る。外側誘電体領域は、下部カバー784の床面における最も外側の溝の上方の領域である。この最も外側の溝の外円の外側では、金属製上部カバー782と金属製下部カバー784とが、互いに物理的及び電気的に接続され得るが、上部カバー782と下部カバー784との電気的接触は、最も外側の溝の外円の内側では行われない。
ジグザグ状の誘電体スリット725は、下部カバー784の床面から突出するリングのような形状とされた導電性突条750の両側にある2つの溝の上に誘電体領域を含む。導電性突条750を含む、誘電体空洞720の導電性床面は、途切れない連続した誘電体領域によって誘電体空洞720のそれぞれの導電性天井から電気的及び物理的に離隔される。よって、導電性突条750の上部は、金属製上部カバー782のそれぞれの溝内に突出し得るが、天井とは接触しない。したがって、ジグザグ状の誘電体スリット725の組み合わせた上部及び下部は、図7Bにジグザグ状の破線で示すように、導電性突条750を覆うように一周するジグザグ形状の誘電体領域となる。
図7Cは、内側導体711を中心として対称に位置決めされた電流センサアセンブリ701の中心孔710を貫通するRF管の内側導体711を含むRFシステム700の一部分を示している。接地された外側導体は、上から上部カバー782に且つ下から下部カバー784に物理的及び電気的に取り付けられ、それにより、センサ筐体765を接地する。センサ筐体は、V-Iセンサ600のセンサ筐体665と同様に、中心孔710を貫通する内側導体711の部分のための接地された外側導体としての役割を果たす。
図7Cでは、電流センサアセンブリ701は、電流センサ741を含む切欠分解図によって示されている。電流センサ741は、円環状マンドレル742と、導電性の電流ピックアップコイル747とを含む。円環状マンドレル742は、巻き経路を有する固体誘電体材料を含む。巻き経路は、円環状マンドレル742の表面上の様々な位置におけるアクセス孔749を通してアクセスされ得る。2つの孔を有する取付具743は、アクセス孔749のうちの1つを覆って配置されている。コイル747の導線の対向する2つの端部は、取付具743の孔を貫通して上向きに突出した状態で示されている。円環状マンドレル742の一部分は、円環状マンドレル742の固体誘電体材料中の巻き経路を通るコイル747の導線を示すために切り取られている。コイル747は、マンドレル742の内側に嵌め込まれている。マンドレル742の設計によって、マンドレル642の溝付き設計に比べてより大きな機械的支持が与えられ、それにより、図6Dを参照して先に説明した樹脂封止ステップが排除される。
図7Cでは、円環状マンドレル742は、外側誘電体領域723内に且つ下部カバー784の床面におけるそれぞれの溝内に配置されている(図7Bを参照)。電流センサアセンブリ701の分解図は、円環状マンドレル742の上半部が、誘電体空洞720の外側誘電体領域723における上部カバー782の溝に嵌合し得ることを示している。同様に、導電性突条750は、誘電体空洞720のジグザグ状の誘電体スリット725内の上部カバー782における隣接する溝内に延び得る。円環状電流センサ741と内側導体711との間に介在する連続リングである導電性突条750は、電流センサ741をRF電界から効果的に遮蔽し得る。
上で説明したように、円環状電流センサ741で囲まれた領域内での接地された上部カバー782と接地された下部カバー784との電気的接触によって、電流ピックアップコイル747を通る磁界の強度が低下し、電流信号の出力信号が過度に弱められ得る。そのため、導電性突条750の上部は、ジグザグ状の誘電体スリット725によって上部カバー782から電気的に絶縁される。誘電体領域のジグザグ形状は、図7Cにジグザグ状の破線で示されている。
図7Dに示す、RFシステム700の一部分の切欠図は、上部カバー782が下部カバー784の上に取り付けられた状態の電流センサアセンブリ701を示している。誘電体スリット725のジグザグ形状は、図7Dにジグザグ状の破線で示されている。
図7Eは、電流センサアセンブリ701の底部分と、電流センサアセンブリの中心孔710を貫通するRF管の内側導体711との平面図を示す。円環状マンドレル742と電流ピックアップコイル747とを含む電流センサ741は、下部カバー784の上に示されている。コイル747の対向する2つの端部は、取付具743における孔を貫通している。取付具743は、アクセス孔749と同様の開口を覆って配置され得る。リング状の導電性突条750は、内側導体711と電流センサ741との間に介在した状態で見られる。誘電体スリット725は、導電性突条750の両側に見られる。
マンドレル642及び742などの、マンドレルの使用によって、電流センサ設計において、多くの巻回を有するコイルを電流ピックアップとして使用することが可能になる。巻数が多いほど、それぞれの電流センサの感度が高まる。感度の向上によって、各巻回がより小さな断面を有することが可能となり、したがって、電流センサ全体が小型化され、他の方法ではアクセスできない領域に電流センサを配置することが可能となり得る。
本開示で説明するマンドレルは円環状の形状とされるが、他の形状、例えば、正方形又は任意の数の辺を有する正多角形が使用され得ることが理解される。更に、マンドレルを使用せずに、様々な形状のピックアップを実装することができる。
本開示で説明する実施形態の様々な態様は、様々な他の製造技術を使用してV-Iセンサを製造するために適用され得る。例えば、電流ピックアップは、プリント基板(PCB)技術でなど、ビアを介して連結された誘電体及び導電性材料の層で製造することができる。
上で説明した円環状電流センサの実施形態は、円環体の軸対称性、多巻回電流ピックアップのノイズに対するより高い耐性、及びコンパクトな構造で得られる利便性という利点をもたらす。
本開示で説明する、V-Iセンサ及び測定方法は、低い製造コストで極めて高精度の測定を可能にし得る実施形態を提供する。加工誤差及び組み立て誤差に対するV-I測定の感度を下げるように意図された設計特徴を含めることによって、低い製造コストでの高い精度が達成され得る。電流センサの精度は、電流ピックアップの幾何学形状を決定する寸法(例えば、矩形の半ループで囲まれた領域)にばらつきを生じさせる加工公差によって決まる。電流の測定精度もまた、組立公差、例えば、長手方向軸線からの径方向距離と、半ループの平面と長手方向軸線との間の角度とを含む、電流ピックアップが配置され得る精度によって制限され得る。同様に、電圧の測定精度は、加工公差(例えば、電圧ピックアップリングの直径及び円周の正確さ)と、組立公差(例えば、リングの平面と長手方向軸線との間の角度)によって決まる。発明者らは、電流及び電圧ピックアップの寸法及び配置の変動に対するV-Iセンサ信号の感度の詳細なコンピュータシミュレーションを実行し、1%の高精度が標準的な機械及び0.005インチの配置公差値に対して達成され得ることを見出した。コンピュータシミュレーションは、プラズマ処理で使用されるRF電力、RF周波数、及び負荷インピーダンスの広い範囲にわたってマックスウェル方程式の較正された3D有限要素ソルバーを使用して行われる。
この用途の例示的な実施形態をここでまとめる。他の実施形態も、本明細書の全体及び本明細書で出願される特許請求の範囲から理解することができる。
例1.無線周波数(RF)システムは、負荷にRF信号で給電するように構成された無線周波数(RF)電源と、RF電源を負荷に結合する接地に接続された外側導体と内側導体とを含むRF管と、RF信号を搬送するRF管の中心軸線に位置合わせされた電流センサとを含む。電流センサは、RF信号の電流を監視するように構成され、RF管に近接して配置された導電性半ループを含み、導電性半ループは、第1の端部と反対側の第2の端部とを含む。電流センサは、第1の端部と第2の端部との間に出力信号を出力するように構成される。センサ筐体は、RF管の周囲に配置され、センサ筐体は、RF管の外側導体に接続された導電性材料を含む。ギャラリは、センサ筐体内に且つRF管の外側導体の外側に配置され、電流センサは、ギャラリ内に配置される。RF管の外側導体におけるスリットは、RF管の内側導体内のRF信号の電流によって電流センサを磁界にさらす。
例2.スリットは、外側導体の内周に沿った長さと、RF管の中心軸線に平行な幅とを有し、幅は、0.5mm~5mmである、例1に記載のシステム。
例3.RF管の中心軸線に直交する方向に沿って、導電性半ループは、RF管の中心軸線を含む第1の鏡面対称面と、第1の鏡面対称面に直交する第2の鏡面対称面とを含み、導電性半ループの第1の鏡面対称面とRF管の中心軸線とは、同一平面上にある、例1又は2に記載のシステム。
例4.導電性半ループは、RF管の軸線に平行に位置合わせされた分岐部と、第1の分岐部の第1の端部に結合された第2の分岐部であって、第2の分岐部は、第1の分岐部に直交する、第2の分岐部と、第1の分岐部の第2の端部に結合された第3の分岐部であって、第3の分岐部は、第1の分岐部に直交し、第2の分岐部に平行である、第3の分岐部と
を含む、例1~3のいずれか1つに記載のシステム。
例5.導電性半ループの様々な分岐部を支持するための支持構造を絶縁することを更に含む、例1~4のいずれか1つに記載のシステム。
例6.RF管は、RF電源と負荷とに電気的に結合された内側導体と、基準電位ノードに電気的に結合された外側導体とを含む、例1~5のいずれか1つに記載のシステム。
例7.RF信号の電圧を監視するための第1の電圧センサであって、電圧センサは、RF管を中心として軸対称に配置される、第1の電圧センサを更に含む、例1~6のいずれか1つに記載のシステム。
例8.第1の電圧センサは、RF管の外側導体の内面に沿って配置された導電性リングと、導電性リングとRF管の外側導体との間に配置された絶縁リングであって、絶縁リングは、導電性リングをRF管から電気的に絶縁する、絶縁リングとを含む、例1~7のいずれか1つに記載のシステム。
例9.RF管を中心として対称に配置された第2の電圧センサであって、第1の電圧センサは、RF管の軸線上の第1の位置に位置し、第2の電圧センサは、RF管の軸線上の第2の位置に位置し、第1の位置と電流センサの鏡面対称面との間の第1の距離は、第2の位置と鏡面対称面との間の第2の距離とほぼ同じである、第2の電圧センサを更に含む、例1~8のいずれか1つに記載のシステム。
例10.無線周波数(RF)システムであって、負荷にRF信号で給電するように構成された無線周波数(RF)電源と、RF電源を負荷に結合する基準電位ノードに接続された外側導体と内側導体とを含むRF管と、RF信号を搬送するRF管の軸線を中心として軸対称に配置された第1の電圧センサであって、第1の電圧センサは、RF信号の電圧を監視するように構成される、第1の電圧センサと
を含む、無線周波数(RF)システム。
例11.RF管を中心として対称に配置された第2の電圧センサであって、第1の電圧センサは、RF管の軸線上の第1の位置に位置し、第2の電圧センサは、RF管の軸線上の第2の位置に位置する、第2の電圧センサを更に含む、例10に記載のシステム。
例12.第3の位置においてRF管の周囲に配置された電流センサであって、電流センサは、RF信号を搬送するRF管の軸線に位置合わせされ、電流センサは、RF信号の電流を監視するように構成される、電流センサを更に含む、例10又は11に記載のシステム。
例13.第3の位置は、第1の位置と第2の位置との間に配置される、例10~12のいずれか1つに記載のシステム。
例14.電流センサは、第1の端部と反対側の第2の端部とを含む導電性半ループを含み、RF管の軸線に直交する方向に沿って、導電性半ループは、RF管の軸線を含む第1の鏡面対称面と、第1の鏡面対称面に直交する第2の鏡面対称面とを含み、導電性半ループの第1の鏡面対称面とRF管の軸線とは、同一平面上にある、例10~13のいずれか1つに記載のシステム。
例15.第1の位置と鏡面対称面との間の第1の距離は、第2の位置と鏡面対称面との間の第2の距離とほぼ同じである、例10~14のいずれか1つに記載のシステム。
例16.無線周波数(RF)信号を測定する方法であって、RF信号を搬送するRF管の軸線に位置合わせされた電流センサを有することであって、電流センサは、センサ筐体内に且つRF管の外側導体の外側に配置されたギャラリ内に配置され、センサ筐体は、RF管の周囲に配置され、電流センサは、導電性半ループを含み、導電性半ループは、第1の端部と反対側の第2の端部とを含む、有することと、
第1の端部と第2の端部との間の出力信号を測定することに基づいて、RF信号の電流を特定することと
を含む、方法。
例17.RF管は、RF電源と負荷とに電気的に結合された内側導体と、外側導体とを含み、方法は、外側導体を接地することを更に含む、例16に記載の方法。
例18.RF管を中心として軸対称に配置された第1の電圧センサを有することと、第1の電圧センサの端子において電気信号を測定することに基づいて、RF信号の電圧を特定することとを更に含む、例16又は17に記載の方法。
例19.RF管を中心として対称に配置された第2の電圧センサを有することであって、第1の電圧センサは、RF管の軸線上の第1の位置に位置し、第2の電圧センサは、RF管の軸線上の第2の位置に位置する、有することと、第2の電圧センサの端子において別の電気信号を測定することであって、RF信号の電圧は、電気信号と別の電気信号とに基づいて特定される、測定することとを更に含む、例16~18のいずれか1つに記載の方法。
例20.導電性半ループは、RF管の軸線を含む第1の鏡面対称面と、第1の鏡面対称面に直交する第2の鏡面対称面とを含み、導電性半ループの第1の鏡面対称面とRF管の軸線とは、同一平面上にある、例16~19のいずれか1つに記載の方法。
本発明は、例示的な実施形態を参照して説明されているが、本明細書は、限定的な意味で解釈されることを意図するものではない。例示的な実施形態の様々な修正形態及び組み合わせ、並びに本発明の他の実施形態は、本明細書を参照することにより、当業者に明らかになるであろう。したがって、添付の特許請求の範囲は、そのようなあらゆる修正形態又は実施形態を包含することが意図される。

Claims (20)

  1. 無線周波数(RF)システムであって、
    負荷にRF信号で給電するように構成されたRF電源と、
    前記RF電源を前記負荷に結合する接地に接続された外側導体と内側導体とを含むRF管と、
    前記RF信号を搬送する前記RF管の中心軸線に位置合わせされた電流センサであって、前記電流センサは、前記RF信号の電流を監視するように構成され、前記電流センサは、前記RF管に近接して配置された導電性半ループを含み、前記導電性半ループは、第1の端部と反対側の第2の端部とを含み、前記電流センサは、前記第1の端部と前記第2の端部との間に出力信号を出力するように構成される、電流センサと、
    前記RF管の周囲に配置されたセンサ筐体であって、前記センサ筐体は、前記RF管の前記外側導体に接続された導電性材料を含む、センサ筐体と、
    前記センサ筐体内に且つ前記RF管の前記外側導体の外側に配置されたギャラリであって、前記電流センサは、前記ギャラリ内に配置される、ギャラリと、
    前記RF管の前記内側導体内の前記RF信号の前記電流によって前記電流センサを磁界にさらすための、前記RF管の前記外側導体におけるスリットと、
    を含む、システム。
  2. 前記スリットは、前記外側導体の内周に沿った長さと、前記RF管の前記中心軸線に平行な幅とを有し、前記幅は、0.5mm~5mmである、請求項1に記載のシステム。
  3. 前記RF管の前記中心軸線に直交する方向に沿って、前記導電性半ループは、前記RF管の前記中心軸線を含む第1の鏡面対称面と、前記第1の鏡面対称面に直交する第2の鏡面対称面と、を含み、前記導電性半ループの前記第1の鏡面対称面と前記RF管の前記中心軸線とは、同一平面上にある、請求項1に記載のシステム。
  4. 前記導電性半ループは、
    前記RF管の前記軸線に平行に位置合わせされた第1の分岐部と、
    前記第1の分岐部の第1の端部に結合された第2の分岐部であって、前記第2の分岐部は、前記第1の分岐部に直交する、第2の分岐部と、
    前記第1の分岐部の第2の端部に結合された第3の分岐部であって、前記第3の分岐部は、前記第1の分岐部に直交し、前記第2の分岐部に平行である、第3の分岐部と、
    を含む、請求項1に記載のシステム。
  5. 前記導電性半ループの前記様々な分岐部を支持するための支持構造を絶縁することを更に含む、請求項4に記載のシステム。
  6. 前記RF管は、
    前記RF電源と前記負荷とに電気的に結合された内側導体と、
    基準電位ノードに電気的に結合された外側導体と、
    を含む、請求項1に記載のシステム。
  7. 前記RF信号の電圧を監視するための第1の電圧センサであって、前記電圧センサは、前記RF管を中心として軸対称に配置される、第1の電圧センサを更に含む、請求項1に記載のシステム。
  8. 前記第1の電圧センサは、
    前記RF管の前記外側導体の内面に沿って配置された導電性リングと、
    前記導電性リングと前記RF管の前記外側導体との間に配置された絶縁リングであって、前記絶縁リングは、前記導電性リングを前記RF管から電気的に絶縁する、絶縁リングと、
    を含む、請求項7に記載のシステム。
  9. 前記RF管を中心として対称に配置された第2の電圧センサであって、前記第1の電圧センサは、前記RF管の前記軸線上の第1の位置に位置し、前記第2の電圧センサは、前記RF管の前記軸線上の第2の位置に位置し、前記第1の位置と前記電流センサの鏡面対称面との間の第1の距離は、前記第2の位置と前記鏡面対称面との間の第2の距離とほぼ同じである、第2の電圧センサを更に含む、請求項8に記載のシステム。
  10. 無線周波数(RF)システムであって、
    負荷にRF信号で給電するように構成されたRF電源と、
    前記RF電源を前記負荷に結合する基準電位ノードに接続された外側導体と内側導体とを含むRF管と、
    前記RF信号を搬送する前記RF管の軸線を中心として軸対称に配置された第1の電圧センサであって、前記第1の電圧センサは、前記RF信号の電圧を監視するように構成される、第1の電圧センサと、
    を含む、システム。
  11. 前記RF管を中心として対称に配置された第2の電圧センサであって、前記第1の電圧センサは、前記RF管の前記軸線上の第1の位置に位置し、前記第2の電圧センサは、前記RF管の前記軸線上の第2の位置に位置する、第2の電圧センサを更に含む、請求項10に記載のシステム。
  12. 第3の位置において前記RF管の周囲に配置された電流センサであって、前記電流センサは、前記RF信号を搬送する前記RF管の前記軸線に位置合わせされ、前記電流センサは、前記RF信号の電流を監視するように構成された、電流センサを更に含む、請求項11に記載のシステム。
  13. 前記第3の位置は、前記第1の位置と前記第2の位置との間に配置される、請求項12に記載のシステム。
  14. 前記電流センサは、第1の端部及び反対側の第2の端部を含む導電性半ループを含み、前記RF管の前記軸線に直交する方向に沿って、前記導電性半ループは、前記RF管の前記軸線を含む第1の鏡面対称面と、前記第1の鏡面対称面に直交する第2の鏡面対称面とを含み、前記導電性半ループの前記第1の鏡面対称面と前記RF管の前記軸線とは、同一平面上にある、請求項12に記載のシステム。
  15. 前記第1の位置と前記鏡面対称面との間の第1の距離は、前記第2の位置と前記鏡面対称面との間の第2の距離とほぼ同じである、請求項14に記載のシステム。
  16. 無線周波数(RF)信号を測定する方法であって、
    RF信号を搬送するRF管の軸線に位置合わせされた電流センサを有することであって、前記電流センサは、センサ筐体内に且つ前記RF管の外側導体の外側に配置されたギャラリ内に配置され、前記センサ筐体は、前記RF管の周囲に配置され、前記電流センサは、導電性半ループを含み、前記導電性半ループは、第1の端部と反対側の第2の端部とを含む、有することと、
    前記第1の端部と前記第2の端部との間の出力信号を測定することに基づいて、前記RF信号の電流を特定することと、
    を含む、方法。
  17. 前記RF管は、RF電源と負荷とに電気的に結合された内側導体と、外側導体と、を含み、
    前記方法は、前記外側導体を接地することを更に含む、請求項16に記載の方法。
  18. 前記RF管を中心として軸対称に配置された第1の電圧センサを有することと、
    前記第1の電圧センサの端子において電気信号を測定することに基づいて、前記RF信号の電圧を特定することと、
    を更に含む、請求項16に記載の方法。
  19. 前記RF管を中心として対称に配置された第2の電圧センサを有することであって、前記第1の電圧センサは、前記RF管の前記軸線上の第1の位置に位置し、前記第2の電圧センサは、前記RF管の前記軸線上の第2の位置に位置する、有することと、
    前記第2の電圧センサの端子において別の電気信号を測定することであって、前記RF信号の前記電圧は、前記電気信号と前記別の電気信号とに基づいて特定される、測定することと、
    を更に含む、請求項18に記載の方法。
  20. 前記導電性半ループは、前記RF管の前記軸線を含む第1の鏡面対称面と、前記第1の鏡面対称面に直交する第2の鏡面対称面と、を含み、
    前記導電性半ループの前記第1の鏡面対称面と、前記RF管の前記軸線と、は、同一平面上にある、請求項19に記載の方法。
JP2022580257A 2020-06-26 2021-06-17 Rf電圧及び電流(v-i)センサ並びに測定方法 Pending JP2023534624A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/913,526 US11600474B2 (en) 2020-06-26 2020-06-26 RF voltage and current (V-I) sensors and measurement methods
US16/913,526 2020-06-26
PCT/US2021/037752 WO2021262513A1 (en) 2020-06-26 2021-06-17 Rf voltage and current (v-i) sensors and measurement methods

Publications (2)

Publication Number Publication Date
JP2023534624A true JP2023534624A (ja) 2023-08-10
JPWO2021262513A5 JPWO2021262513A5 (ja) 2024-05-31

Family

ID=79031339

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022580257A Pending JP2023534624A (ja) 2020-06-26 2021-06-17 Rf電圧及び電流(v-i)センサ並びに測定方法

Country Status (6)

Country Link
US (1) US11600474B2 (ja)
JP (1) JP2023534624A (ja)
KR (1) KR20230027087A (ja)
CN (1) CN115715421A (ja)
TW (1) TW202215908A (ja)
WO (1) WO2021262513A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11817296B2 (en) * 2020-06-26 2023-11-14 Tokyo Electron Limited RF voltage and current (V-I) sensors and measurement methods
US20230358790A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Rf measurement from a transmission line sensor
TWI806771B (zh) * 2022-09-16 2023-06-21 英業達股份有限公司 抗靜電型電子裝置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834931A (en) 1996-10-31 1998-11-10 Sematech, Inc. RF current sensor
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US7972483B2 (en) 2001-12-31 2011-07-05 Tokyo Electron Limited Method of fault detection for material process system
US7154256B2 (en) 2002-02-28 2006-12-26 Tokyo Electron Limited Integrated VI probe
US7102345B2 (en) 2002-02-28 2006-09-05 Tokyo Electron Limited Portable VI probe
TWI328164B (en) 2002-05-29 2010-08-01 Tokyo Electron Ltd Method and apparatus for monitoring tool performance
US7084369B2 (en) 2002-08-20 2006-08-01 Tokyo Electron Limited Harmonic multiplexer
US7403764B2 (en) * 2004-11-30 2008-07-22 Turner Terry R RF power delivery diagnostic system
ATE441203T1 (de) * 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
KR20100067413A (ko) * 2008-12-11 2010-06-21 삼성전자주식회사 비접촉식 플라즈마 모니터링 장치, 플라즈마 처리 장치 및 비접촉식 플라즈마 모니터링 방법
US8847711B2 (en) 2012-08-07 2014-09-30 Harris Corporation RF coaxial transmission line having a two-piece rigid outer conductor for a wellbore and related methods
US10621265B2 (en) * 2013-05-09 2020-04-14 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion
KR101632603B1 (ko) 2014-09-30 2016-06-24 세메스 주식회사 전류 측정 센서 및 플라즈마 기판 처리 장치
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
KR101917523B1 (ko) 2017-06-27 2018-11-09 명지대학교 산학협력단 신호 출력 감도 조절이 가능한 알에프 센서
US11282679B2 (en) * 2019-05-22 2022-03-22 Samsung Electronics Co., Ltd. Plasma control apparatus and plasma processing system including the same
JP7253985B2 (ja) * 2019-06-12 2023-04-07 東京エレクトロン株式会社 マイクロ波供給機構、プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
TW202215908A (zh) 2022-04-16
CN115715421A (zh) 2023-02-24
KR20230027087A (ko) 2023-02-27
WO2021262513A1 (en) 2021-12-30
US20210407775A1 (en) 2021-12-30
US11600474B2 (en) 2023-03-07

Similar Documents

Publication Publication Date Title
JP2023534624A (ja) Rf電圧及び電流(v-i)センサ並びに測定方法
US5867020A (en) Capacitively coupled RF voltage probe having optimized flux linkage
US5793214A (en) Electromagnetic inductive probe
KR101257980B1 (ko) 높은 동적 범위를 갖는 직교 라디오 주파수 전압/전류 센서
US5834931A (en) RF current sensor
US20240038496A1 (en) RF Voltage and Current (V-I) Sensors and Measurement Methods
US7482814B2 (en) Electric/magnetic field sensor
US6239587B1 (en) Probe for monitoring radio frequency voltage and current
CN115327453A (zh) 电磁场复合探头
JP2004518144A (ja) 位置測定装置
JP2019532725A (ja) 共平面rfコイル給電
US20130134964A1 (en) Coil comprising a winding comprising a multi-axial cable
CN205263204U (zh) 瞬态电场传感器
CN110045171B (zh) 射频电压电流复合探头
JP2000258461A (ja) 高周波電流検出装置
JPH06100629B2 (ja) 磁界測定プロ−ブ
US20230132660A1 (en) Radio frequency (rf) system with embedded rf signal pickups
CN110531285B (zh) 一种多间隙瞬态磁场传感器
JP2022008080A (ja) 電流測定部品、電流測定装置及び電流測定方法
JP3487626B2 (ja) 抵抗器
JP3516766B2 (ja) 電磁誘導式プローブ
CN115327454A (zh) 磁场探头
CN117368548A (zh) 非接触式时域电流探头及其数字化校准系统
Schill Jr et al. Electric/magnetic field sensor

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240522

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240522