TW202201561A - Semiconductor device and method of forming the same - Google Patents

Semiconductor device and method of forming the same Download PDF

Info

Publication number
TW202201561A
TW202201561A TW110123301A TW110123301A TW202201561A TW 202201561 A TW202201561 A TW 202201561A TW 110123301 A TW110123301 A TW 110123301A TW 110123301 A TW110123301 A TW 110123301A TW 202201561 A TW202201561 A TW 202201561A
Authority
TW
Taiwan
Prior art keywords
layer
work function
region
gate
function layer
Prior art date
Application number
TW110123301A
Other languages
Chinese (zh)
Other versions
TWI783553B (en
Inventor
沙哈吉 B 摩爾
錢德拉謝卡爾 P 薩萬特
蔡俊雄
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201561A publication Critical patent/TW202201561A/en
Application granted granted Critical
Publication of TWI783553B publication Critical patent/TWI783553B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • H01L21/32132Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor device having a gate structure and a method of forming same are provided. The semiconductor device includes a substrate and a gate structure over the substrate. The substrate has a first region and a second region. The gate structure extends across an interface between the first region and the second region. The gate structure includes a first gate dielectric layer over the first region, a second gate dielectric layer over the second region, a first work function layer over the first gate dielectric layer, a barrier layer along a sidewall of the first work function layer and above the interface between the first region and the second region, and a second work function layer over the first work function layer, the barrier layer and the second gate dielectric layer. The second work function layer is in physical contact with a top surface of the first work function layer.

Description

半導體裝置及其形成方法Semiconductor device and method of forming the same

本發明實施例內容是有關於一種半導體裝置及其形成方法,特別是有關於一種半導體裝置的閘極結構及其形成方法,可以防止或減少金屬材料的擴散,以增進所製得的半導體裝置的性能。Embodiments of the present invention relate to a semiconductor device and a method for forming the same, and more particularly, to a gate structure of a semiconductor device and a method for forming the same, which can prevent or reduce the diffusion of metal materials, so as to improve the performance of the fabricated semiconductor device. performance.

半導體裝置係使用於各種不同的電子產品應用中,例如個人電腦、手機、數位相機及其他電子設備(electronic equipment)。半導體裝置的製造通常依序透過沉積絕緣層或介電層、導電層及半導體層材料於一半導體基底上方,並利用微影製程(lithography)來對各種不同的材料層進行圖案化,以在半導體基底的上方形成電路部件及元件。Semiconductor devices are used in various electronic product applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. The fabrication of semiconductor devices usually involves sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layer materials over a semiconductor substrate, and patterning the various material layers using lithography to form semiconductor layers. Circuit components and components are formed over the substrate.

半導體工業經由不斷縮小最小特徵部件尺寸(minimum feature size),其容許更多的部件整合於一給定區域,而可不斷地改進各種不同電子部件(例如,電晶體、二極體、電阻器、電容器等等)的積體密度。然而,當最小特徵部件的尺寸縮小時,也引發了待解決的其他問題。The semiconductor industry is continually improving various electronic components (eg, transistors, diodes, resistors, capacitors, etc.) bulk density. However, as the size of the smallest features shrinks, other problems to be solved also arise.

本發明的一些實施例提供一種半導體裝置。此半導體裝置包括一基底以及位於基底上方的一閘極結構(gate structure)。前述基底具有一第一區域和一第二區域。前述閘極結構延伸跨過前述第一區域和前述第二區域之間的一界面(interface)。前述閘極結構包括位於前述第一區域上方的一第一閘極介電層(first gate dielectric layer);位於前述第二區域上方的一第二閘極介電層(second gate dielectric layer);位於前述第一閘極介電層上方的一第一功函數層(first work function layer);沿著前述第一功函數層的一側壁以及位於前述第一區域和前述第二區域之間的前述界面上方的一阻障層(barrier layer);以及位於前述第一功函數層、前述阻障層和前述第二閘極介電層的上方的一第二功函數層(second work function layer)。前述第二功函數層係物理性的接觸前述第一功函數層的一頂表面。Some embodiments of the present invention provide a semiconductor device. The semiconductor device includes a substrate and a gate structure above the substrate. The aforementioned substrate has a first area and a second area. The gate structure extends across an interface between the first region and the second region. The gate structure includes a first gate dielectric layer over the first region; a second gate dielectric layer over the second region; and a second gate dielectric layer over the second region. a first work function layer above the first gate dielectric layer; along a sidewall of the first work function layer and the interface between the first region and the second region a barrier layer above; and a second work function layer located above the first work function layer, the barrier layer and the second gate dielectric layer. The second work function layer physically contacts a top surface of the first work function layer.

本發明的一些實施例又提供一種半導體裝置,包括一基底以及位於前述基底上方的一閘極結構(gate structure)。前述基底具有一第一區域和一第二區域。前述閘極結構的第一部分(first portion)位於前述第一區域上方,前述閘極結構的第二部分(second portion)位於前述第二區域上方。前述閘極結構包括位於前述第一區域上方的一第一閘極介電層(first gate dielectric layer);位於前述第二區域上方的一第二閘極介電層(second gate dielectric layer);以及位於前述第一閘極介電層上方的一第一p型功函數層(first p-type work function layer)。前述第一p型功函數層具有一側壁,且前述側壁位於前述第一區域和前述第二區域之間的一界面上方。前述閘極結構更包括一阻障層(barrier layer),前述阻障層係物理性的接觸前述第一p型功函數層的前述側壁。前述阻障層沿著前述第一p型功函數層的前述側壁延伸而不高於前述第一p型功函數層的一頂表面。前述閘極結構更包括位於前述第一p型功函數層上方的一n型功函數層(n-type work function layer)。前述n型功函數層係物理性的接觸前述阻障層的一頂表面和一側壁。前述閘極結構更包括一第一導電層(first conductive layer)在前述第一區域之上且位於前述n型功函數層的第一部分(first portion)的上方,以及一第二導電層(second conductive layer)在前述第二區域之上且位於前述n型功函數層的第二部分(second portion)的上方。Some embodiments of the present invention further provide a semiconductor device including a substrate and a gate structure over the substrate. The aforementioned substrate has a first area and a second area. A first portion of the gate structure is located above the first region, and a second portion of the gate structure is located above the second region. The gate structure includes a first gate dielectric layer over the first region; a second gate dielectric layer over the second region; and a first p-type work function layer above the first gate dielectric layer. The first p-type work function layer has a sidewall, and the sidewall is located above an interface between the first region and the second region. The gate structure further includes a barrier layer, and the barrier layer physically contacts the sidewall of the first p-type work function layer. The barrier layer extends along the sidewall of the first p-type work function layer and is not higher than a top surface of the first p-type work function layer. The gate structure further includes an n-type work function layer located above the first p-type work function layer. The n-type work function layer physically contacts a top surface and a sidewall of the barrier layer. The gate structure further includes a first conductive layer on the first region and above the first portion of the n-type work function layer, and a second conductive layer layer) over the aforementioned second region and over a second portion of the aforementioned n-type work function layer.

本發明的一些實施例還提供半導體裝置的形成方法。此形成方法包括:在一基底上方形成一犧牲閘極(sacrificial gate)。前述基底具有一第一區域和一第二區域。前述犧牲閘極延伸跨過前述第一區域和前述第二區域之間的一界面(interface)。去除前述犧牲閘極以形成一開口(opening)。在前述第一區域上方的前述開口中形成一第一閘極介電層(first gate dielectric layer)。在前述第二區域上方的前述開口中形成一第二閘極介電層(second gate dielectric layer)。在前述開口中的前述第一閘極介電層上方形成一第一功函數層(first work function layer)。在前述開口中的前述第一功函數層和前述第二閘極介電層上方沉積一介電層。前述介電層包括一第一材料(first material)。圖案化前述介電層,以在前述第一功函數層的一側壁上形成一阻障層(barrier layer)。在前述第一功函數層以及前述阻障層的上方形成一第二功函數層(second work function layer)。Some embodiments of the present invention also provide methods of forming semiconductor devices. The forming method includes: forming a sacrificial gate over a substrate. The aforementioned substrate has a first area and a second area. The sacrificial gate extends across an interface between the first region and the second region. The aforementioned sacrificial gate is removed to form an opening. A first gate dielectric layer is formed in the opening above the first region. A second gate dielectric layer is formed in the opening above the second region. A first work function layer is formed over the first gate dielectric layer in the opening. A dielectric layer is deposited over the first work function layer and the second gate dielectric layer in the opening. The aforementioned dielectric layer includes a first material. The dielectric layer is patterned to form a barrier layer on a sidewall of the first work function layer. A second work function layer is formed above the first work function layer and the barrier layer.

以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一部件形成於一第二部件之上方或位於其上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。The following provides many different embodiments or examples for implementing different components of embodiments of the invention. Specific examples of components and configurations are described below to simplify embodiments of the invention. Of course, these are only examples, and are not intended to limit the embodiments of the present invention. For example, where the description refers to a first part being formed over or on a second part, it may include embodiments in which the first and second parts are in direct contact, and may also include additional parts formed on the first part. and the second part so that the first and second parts are not in direct contact. Additionally, embodiments of the present invention may repeat reference numerals and/or letters in many instances. These repetitions are for the purpose of simplicity and clarity and do not in themselves represent a specific relationship between the various embodiments and/or configurations discussed.

此外,此處可能使用空間上的相關用語,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」及其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。In addition, spatially related terms such as "under", "below", "below", "above", "above" and other similar terms may be used herein, In order to describe the relationship between one element or component and other elements or components as shown. This spatially relative term includes not only the orientation shown in the drawings, but also different orientations of the device in use or operation. The device can be rotated to other orientations (rotated 90 degrees or other orientations), and the spatially relative descriptions used herein can also be interpreted in accordance with the rotated orientation.

將針對特定上下文描述實施例,即半導體裝置的閘極結構及其形成方法。本文提出的各種實施例是在使用一閘極後置製程(gate-last process)形成的鰭式場效電晶體(FinFET)裝置的背景下討論的。在其他實施例中,可以使用一閘極先製製程(gate-first process)。而且,一些實施例考慮了在平面電晶體裝置、多閘極電晶體裝置、2D電晶體裝置、全繞式閘極電晶體裝置(gate-all-around transistor devices)、奈米線電晶體裝置等之中使用的方面。本文提出的各種實施例允許在相鄰半導體裝置之間的界面處沿著一個或多個功函數層(work function layers)的側壁形成一阻障層(barrier layer)。阻障層可以避免或減少金屬從第一半導體裝置的一閘極堆疊(gate stack)的一功函數層擴散到第二半導體裝置的一閘極堆疊的一功函數層。此外,阻障層可使第一半導體裝置的閘極堆疊與第二半導體裝置的閘極堆疊隔絕開來,並且可避免或減小由於金屬擴散引起的臨界電壓漂移(threshold voltage shift)。另外,可以將用來形成阻障層的各種製程步驟結合至用來形成一半導體裝置的一閘極堆疊的製造流程中。Embodiments will be described with respect to specific contexts, namely, gate structures of semiconductor devices and methods of forming the same. Various embodiments presented herein are discussed in the context of fin field effect transistor (FinFET) devices formed using a gate-last process. In other embodiments, a gate-first process may be used. Furthermore, some embodiments contemplate in planar transistor devices, multi-gate transistor devices, 2D transistor devices, gate-all-around transistor devices, nanowire transistor devices, etc. aspects used in it. Various embodiments presented herein allow for the formation of a barrier layer along the sidewalls of one or more work function layers at the interface between adjacent semiconductor devices. The barrier layer can prevent or reduce metal diffusion from a work function layer of a gate stack of the first semiconductor device to a work function layer of a gate stack of the second semiconductor device. Furthermore, the barrier layer may isolate the gate stack of the first semiconductor device from the gate stack of the second semiconductor device, and may avoid or reduce threshold voltage shift due to metal diffusion. Additionally, the various process steps used to form the barrier layer can be incorporated into the fabrication flow used to form a gate stack of a semiconductor device.

第1圖是根據本發明一些實施例的一鰭式場效電晶體(FinFET)的一示例的立體圖。鰭式場效電晶體(FinFET)包括在基底50(例如,半導體基底)上的鰭片52。隔離區域(isolation regions)56設置在基底50中,並且鰭片52自相鄰的隔離區域56之間突出並且突出於隔離區域56之上。雖然本文中將隔離區域56描述/示出為與基底50分離,但是如本文中所使用的“基底”一詞可以僅指半導體基底、或者包括隔離區域的一半導體基底。另外,儘管鰭片52被示為與基底50相同的單一連續材料,但是鰭片52以及/或基底50可以包括單一材料或多種材料。在本文中,鰭片52指的是在相鄰的隔離區域56之間延伸的部分。FIG. 1 is a perspective view of an example of a fin field effect transistor (FinFET) according to some embodiments of the present invention. A fin field effect transistor (FinFET) includes fins 52 on a substrate 50 (eg, a semiconductor substrate). Isolation regions 56 are disposed in the substrate 50 and the fins 52 protrude from between adjacent isolation regions 56 and over the isolation regions 56 . Although isolation regions 56 are described/shown herein as being separate from substrate 50, the term "substrate" as used herein may refer to only a semiconductor substrate, or a semiconductor substrate that includes isolation regions. Additionally, although the fins 52 are shown as the same single continuous material as the base 50, the fins 52 and/or the base 50 may comprise a single material or multiple materials. As used herein, fins 52 refer to portions extending between adjacent isolation regions 56 .

閘極介電層(gate dielectric layer)92沿著側壁並位於鰭片52的頂表面上方,並且閘極電極94位於閘極介電層92上方。源極/汲極區域82設置在鰭片52的相對側面,並對應閘極介電層92和閘極電極94。第1圖進一步示出了在後面圖式中使用的參考剖面。剖面A-A係沿著閘極電極94的縱軸,並且在例如垂直於鰭式場效電晶體(FinFET)的源極/汲極區域82之間的電流流動方向的一方向上。剖面B-B垂直於剖面A-A,並且沿著鰭片52的縱軸以及沿著例如鰭式場效電晶體(FinFET)的源極/汲極區域82之間的電流流動的方向。剖面C-C平行於剖面A-A,並且延伸穿過鰭式場效電晶體(FinFET)的源極/汲極區域。為了清楚起見,後續圖式係參照這些參考剖面。A gate dielectric layer 92 is located along the sidewalls and over the top surface of the fin 52 , and a gate electrode 94 is over the gate dielectric layer 92 . Source/drain regions 82 are disposed on opposite sides of fin 52 and correspond to gate dielectric layer 92 and gate electrode 94 . Figure 1 further shows the reference section used in the subsequent figures. Section A-A is along the longitudinal axis of gate electrode 94 and in a direction, eg, perpendicular to the direction of current flow between source/drain regions 82 of a fin field effect transistor (FinFET). Section B-B is perpendicular to section A-A and is along the longitudinal axis of fin 52 and along the direction of current flow between source/drain regions 82 of, for example, a fin field effect transistor (FinFET). Section C-C is parallel to section A-A and extends through the source/drain regions of a fin field effect transistor (FinFET). For the sake of clarity, subsequent drawings refer to these reference sections.

第2、3、4、5、6、7、8A、8B、9A、9B、10A、10B、10C、10D、11A、11B、12A、12B、13A、13B、14A、14B、15A、15B、16A和16B圖是根據本揭露一些實施例的製造鰭式場效電晶體(FinFET)裝置的中間階段的剖面圖。第2圖至第7圖示出了沿著第1圖所示的參考剖面A-A的剖面圖,除了此些圖式是示出多個鰭片/鰭式場效電晶體(FinFETs)。第8A、9A、10A、11A、12A、13A、14A、15A以及16A圖是沿著第1圖所示的參考剖面A-A繪示,第8B、9B、10B、11B、12B、13B、14B、15B以及16B圖是沿著第1圖所示的參考剖面B-B繪示,除了此些圖式是示出多個鰭片/鰭式場效電晶體(FinFET)之外。第10C圖和第10D圖是沿著第1圖所示的參考剖面C-C示出的,除了此些圖式是示出多個鰭片/鰭式場效電晶體(FinFET)之外。2, 3, 4, 5, 6, 7, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 15A, 15B, 16A and 16B are cross-sectional views of intermediate stages of fabrication of a Fin Field Effect Transistor (FinFET) device according to some embodiments of the present disclosure. FIGS. 2-7 show cross-sectional views along the reference section A-A shown in FIG. 1, except that these figures show a plurality of fins/fin field effect transistors (FinFETs). 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A and 16A are shown along the reference section AA shown in 16B are shown along the reference cross-section BB shown in FIG. 1, except that these figures show a plurality of fins/fin field effect transistors (FinFETs). Figures 10C and 10D are shown along the reference cross section C-C shown in Figure 1, except that these figures show a plurality of fins/fin field effect transistors (FinFETs).

在第2圖中,提供了一基底50。基底50可以是半導體基底,例如一塊狀半導體(bulk semiconductor)、一絕緣層上覆半導體(Semiconductor-On-Insulator;SOI)基底、或其類似物,其可以是摻雜(例如摻雜有p型或n型摻雜物)或未摻雜的基底。基底50可以是一晶圓,例如一矽晶圓。一般而言,一絕緣層上覆半導體(SOI)基底是在一絕緣層上形成的一半導體材料層。此絕緣層可例如是一埋入式的氧化物(buried oxide,BOX)層、一氧化矽層、或類似物。將上述絕緣層形成於一基底上,上述基底通常是一矽基底或一玻璃基底。也可以使用其他基底,例如多層或漸變基底。在一些實施例中,基底50的半導體材料可包含:矽;鍺;一化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;一合金半導體,包含矽鍺(SiGe)、磷化砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及/或磷化砷化鎵銦(GaInAsP);或上述之組合。In Figure 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (eg, doped with p type or n-type dopant) or undoped substrate. The substrate 50 may be a wafer, such as a silicon wafer. In general, a semiconductor-on-insulator (SOI) substrate is a layer of semiconductor material formed on an insulating layer. The insulating layer can be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulating layer is formed on a substrate, and the substrate is usually a silicon substrate or a glass substrate. Other substrates can also be used, such as multilayer or graded substrates. In some embodiments, the semiconductor material of the substrate 50 may include: silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; an alloy Semiconductors, including silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide (GaInP) and /or Gallium Indium Arsenide Phosphide (GaInAsP); or a combination of the above.

在一些實施例中,基底50具有一區域50A和與區域50A相鄰的一區域50B。區域50A可以用於形成第一裝置(first device),並且區域50B可以用於形成第二裝置(second device)。第一裝置和第二裝置中的每個裝置可以是一n型金屬氧化物半導體(NMOS)電晶體,例如n型鰭式場效電晶體(n-type FinFETs),或者是一p型金屬氧化物半導體(PMOS)電晶體,例如p型鰭式場效電晶體(p-type FinFETs)。In some embodiments, the substrate 50 has a region 50A and a region 50B adjacent to the region 50A. Area 50A may be used to form a first device, and area 50B may be used to form a second device. Each of the first and second devices may be an n-type metal oxide semiconductor (NMOS) transistor, such as n-type FinFETs, or a p-type metal oxide Semiconductor (PMOS) transistors, such as p-type FinFETs.

在第3圖中,在基底50的區域50A中形成鰭片52A,並且在基底50的區域50B中形成鰭片52B。鰭片52A和52B是半導體條(semiconductor strips)。在一些實施例中,可在基底50中蝕刻多個溝槽,以形成鰭片52A和52B。上述蝕刻可以是任何可接受的蝕刻製程,例如反應性離子蝕刻(reactive ion etch;RIE)、中性粒子束蝕刻(neutral beam etch;NBE)、類似的蝕刻製程、或前述蝕刻製程之組合。前述蝕刻製程可以是非等向性的(anisotropic)。In FIG. 3 , fins 52A are formed in region 50A of substrate 50 , and fins 52B are formed in region 50B of substrate 50 . Fins 52A and 52B are semiconductor strips. In some embodiments, a plurality of trenches may be etched in substrate 50 to form fins 52A and 52B. The etching may be any acceptable etching process, such as reactive ion etching (RIE), neutral beam etch (NBE), similar etching processes, or a combination of the foregoing etching processes. The aforementioned etching process may be anisotropic.

可以通過任何合適的方法來圖案化鰭片52A和52B。例如,可以使用一道或多道光學微影製程(photolithography processes)進行圖案化以形成鰭片52A和52B,上述光學微影製程包括雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程是結合了光學微影及自對準製程,得以使形成的圖案的節距(pitch)小於使用單一、直接的微影製程所能得到的節距。例如,在一實施例中,在一基底上方形成一犧牲層,並使用光學微影製程將此犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物(spacers)。然後去除犧牲層,然後可以使用留下的間隔物來圖案化鰭片52A和52B。在一些實施例中,遮罩(或其他層)可以留在鰭片52A和52B上。Fins 52A and 52B may be patterned by any suitable method. For example, the fins 52A and 52B may be patterned using one or more photolithography processes, including double-patterning or multi-patterning Process. In general, double-patterning or multi-patterning processes combine optical lithography and self-alignment processes to form patterns with pitches smaller than that obtainable using a single, direct lithography process . For example, in one embodiment, a sacrificial layer is formed over a substrate, and the sacrificial layer is patterned using an optical lithography process. Spacers are formed next to the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers can then be used to pattern fins 52A and 52B. In some embodiments, a mask (or other layer) may remain on fins 52A and 52B.

在第4圖中,在基底50上方且位於相鄰的鰭片52A和52B之間形成一絕緣材料54。上述絕緣材料54可以是例如氧化矽的氧化物、氮化物、其類似物、或前述之組合,且可以藉由高密度電漿化學氣相沉積法(HDP-CVD)、流動式化學氣相沉積法(flowable chemical vapor deposition,FCVD)(例如,在一遠距離電漿系統進行一化學氣相沉積類的材料的沉積,並進行後續的固化以使其轉變為另一種材料,例如氧化物)、其類似方法或上述之組合。上述絕緣材料54亦可使用藉由任何適當的製程形成的其他絕緣材料。在此示例中,絕緣材料54是以FCVD製程形成的氧化矽。在形成絕緣材料54之後,可進行一退火製程。在一些實施例中,可採用以過量的絕緣材料54覆蓋鰭片52A和52B的方式形成絕緣材料54。雖然示例中是繪示一個單層的絕緣材料54,但一些實施例中可使用多層結構的絕緣材料54。例如,在一些實施例中,可先沿著基底50以及鰭片52A和52B的表面形成襯層(liner)(未示出)。之後,可以在襯層上形成例如上述的一填充材料。In Figure 4, an insulating material 54 is formed over the substrate 50 and between adjacent fins 52A and 52B. The above-mentioned insulating material 54 can be, for example, an oxide of silicon oxide, a nitride, the like, or a combination of the foregoing, and can be deposited by high-density plasma chemical vapor deposition (HDP-CVD), flow chemical vapor deposition flowable chemical vapor deposition (FCVD) (eg, deposition of a chemical vapor deposition-like material in a remote plasma system and subsequent curing to convert it to another material, such as an oxide), Similar methods or combinations of the above. The above-mentioned insulating material 54 may also use other insulating materials formed by any suitable process. In this example, the insulating material 54 is silicon oxide formed by an FCVD process. After the insulating material 54 is formed, an annealing process may be performed. In some embodiments, insulating material 54 may be formed in such a way that excess insulating material 54 covers fins 52A and 52B. Although a single layer of insulating material 54 is shown in the example, multiple layers of insulating material 54 may be used in some embodiments. For example, in some embodiments, a liner (not shown) may first be formed along the surfaces of substrate 50 and fins 52A and 52B. Afterwards, a filling material such as described above may be formed on the liner.

在第5圖中,對絕緣材料54進行一去除製程,以去除鰭片52A和52B上方多餘的絕緣材料54。在一些實施例中,可使用一平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程(etch-back process)、前述之組合、或類似製程,進行絕緣材料的移除。平坦化製程暴露出鰭片52A和52B,並且完成平坦化製程後的鰭片52A和52B的頂面係與絕緣材料54的頂面共平面。In FIG. 5, a removal process is performed on the insulating material 54 to remove excess insulating material 54 above the fins 52A and 52B. In some embodiments, a planarization process, such as a chemical mechanical polish (CMP), an etch-back process, a combination of the foregoing, or the like, may be used to remove the insulating material. The planarization process exposes the fins 52A and 52B, and the top surfaces of the fins 52A and 52B after the planarization process are completed are coplanar with the top surface of the insulating material 54 .

在第6圖中,使絕緣材料54(參見第5圖) 下凹以形成淺溝槽隔離區(STI regions)56。下凹絕緣材料54可使得鰭片52A和52B的上方部分從相應的相鄰淺溝槽隔離區56之間突出。再者,淺溝槽隔離區56的頂表面可具有如圖所示的一平坦表面、一凸形(convex)表面、一凹形(concave)表面(例如為淺碟凹陷(dishing))、或前述形狀之組合。可藉由適當的蝕刻,將絕緣材料54的頂面形成為平坦表面、凸形表面及/或凹形表面。可使用一可接受的蝕刻製程來形成下凹的淺溝槽隔離區56,例如對於絕緣材料54的材料具有選擇性的蝕刻製程(例如,以比對鰭片52A和52B的材料更快的蝕刻速率對絕緣材料54的材料進行蝕刻)。舉例來說,可經由使用例如氫氟酸(dilute hydrofluoric;dHF)的一適當的蝕刻製程,來進行化學氧化物的移除。In FIG. 6 , insulating material 54 (see FIG. 5 ) is recessed to form shallow trench isolation regions (STI regions) 56 . Recessed insulating material 54 may cause upper portions of fins 52A and 52B to protrude from between respective adjacent shallow trench isolation regions 56 . Furthermore, the top surface of the shallow trench isolation region 56 may have a flat surface as shown, a convex surface, a concave surface (eg, dishing), or A combination of the aforementioned shapes. The top surface of insulating material 54 can be formed as a flat surface, a convex surface, and/or a concave surface by suitable etching. Recessed shallow trench isolation regions 56 may be formed using an acceptable etch process, such as an etch process that is selective to the material of insulating material 54 (eg, to etch faster than the material of fins 52A and 52B). rate to etch the material of insulating material 54). Removal of chemical oxides can be performed, for example, through a suitable etching process using, for example, dilute hydrofluoric (dHF).

關於第2圖至第6圖敘述的製程僅是可以如何形成鰭片的一個示例。在一些實施例中,鰭片可以通過磊晶生長製程形成。例如,可以在基底50的頂表面上方形成一介電層,並且可以蝕刻介電層而形成複數個穿過介電層的溝槽,以暴露出下方的基底50。可以在上述溝槽內磊晶成長而形成複數個同質磊晶結構(homoepitaxial structures),以及可以使上述介電層下凹而使上述同質磊晶結構從介電層突出,而形成複數個鰭片52A和52B。再者,在一些實施例中,可以使用異質磊晶結構(heteroepitaxial structures)形成鰭片52A和52B。例如,可以使第5圖中的鰭片52A和52B下凹,然後可以在凹陷的鰭片位置上方磊晶成長不同於鰭片52A和52B的材料。在此些實施例中,鰭片52A和52B包含下凹的材料以及在下凹的材料上方磊晶成長的材料。在又另外的其他實施例中,可以在基底50的一頂面的上方形成一介電層,可以蝕穿上述介電層而蝕刻出複數個溝槽。可以使用不同於基底50的材料以在上述溝槽內作磊晶成長而形成複數個異質磊晶結構,以及可以使上述介電層下凹而使得此些異質磊晶結構從介電層突出,以形成多個鰭片52A和52B。在一些實施例中,在磊晶成長同質磊晶結構或異質磊晶結構時,所磊晶成長的材料可在成長過程進行內摻雜(in situ doped),如此可免除之前或之後的佈植步驟,雖然內摻雜與佈植摻雜也可以一起進行。The process described with respect to FIGS. 2-6 is only one example of how the fins may be formed. In some embodiments, the fins may be formed by an epitaxial growth process. For example, a dielectric layer can be formed over the top surface of substrate 50, and the dielectric layer can be etched to form a plurality of trenches through the dielectric layer to expose substrate 50 below. A plurality of homoepitaxial structures can be formed by epitaxial growth in the above-mentioned trenches, and a plurality of fins can be formed by recessing the above-mentioned dielectric layer to make the above-mentioned homoepitaxial structures protrude from the dielectric layer 52A and 52B. Also, in some embodiments, the fins 52A and 52B may be formed using heteroepitaxial structures. For example, fins 52A and 52B in Figure 5 can be recessed, and then a different material than fins 52A and 52B can be epitaxially grown over the recessed fin locations. In such embodiments, fins 52A and 52B comprise recessed material and epitaxially grown material over the recessed material. In still other embodiments, a dielectric layer may be formed over a top surface of the substrate 50, and a plurality of trenches may be etched through the dielectric layer. A material different from the substrate 50 can be used for epitaxial growth in the trenches to form a plurality of hetero-epitaxial structures, and the dielectric layer can be recessed so that the hetero-epitaxial structures protrude from the dielectric layer, to form a plurality of fins 52A and 52B. In some embodiments, when epitaxially growing a homo-epitaxial structure or a hetero-epitaxial structure, the material to be epitaxially grown can be in situ doped during the growth process, which can eliminate the need for prior or subsequent implantation steps, although internal doping and implant doping can also be performed together.

再者,在區域50A與在區域50B磊晶成長不同的材料,可帶來一些優點。在各種實施例中,鰭片52A和52B的上方部分可包含矽鍺(Six Ge1-x ,x可在0至1的範圍)、碳化矽、純鍺或實質上的純鍺、一III-V族化合物半導體、一II-VI族化合物半導體、或類似材料。 例如,用以形成III-V族化合物半導體的可取得的材料可包含但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、及類似材料。Furthermore, different materials can be epitaxially grown in region 50A than in region 50B, which may bring some advantages. In various embodiments, the upper portions of fins 52A and 52B may comprise silicon germanium (S x Ge 1-x , x may be in the range 0 to 1), silicon carbide, pure germanium or substantially pure germanium, a III - Group V compound semiconductor, a Group II-VI compound semiconductor, or similar material. For example, available materials to form III-V compound semiconductors may include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

此外,在第6圖中,可以在鰭片52A和52B以及/或基底50中形成適當的井區(未示出)。在一些實施例中,可以在區域50A和50B中的每個區域中形成一P型井區或一N型井區,視要在區域50A和50B中形成的半導體裝置的類型而定。在一些實施例中,可以通過使用一光阻或其他遮罩(未示出)在區域50A和50B中形成適當的井區。例如,可以在基底50的區域50A和50B上方形成第一光阻。對第一光阻進行圖案化以暴露出基底50的區域50A,同時基底50的區域50B由第一光阻的留下部分所保護。可藉由使用一旋轉塗佈技術形成上述第一光阻,並且可以使用可接受的光學微影技術來對第一光阻進行圖案化。在第一光阻被圖案化之後,就在區域50A中進行n型不純物質的佈植或p型不純物質的佈植,並且第一光阻可作為一遮罩以實質上避免將不純物質植入到區域50B中。在上述佈植之後,可通過例如一可接受的灰化製程(ashing process),且接著進行一濕式清潔製程(wet clean process),以去除上述第一光阻。Additionally, in FIG. 6, suitable wells (not shown) may be formed in the fins 52A and 52B and/or the substrate 50 . In some embodiments, a P-type well region or an N-type well region may be formed in each of regions 50A and 50B, depending on the type of semiconductor device to be formed in regions 50A and 50B. In some embodiments, appropriate wells may be formed in regions 50A and 50B through the use of a photoresist or other mask (not shown). For example, a first photoresist may be formed over regions 50A and 50B of substrate 50 . The first photoresist is patterned to expose regions 50A of substrate 50 while regions 50B of substrate 50 are protected by the remaining portions of the first photoresist. The first photoresist described above can be formed by using a spin coating technique and can be patterned using acceptable photolithography techniques. After the first photoresist is patterned, the implantation of the n-type impurity material or the implantation of the p-type impurity material is performed in the region 50A, and the first photoresist can be used as a mask to substantially avoid the implantation of the impurity material into the area 50B. After the implantation, the first photoresist can be removed by, for example, an acceptable ashing process, followed by a wet clean process.

在區域50A的佈植之後,可以在基底50的區域50A和50B的上方形成第二光阻。對第二光阻進行圖案化以暴露出基底50的區域50B,同時基底的區域50A被第二光阻的留下部分所保護。可藉由使用一旋轉塗佈技術形成第二光阻,並且可以使用可接受的光學微影技術對第二光阻進行圖案化。在第二光阻被圖案化之後,就在區域50B中進行n型不純物質佈植或p型不純物質佈植,並且第二光阻可以作為遮罩以實質上避免不純物質被植入到區域50A中。在上述佈植之後,例如通過可接受的灰化製程(ashing process),且接著進行濕式清潔製程(wet clean process),以去除上述第二光阻。After implantation of region 50A, a second photoresist may be formed over regions 50A and 50B of substrate 50 . The second photoresist is patterned to expose regions 50B of the substrate 50 while regions 50A of the substrate are protected by the remaining portions of the second photoresist. The second photoresist can be formed by using a spin coating technique and can be patterned using acceptable photolithography techniques. Immediately after the second photoresist is patterned, either n-type impurity implantation or p-type impurity implantation is performed in region 50B, and the second photoresist can be used as a mask to substantially prevent impurities from being implanted into the region 50A. After the implantation, the second photoresist can be removed by, for example, an acceptable ashing process, followed by a wet clean process.

上述n型不純物質可以是磷、砷、銻、或類似物質,其以等於或小於1015 cm-2 的劑量植入到區域50A或50B中,例如在大約1012 cm-2 和大約1012 cm-2 之間。在一些實施例中,可以通過在大約1keV至大約10keV之間的植入能量來植入n型不純物質。上述p型不純物質可以是硼、BF2 、銦、或同類物質,並且以等於或小於1015 cm-2 ,例如在大約1012 cm-2 至大約1015 cm-2 之間的劑量植入到區域50A和50B中。在一些實施例中,可以通過在大約1keV至大約10keV的植入能量來植入p型不純物質。在進行區域50A和區域50B的植入之後,可以進行一退火製程(anneal process),將已被植入的上述p型不純物質以及/或n型不純物質活化。在一些實施例中,磊晶鰭片的生長材料可以在生長期間被原位摻雜(in situ doped),其可免除上述佈植,儘管原位摻雜和佈植摻雜也可以一起使用。The above-mentioned n-type impurity species may be phosphorus, arsenic, antimony, or the like, which are implanted into regions 50A or 50B at a dose equal to or less than 10 15 cm -2 , for example at about 10 12 cm -2 and about 10 12 between cm -2 . In some embodiments, the n-type impurity may be implanted with an implantation energy between about 1 keV to about 10 keV. The aforementioned p-type impurity may be boron, BF 2 , indium, or the like, and is implanted at a dose equal to or less than 10 15 cm -2 , eg, between about 10 12 cm -2 to about 10 15 cm -2 into areas 50A and 50B. In some embodiments, the p-type impurity may be implanted by implantation energy at about 1 keV to about 10 keV. After the implantation of the regions 50A and 50B, an anneal process may be performed to activate the implanted p-type and/or n-type impurities. In some embodiments, the growth material of the epitaxial fins may be in situ doped during growth, which may eliminate the implantation described above, although in situ doping and implant doping may also be used together.

在第7圖中,在鰭片52A和52B上形成虛置介電層(dummy dielectric layer)60。虛置介電層60可以是例如氧化矽、氮化矽、上述之組合、或其類似材料,並且依據可接受的技術而被沉積或是熱生長。在虛置介電層60之上形成虛置閘極層(dummy gate layer)62,並且在虛置閘極層62上方形成遮罩層(mask layer)64。可以在虛置介電層60上方沉積虛置閘極層62,然後例如藉由一化學機械研磨法(CMP)將虛置閘極層62平坦化。遮罩層64可以沉積在虛置閘極層62上方。虛置閘極層62可以是一導電材料,並且可以選自由非晶矽、多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物和金屬所組成之群組。在一實施例中,係沉積非晶矽並進行再結晶,以形成多晶矽。可以通過物理氣相沉積(physical vapor deposition;PVD)、化學氣相沉積(CVD)、濺鍍、或其他已知或已用來沉積導電材料的技術,以形成虛置閘極層62。虛置閘極層62也可以是相較於淺溝槽隔離區56的材料具有高蝕刻選擇比的其他材料。遮罩層64可包括例如 SiN、SiON或類似物。在所示的實施例中,係形成單一的虛置閘極層62及單一的遮罩層64橫跨區域50A和區域50B。在其他實施例中,在區域50A中形成的第一虛置閘極層可以不同於在區域50B中形成的第二虛置閘極層。注意的是,僅出於說明的目的,示出的虛置介電層60僅覆蓋鰭片52A和52B。在一些實施例中,可以沉積虛置介電層60,使得虛置介電層60覆蓋淺溝槽隔離區56的頂表面,且虛置介電層60在虛置閘極層62和淺溝槽隔離區56之間延伸。In FIG. 7, a dummy dielectric layer 60 is formed on the fins 52A and 52B. The dummy dielectric layer 60 may be, for example, silicon oxide, silicon nitride, combinations thereof, or the like, and is deposited or thermally grown according to acceptable techniques. A dummy gate layer 62 is formed over the dummy dielectric layer 60 , and a mask layer 64 is formed over the dummy gate layer 62 . Dummy gate layer 62 may be deposited over dummy dielectric layer 60 and then planarized, such as by a chemical mechanical polishing (CMP). A mask layer 64 may be deposited over the dummy gate layer 62 . The dummy gate layer 62 may be a conductive material, and may be selected from the group consisting of amorphous silicon, polysilicon, polysilicon germanium, metal nitrides, metal silicides, metal oxides, and metals. In one embodiment, amorphous silicon is deposited and recrystallized to form polysilicon. The dummy gate layer 62 may be formed by physical vapor deposition (PVD), chemical vapor deposition (CVD), sputtering, or other techniques known or used to deposit conductive materials. The dummy gate layer 62 may also be other materials having a high etch selectivity ratio compared to the material of the shallow trench isolation regions 56 . The mask layer 64 may include, for example, SiN, SiON, or the like. In the embodiment shown, a single dummy gate layer 62 and a single mask layer 64 are formed across regions 50A and 50B. In other embodiments, the first dummy gate layer formed in region 50A may be different from the second dummy gate layer formed in region 50B. Note that dummy dielectric layer 60 is shown covering only fins 52A and 52B for illustrative purposes only. In some embodiments, the dummy dielectric layer 60 may be deposited such that the dummy dielectric layer 60 covers the top surface of the shallow trench isolation region 56 and the dummy dielectric layer 60 is between the dummy gate layer 62 and the shallow trenches The trench isolation regions 56 extend between them.

在第8A、8B圖中,可以使用可接受的光學微影與蝕刻製程對遮罩層64(參見第7圖)進行圖案化,而形成遮罩74。然後,可以轉移遮罩74的圖案到虛置閘極層62上(參見第7圖),以形成虛置閘極(dummy gates)72。在一些實施例中,也可以通過可接受的蝕刻技術將遮罩74的圖案轉移至虛置介電層60。虛置閘極72分別覆蓋鰭片52A和52B的通道區(channel regions)58A和58B。遮罩74的圖案可以用來使各個虛置閘極72與相鄰的虛置閘極物理性地分隔開來。虛置閘極72也可具有與各個磊晶的鰭片52A和52B的長度方向(lengthwise direction)基本上垂直的長度方向。如下面更詳細描述的,虛置閘極72是犧牲閘極(sacrificial gates),並且隨後被替換閘極(replacement gates)所替換。因此,虛置閘極72也可以被稱為犧牲閘極。在其他實施例中,一些虛置閘極72沒有被替換並且留在形成的鰭式場效電晶體(FinFET)裝置的最終結構中。In Figures 8A, 8B, mask layer 64 (see Figure 7) may be patterned using acceptable photolithography and etching processes to form mask 74. The pattern of mask 74 may then be transferred onto dummy gate layer 62 (see FIG. 7 ) to form dummy gates 72 . In some embodiments, the pattern of mask 74 may also be transferred to dummy dielectric layer 60 by acceptable etching techniques. Dummy gate 72 covers channel regions 58A and 58B of fins 52A and 52B, respectively. The pattern of mask 74 can be used to physically separate each dummy gate 72 from adjacent dummy gates. The dummy gate 72 may also have a lengthwise direction substantially perpendicular to the lengthwise direction of the respective epitaxial fins 52A and 52B. As described in more detail below, the dummy gates 72 are sacrificial gates and are subsequently replaced by replacement gates. Therefore, the dummy gate 72 may also be referred to as a sacrificial gate. In other embodiments, some of the dummy gates 72 are not replaced and remain in the final structure of the formed Fin Field Effect Transistor (FinFET) device.

再者,在第8A、8B圖中,可以在虛置閘極72、遮罩74及/或鰭片52A和52B的暴露表面上形成閘極密封間隔物(gate seal spacers)80。可以通過熱氧化或是沉積,之後進行非等向性蝕刻(anisotropic etch)而形成閘極密封間隔物80。閘極密封間隔物80可以包括氧化矽、氮化矽、SiCN,SiOC、SiOCN、前述之組合、或其類似物。在形成閘極密封間隔件80之後,可以形成輕摻雜源極/汲極(lightly doped source/drain,LDD)區域(未特別繪示)。在一些實施例中,當在基底50的區域50A中形成p型裝置時,可以將p型不純物質植入到區域50A中的暴露的鰭片52A中。在一些實施例中,當在基底50的區域50A中形成n型裝置時,n型不純物質可以被植入到區域50A中的暴露的鰭片52A中。在一些實施例中,當在基底50的區域50B中形成p型裝置時,可以將p型不純物質植入到區域50B中的暴露鰭片52B中。在一些實施例中,當在基底50的區域50B中形成n型裝置時,可以將n型不純物質植入到區域50B中的暴露的鰭片52B中。 n型不純物質可以是先前討論的任何n型不純物質,並且p型不純物質可以是先前討論的任何p型不純物質。輕摻雜的源極/汲極區域可以具有在約1012 cm-2 至約1016 cm-2 之間的一不純物質劑量。在一些實施例中,可以在大約1keV至大約10keV之間的植入能量來植入n型不純物質或p型不純物質。可使用一退火步驟來活化已經植入的不純物質。Furthermore, in Figures 8A, 8B, gate seal spacers 80 may be formed on the exposed surfaces of dummy gate 72, mask 74, and/or fins 52A and 52B. The gate sealing spacer 80 may be formed by thermal oxidation or deposition followed by an anisotropic etch. The gate sealing spacer 80 may comprise silicon oxide, silicon nitride, SiCN, SiOC, SiOCN, combinations of the foregoing, or the like. After the gate sealing spacers 80 are formed, lightly doped source/drain (LDD) regions (not specifically shown) may be formed. In some embodiments, when p-type devices are formed in region 50A of substrate 50, p-type impurities may be implanted into exposed fins 52A in region 50A. In some embodiments, when forming n-type devices in region 50A of substrate 50, n-type impurities may be implanted into exposed fins 52A in region 50A. In some embodiments, when a p-type device is formed in region 50B of substrate 50, p-type impurities may be implanted into exposed fins 52B in region 50B. In some embodiments, when forming n-type devices in region 50B of substrate 50, n-type impurities may be implanted into exposed fins 52B in region 50B. The n-type impurity species can be any of the n-type impurities discussed previously, and the p-type impurity species can be any of the p-type impurities previously discussed. The lightly doped source/drain regions may have an impurity dose between about 10 12 cm -2 to about 10 16 cm -2 . In some embodiments, the n-type impurity species or the p-type impurity species may be implanted at implant energies between about 1 keV and about 10 keV. An annealing step can be used to activate the implanted impurities.

在第9A、9B圖中,在閘極密封間隔物80上且沿著虛置閘極72、遮罩74和/或鰭片52A和52B的側壁形成閘極間隔物(gate spacers)86。可以順應性地沉積一絕緣材料,且之後對此絕緣材料進行非等向性蝕刻(anisotropically etching),以形成閘極間隔物86。閘極間隔物86的絕緣材料可以是氧化矽、氮化矽、SiCN、SiOC、SiOCN、前述之組合、或其類似物。在一些實施例中,閘極間隔物86可以包括多個層(未示出),使得這些層包括不同的材料。In FIGS. 9A, 9B, gate spacers 86 are formed on gate sealing spacers 80 and along the sidewalls of dummy gate 72, mask 74, and/or fins 52A and 52B. An insulating material may be conformally deposited and then anisotropically etched to form gate spacers 86 . The insulating material of gate spacer 86 may be silicon oxide, silicon nitride, SiCN, SiOC, SiOCN, combinations of the foregoing, or the like. In some embodiments, gate spacer 86 may include multiple layers (not shown) such that the layers include different materials.

應注意的是,以上揭露內容大致上描述了形成間隔物和輕摻雜源極/汲極(LDD)區域的製程。也可以使用其他製程和步驟順序形成間隔物和輕摻雜源極/汲極(LDD)區域。例如,可以利用較少或額外的間隔物,可以利用不同順序的步驟(例如,可以在形成閘極間隔物86之前不蝕刻閘極密封間隔物80,從而產生“ L形”閘極密封間隔物或其他形狀的間隔物,而間隔物可以形成或去除。此外,可以使用不同的結構和步驟來形成n型裝置和p型裝置,例如,可以在形成閘極之前形成用於n型裝置的輕摻雜源極/汲極(LDD)區域,而在形成閘極密封間隔物80之後可以形成用於p型裝置的輕摻雜源極/汲極(LDD)區域。It should be noted that the above disclosure generally describes processes for forming spacers and lightly doped source/drain (LDD) regions. Other processes and sequences of steps may also be used to form spacers and lightly doped source/drain (LDD) regions. For example, fewer or additional spacers may be utilized, a different sequence of steps may be utilized (eg, gate seal spacers 80 may not be etched prior to forming gate spacers 86, resulting in "L-shaped" gate seal spacers or other shapes of spacers, and spacers can be formed or removed. In addition, different structures and steps can be used to form n-type and p-type devices, for example, light for n-type devices can be formed before gates are formed. Source/drain (LDD) regions are doped, while lightly doped source/drain (LDD) regions for p-type devices may be formed after gate sealing spacers 80 are formed.

在第10A、10B圖中,分別在鰭片52A和52B中形成磊晶源極/汲極區(epitaxial source/drain regions)82A和82B,以對相應的通道區58A和58B的各個通道區施加應力(stress),因而改善了裝置性能。磊晶源極/汲極區域82A和82B分別形成在鰭片52A和52B中,使得各個虛置閘極72設置在一對相鄰的磊晶源極/汲極區域82A和82B之間。在一些實施例中,磊晶源極/汲極區域82A和82B可以分別延伸至鰭片52A和52B中,並且也可以穿透鰭片52A和52B。在一些實施例中,閘極間隔物86用於將磊晶源極/汲極區域82A和82B與虛置閘極72以一適當的側向距離分隔開來,使得磊晶源極/汲極區域82A和82B不會與後續形成的鰭式場效電晶體(FinFETs)的閘極構成短路。In FIGS. 10A and 10B, epitaxial source/drain regions 82A and 82B are formed in fins 52A and 52B, respectively, to apply pressure to the respective channel regions of corresponding channel regions 58A and 58B. stress, thereby improving device performance. Epitaxial source/drain regions 82A and 82B are formed in fins 52A and 52B, respectively, such that respective dummy gates 72 are disposed between a pair of adjacent epitaxial source/drain regions 82A and 82B. In some embodiments, epitaxial source/drain regions 82A and 82B may extend into fins 52A and 52B, respectively, and may also penetrate fins 52A and 52B. In some embodiments, gate spacers 86 are used to separate epitaxial source/drain regions 82A and 82B from dummy gate 72 by a suitable lateral distance such that the epitaxial source/drain regions The pole regions 82A and 82B do not form short circuits with the gates of subsequently formed fin field effect transistors (FinFETs).

可以通過蝕刻鰭片52A和52B的源極/汲極區域以在鰭片52A和52B中形成凹部(recesses),而分別在區域50A和50B中形成磊晶源極/汲極區域82A和82B。然後,在各個凹部中磊晶生長前述之磊晶源極/汲極區域82A和82B。在一些實施例中,當在基底50的區域50A中形成一n型裝置時,磊晶源極/汲極區域82A可以包含任何可接受的材料,例如適用於n型鰭式場效電晶體(FinFET)的材料。舉例來說,如果鰭片52A由矽形成,則磊晶源極/汲極區域82A可包含能對通道區58施加一拉伸應變(tensile strain)的材料,例如矽、SiC、SiCP、SiP、前述之組合、或其類似物質。磊晶源極/汲極區域82A所具有的表面可分別高於各鰭片52A的各個表面,且磊晶源極/汲極區82可具有刻面(facets)。在一些實施例中,當在基底50的區域50A中形成一p型裝置時,磊晶源極/汲極區域82A可以包括任何可接受的材料,例如適用於p型鰭式場效電晶體(FinFET)的材料。例如,如果鰭片52A由矽形成,則磊晶源極/汲極區域82A可包含能對通道區58施加一壓縮應變(compressive strain)的材料,例如SiGe、SiGeB、Ge、GeSn、前述之組合、或其類似物質。磊晶源極/汲極區域82A所具有的表面可分別高於各鰭片52A的各個表面,並且具有刻面(facets)。Epitaxial source/drain regions 82A and 82B may be formed in regions 50A and 50B, respectively, by etching the source/drain regions of fins 52A and 52B to form recesses in fins 52A and 52B. Then, the aforementioned epitaxial source/drain regions 82A and 82B are epitaxially grown in the respective recesses. In some embodiments, when forming an n-type device in region 50A of substrate 50, epitaxial source/drain region 82A may comprise any acceptable material, such as suitable for n-type fin field effect transistors (FinFETs) )s material. For example, if the fins 52A are formed of silicon, the epitaxial source/drain regions 82A may include materials capable of imparting a tensile strain to the channel regions 58, such as silicon, SiC, SiCP, SiP, A combination of the foregoing, or the like. The epitaxial source/drain regions 82A may have surfaces higher than the respective surfaces of the fins 52A, and the epitaxial source/drain regions 82 may have facets. In some embodiments, when forming a p-type device in region 50A of substrate 50, epitaxial source/drain region 82A may comprise any acceptable material, such as suitable for use in p-type fin field effect transistors (FinFETs). )s material. For example, if fins 52A are formed of silicon, epitaxial source/drain regions 82A may include materials capable of imparting a compressive strain to channel region 58, such as SiGe, SiGeB, Ge, GeSn, combinations of the foregoing , or its equivalent. The epitaxial source/drain regions 82A may have surfaces that are higher than the surfaces of the fins 52A, respectively, and have facets.

在一些實施例中,當在基底50的區域50B中形成一n型裝置時,磊晶源極/汲極區域82B可以包括任何可接受的材料,例如適合於n型鰭式場效電晶體(FinFET)的任何材料。例如,如果鰭片52B由矽形成,則磊晶源極/汲極區域82B可以包含能對通道區58B施加一拉伸應變(tensile strain)的材料,例如矽、SiC、SiCP、SiP、前述之組合、或其類似物質。磊晶源極/汲極區域82B所具有的表面可分別高於各鰭片52B的各個表面,且磊晶源極/汲極區域82B具有刻面(facets)。在一些實施例中,當在基底50的區域50B中形成一p型裝置時,磊晶源極/汲極區域82B可以包括任何可接受的材料,例如適用於p型鰭式場效電晶體(FinFET)的任何材料。例如,如果鰭片52B由矽形成,則磊晶源極/汲極區域82B可以包含能對通道區58施加一壓縮應變(compressive strain)的材料,例如SiGe、SiGeB、Ge、GeSn、前述之組合、或其類似物質。磊晶源極/汲極區域82B所具有的表面可分別高於各鰭片52B的各個表面,且磊晶源極/汲極區域82B具有刻面(facets)。In some embodiments, when forming an n-type device in region 50B of substrate 50, epitaxial source/drain region 82B may comprise any acceptable material, such as suitable for n-type fin field effect transistors (FinFETs). ) of any material. For example, if fin 52B is formed of silicon, epitaxial source/drain region 82B may comprise a material capable of imparting a tensile strain to channel region 58B, such as silicon, SiC, SiCP, SiP, the foregoing combination, or the like. The epitaxial source/drain regions 82B may have surfaces that are higher than the respective surfaces of the fins 52B, and the epitaxial source/drain regions 82B have facets. In some embodiments, when a p-type device is formed in region 50B of substrate 50, epitaxial source/drain region 82B may comprise any acceptable material, such as suitable for use in p-type fin field effect transistors (FinFETs). ) of any material. For example, if the fins 52B are formed of silicon, the epitaxial source/drain regions 82B may include materials capable of imparting a compressive strain to the channel regions 58, such as SiGe, SiGeB, Ge, GeSn, combinations of the foregoing , or its equivalent. The epitaxial source/drain regions 82B may have surfaces that are higher than the respective surfaces of the fins 52B, and the epitaxial source/drain regions 82B have facets.

可對磊晶源極/汲極區域82A和82B以及/或鰭片52A和52B佈植摻雜物,以形成源極/汲極區域(source/drain regions),其製程類似於前述討論的用以形成輕摻雜源極/汲極區的製程,摻雜後進行退火製程。源極/汲極區域82A和82B可以具有大約1019 cm-3 至大約1021 cm-3 之間的不純物質濃度。用於源極/汲極區域82A和82B的n型不純物質以及/或p型不純物質可以是先前討論的任何不純物質。在一些實施例中,磊晶源極/汲極區域82A和82B可以在生長期間進行原位摻雜(in situ doped)。Dopants may be implanted on epitaxial source/drain regions 82A and 82B and/or fins 52A and 52B to form source/drain regions in a process similar to that discussed above. In order to form a process of lightly doped source/drain regions, an annealing process is performed after doping. The source/drain regions 82A and 82B may have an impurity concentration between about 10 19 cm -3 to about 10 21 cm -3 . The n-type impurities and/or p-type impurities for source/drain regions 82A and 82B may be any of the impurities previously discussed. In some embodiments, epitaxial source/drain regions 82A and 82B may be in situ doped during growth.

根據用來形成磊晶源極/汲極區域82A和82B的磊晶製程的結果,磊晶源極/汲極區域的上表面具有刻面,這些刻面分別橫向地向外擴展而超過鰭片52A和52B的側壁。在一些實施例中,這些刻面使得在區域50A中形成的一裝置的相鄰的磊晶源極/汲極區域82A以及在區域50B中形成的一裝置的相鄰的磊晶源極/汲極區域82B合併,如第10C圖所示。在其他實施例中,如第10D圖所示,在磊晶製程完成之後,在區域50A中形成的一裝置的相鄰的磊晶源極/汲極區域82A以及在區域50B中形成的一裝置的相鄰的磊晶源極/汲極區域82B則保持分離。在第10C圖和第10D圖所示的實施例中,所形成的閘極間隔物86係覆蓋鰭片52A和52B的側壁的一部分,此些側壁在淺溝槽隔離區56上方延伸,從而阻擋了磊晶生長。在一些其他實施例中,可以調整用於形成閘極間隔物86的間隔物蝕刻以去除間隔物材料,以允許磊晶生長的區域延伸到淺溝槽隔離區56的表面。As a result of the epitaxial process used to form epitaxial source/drain regions 82A and 82B, the upper surfaces of the epitaxial source/drain regions have facets that respectively extend laterally outward beyond the fins Sidewalls of 52A and 52B. In some embodiments, these facets are such that adjacent epitaxial source/drain regions 82A of a device formed in region 50A and adjacent epitaxial source/drain regions of a device formed in region 50B The pole regions 82B merge, as shown in Figure 10C. In other embodiments, as shown in FIG. 10D, after the epitaxial process is completed, the adjacent epitaxial source/drain regions 82A of a device formed in region 50A and a device formed in region 50B The adjacent epitaxial source/drain regions 82B remain separated. In the embodiment shown in FIGS. 10C and 10D, gate spacers 86 are formed to cover a portion of the sidewalls of fins 52A and 52B that extend over shallow trench isolation regions 56 to block epitaxial growth. In some other embodiments, the spacer etch used to form gate spacers 86 may be adjusted to remove spacer material to allow regions of epitaxial growth to extend to the surface of shallow trench isolation regions 56 .

在第11A、11B圖中,係在如第10A、10B圖所示之結構上沉積第一層間介電質(first ILD)88。可使用一介電材料形成第一層間介電質88,並且可以通過任何合適的方法進行沉積,例如化學氣相沉積法(CVD)、電漿輔助化學氣相沉積法(plasma-enhanced CVD ,PECVD)、流動式化學氣相沉積法(FCVD)、前述方法之組合、或其類似方法。介電材料例如包括磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽酸玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、非摻雜的矽玻璃(undoped Silicate Glass;USG)、或其類似物質。也可以是使用任何可接受的方法形成的其他的絕緣材料。在一些實施例中,可以在第一層間介電質88與磊晶源極/汲極區域82A和82B、遮罩74和閘極間隔物86之間設置一接觸蝕刻停止層(contact etch stop layer,CESL)87。接觸蝕刻停止層(CESL)87可以包括一介電材料,例如氮化矽、氧化矽、氮氧化矽、前述之組合、或其類似物,且接觸蝕刻停止層87具有與上方覆蓋的第一層間介電質88的材料不同的蝕刻速率。In Figures 11A, 11B, a first ILD 88 is deposited over the structure shown in Figures 10A, 10B. The first interlayer dielectric 88 can be formed using a dielectric material and can be deposited by any suitable method, such as chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (plasma-enhanced CVD), PECVD), flow chemical vapor deposition (FCVD), a combination of the foregoing, or the like. Dielectric materials include, for example, Phospho-Silicate Glass (PSG), Boro-Silicate Glass (BSG), Boron-Doped Phospho-Silicate Glass (BPSG), non-doped Silicon glass (undoped Silicate Glass; USG), or the like. Other insulating materials may also be formed using any acceptable method. In some embodiments, a contact etch stop layer may be provided between the first interlayer dielectric 88 and the epitaxial source/drain regions 82A and 82B, the mask 74 and the gate spacer 86 layer, CESL) 87. Contact etch stop layer (CESL) 87 may comprise a dielectric material such as silicon nitride, silicon oxide, silicon oxynitride, combinations of the foregoing, or the like, and has a first layer overlying the contact etch stop layer 87 The etch rate of the material of the inter-dielectric 88 is different.

在第12A、12B圖中,可以進行一平坦化製程,例如化學機械研磨(CMP),使第一層間介電質88的頂面與虛置閘極72的頂表面或是遮罩74的頂表面共平面。此平坦化製程亦可移除虛置閘極72上的遮罩74,以及移除沿著遮罩74側壁的一部分的閘極密封間隔物80及一部分的閘極間隔物86。在平坦化製程之後,虛置閘極72、閘極密封間隔物80、閘極間隔物86以及第一層間介電質88的頂表面皆形成共平面。因此,虛置閘極72的頂表面通過第一層間介電質88而暴露出來。在一些實施例中,平坦化製程使得第一層間介電質88的頂表面與遮罩74的頂表面齊平,而留下遮罩74(參見第11A圖和第11B圖)。In FIGS. 12A and 12B, a planarization process, such as chemical mechanical polishing (CMP), may be performed to make the top surface of the first interlayer dielectric 88 and the top surface of the dummy gate 72 or the mask 74 The top surfaces are coplanar. This planarization process also removes the mask 74 on the dummy gate 72 and removes a portion of the gate seal spacer 80 and a portion of the gate spacer 86 along a portion of the sidewall of the mask 74 . After the planarization process, the top surfaces of the dummy gate 72 , the gate sealing spacer 80 , the gate spacer 86 , and the first interlayer dielectric 88 are all coplanar. Therefore, the top surface of the dummy gate 72 is exposed through the first interlayer dielectric 88 . In some embodiments, the planarization process makes the top surface of the first interlayer dielectric 88 flush with the top surface of the mask 74, leaving the mask 74 (see Figures 11A and 11B).

在第13A、13B圖中,可通過一或多個蝕刻步驟而去除虛置閘極72以及遮罩74(如果存在),從而形成開口(openings)90。在開口90中的虛設介電層60的部分也可以被去除。在一些實施例中,僅虛設閘極72被去除,且虛設介電層60留下並且被開口90暴露出來。在一些實施例中,虛設介電層60從一晶粒的第一區域(例如,一核心邏輯區域)中的開口90被去除,並且留在此晶粒的第二區域(例如,一輸入/輸出區域)的開口90中。在一些實施例中,可利用一非等向性蝕刻製程(an anisotropic dry etch process)去除虛置閘極72。例如,蝕刻製程可包括使用一種或多種反應氣體的一乾式蝕刻製程,且此反應氣體可選擇性地蝕刻虛置閘極72,但不蝕刻第一層間介電質88或者閘極間隔物86。乾式蝕刻製程所使用的反應氣體可以是氨氣(NH3 )及/或氫氣(H2 )。各個開口90分別暴露出一相應鰭片52A(52B)的一個通道區58A(58B)。各個通道區58是位於一對相鄰的磊晶源極/汲極區82之間。在上述去除的過程中,在蝕刻虛置閘極 72時,可將虛置介電層60作為一蝕刻停止層。然後,在去除虛置閘極 72之後,可以選擇性地去除虛置介電層60。In Figures 13A, 13B, openings 90 may be formed by removing dummy gate 72 and mask 74 (if present) by one or more etching steps. Portions of dummy dielectric layer 60 in openings 90 may also be removed. In some embodiments, only dummy gate 72 is removed, and dummy dielectric layer 60 remains and is exposed by opening 90 . In some embodiments, dummy dielectric layer 60 is removed from openings 90 in a first region of a die (eg, a core logic region) and left in a second region of the die (eg, an input/ output area) in the opening 90. In some embodiments, the dummy gate 72 may be removed using an anisotropic dry etch process. For example, the etching process may include a dry etching process using one or more reactive gases that selectively etch the dummy gate 72 but not the first interlayer dielectric 88 or the gate spacer 86 . The reactive gas used in the dry etching process may be ammonia (NH 3 ) and/or hydrogen (H 2 ). Each opening 90 exposes a channel region 58A (58B) of a corresponding fin 52A (52B). Each channel region 58 is located between a pair of adjacent epitaxial source/drain regions 82 . In the above-mentioned removal process, the dummy dielectric layer 60 can be used as an etch stop layer when the dummy gate 72 is etched. Then, after the dummy gate 72 is removed, the dummy dielectric layer 60 may be selectively removed.

在第14A、14B圖中,分別在基底50的開口90內的區域50A和50B中形成閘極堆疊(gate stacks)95A和95B。閘極堆疊95A和95B也可以稱為替換閘極(replacement gates)。閘極堆疊95A沿著鰭片52A的通道區58A的側壁和頂表面延伸。閘極堆疊95B沿著鰭片52B的通道區58B的側壁和頂表面延伸。在一些實施例中,閘極堆疊95A和95B可以如以下參照第17-22圖所描述的方式而形成,並且將提供詳細描述如後。在其他實施例中,可以如以下參照第23-29圖所述形成閘極堆疊95A和95B,並且將提供詳細描述如後。在其他實施例中,可以如以下參照第30-37圖所述的內容而形成閘極堆疊95A和95B,並且將提供詳細描述如後。In Figures 14A, 14B, gate stacks 95A and 95B are formed in regions 50A and 50B within opening 90 of substrate 50, respectively. Gate stacks 95A and 95B may also be referred to as replacement gates. Gate stack 95A extends along the sidewalls and top surface of channel region 58A of fin 52A. Gate stack 95B extends along the sidewalls and top surface of channel region 58B of fin 52B. In some embodiments, gate stacks 95A and 95B may be formed as described below with reference to FIGS. 17-22, and a detailed description will be provided below. In other embodiments, gate stacks 95A and 95B may be formed as described below with reference to FIGS. 23-29, and a detailed description will be provided below. In other embodiments, gate stacks 95A and 95B may be formed as described below with reference to FIGS. 30-37, and a detailed description will be provided below.

在第15A、15B圖中,在第一層間介電質88以及閘極堆疊95A和95B的上方沉積第二層間介電質(second ILD)108。在一些實施例中,第二層間介電質108是以一流動式化學氣相沉積形成的一種可流動式的膜。在一些實施例中,第二層間介電質108是由一介電材料形成,此介電材料例如為磷矽玻璃(PSG)、硼矽酸玻璃(BSG)、硼磷矽玻璃(BPSG)、非摻雜的矽玻璃(USG)、前述之組合、或其類似物質,並且可藉由例如化學氣相沉積(CVD)與電漿輔助化學氣相沉積(PECVD)、前述方法之組合、或其類似的任何適當的方法沉積而成。在一些實施例中,第一層間介電質88和第二層間介電質108包括一相同材料。在其他實施例中,第一層間介電質88和第二層間介電質108包括不同的材料。在一些實施例中,在形成第二層間介電質108之前,使閘極堆疊95A和95B下凹,從而在閘極堆疊95A和95B上方以及閘極間隔件86的相對部分之間直接形成凹槽(recesses)。閘極遮罩(gate masks)96包括在凹槽中填充的一層或多層介電材料,介電材料例如是氮化矽、氮氧化矽、前述材料之組合、或類似材料,然後進行平坦化製程,以去除在第一層間介電質88上方延伸的介電材料的過量部分。隨後形成的閘極接觸件(gate contacts)110A和110B(參照第16A和16B圖),係分別穿過相應的閘極遮罩96,以接觸各個相應的閘極堆疊95A和95B的頂表面。In Figures 15A, 15B, a second ILD 108 is deposited over the first ILD 88 and the gate stacks 95A and 95B. In some embodiments, the second interlayer dielectric 108 is a flowable film formed by a flow chemical vapor deposition. In some embodiments, the second interlayer dielectric 108 is formed of a dielectric material such as phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), Undoped silica glass (USG), combinations of the foregoing, or the like, and can be produced by, for example, chemical vapor deposition (CVD) and plasma-assisted chemical vapor deposition (PECVD), combinations of the foregoing, or the like similarly deposited by any suitable method. In some embodiments, the first interlayer dielectric 88 and the second interlayer dielectric 108 comprise a same material. In other embodiments, the first interlayer dielectric 88 and the second interlayer dielectric 108 comprise different materials. In some embodiments, the gate stacks 95A and 95B are recessed prior to forming the second interlayer dielectric 108 , thereby forming a recess directly above the gate stacks 95A and 95B and between opposing portions of the gate spacers 86 . Recesses. The gate masks 96 include one or more layers of dielectric material, such as silicon nitride, silicon oxynitride, combinations of the foregoing, or the like, filled in the groove, and then subjected to a planarization process , to remove the excess portion of the dielectric material extending over the first interlayer dielectric 88 . Gate contacts 110A and 110B (refer to FIGS. 16A and 16B ) are subsequently formed through respective gate masks 96 to contact the top surfaces of respective respective gate stacks 95A and 95B.

在第16A和16B圖中,根據一些實施例,形成閘極接觸件110A和閘極接觸件110B以及源極/汲極接觸件112A和源極/汲極接觸件112B,且閘極接觸件110A、110B以及源極/汲極接觸件112A、112B分別穿過在區域50A和區域50B中的第二層間介電質108和第一層間介電質88。設置源極/汲極接觸件112A和112B的開口(openings)是穿過第一層間介電質88及第二層間介電質108而形成,設置閘極接觸件110A和110B的開口是穿過第二層間介電質108而形成。可以使用可接受的光學微影與蝕刻的技術來形成上述開口。在形成用於設置源極/汲極接觸件112A和112B的開口之後,分別在用來設置源極/汲極接觸件112A和112B的開口中形成矽化物層(silicide layers)114A和114B。在一些實施例中,係沉積金屬材料在用來設置源極/汲極接觸件112A和112B的開口中。金屬材料可以包括Ti、Co、Ni、NiCo、Pt、NiPt、Ir、PtIr、Er、Yb、Pd、Rh、Nb、前述之組合、或其類似材料,並且可以使用物理氣相沉積法((PVD)、濺鍍、前述之組合、或其類似方式而形成。之後,進行一退火製程(annealing process),以分別在區域50A和區域50B中形成矽化物層114A和114B。在磊晶源極/汲極區域82A和82B包括矽的一些實施例中,退火製程使金屬材料與矽反應,以在金屬材料與磊晶源極/汲極區域82A與磊晶源極/汲極區域82A和82B之間的界面處形成金屬材料的矽化物。在形成矽化物層114A和114B之後,使用適當的去除製程去除未反應的金屬材料部分。隨後,在用於設置源極/汲極接觸件112A和112B的開口中以及在閘極接觸件110A和110B的開口中形成一襯層(liner),例如一擴散阻障層(diffusion barrier layer)、一黏附層(adhesion layer)、或類似的材料層,且在前述用以設置源極/汲極接觸件112A和112B的開口中以及用以設置閘極接觸件110A和110B的開口中形成一導電材料。 襯層可以包括鈦、氮化鈦、鉭、氮化鉭、前述之組合、或其類似材料。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳、前述之組合、或其類似材料。可以進行例如化學機械研磨(CMP)製程的平坦化製程,以從第二層間介電質108的表面去除過量的材料。開口中的襯層和導電材料的留下部分則形成源極/汲極接觸件112A和112B以及形成閘極接觸件110A和110B。源極/汲極接觸件112A和112B分別物理性的和電性的耦接到磊晶源極/汲極區域82A和82B。閘極接觸件110A和110B分別物理性的和電性的耦接到閘極堆疊95A和95B。源極/汲極接觸件112A和112B以及閘極接觸件110A和110B可以在不同的製程中形成,或者可以在相同的製程中形成。儘管示出為形成為相同的剖面,但是應當理解,源極/汲極接觸件112A和112B以及閘極接觸件110A和110B中的各部件可以形成為具有不同的剖面,如此可以避免接觸件的短路。In Figures 16A and 16B, according to some embodiments, gate contact 110A and gate contact 110B and source/drain contact 112A and source/drain contact 112B are formed, and gate contact 110A , 110B, and source/drain contacts 112A, 112B pass through the second interlayer dielectric 108 and the first interlayer dielectric 88 in regions 50A and 50B, respectively. Openings for the source/drain contacts 112A and 112B are formed through the first interlayer dielectric 88 and second interlayer dielectric 108, and openings for the gate contacts 110A and 110B are formed through formed through the second interlayer dielectric 108 . The openings can be formed using acceptable photolithography and etching techniques. After forming openings for placing source/drain contacts 112A and 112B, silicide layers 114A and 114B are formed in the openings for placing source/drain contacts 112A and 112B, respectively. In some embodiments, a metal material is deposited in the openings used to locate the source/drain contacts 112A and 112B. The metal material may include Ti, Co, Ni, NiCo, Pt, NiPt, Ir, PtIr, Er, Yb, Pd, Rh, Nb, a combination of the foregoing, or the like, and may use physical vapor deposition ((PVD) ), sputtering, a combination of the foregoing, or the like. After that, an annealing process is performed to form silicide layers 114A and 114B in regions 50A and 50B, respectively. On the epitaxial source/ In some embodiments in which drain regions 82A and 82B comprise silicon, the annealing process causes the metal material to react with the silicon to form a layer between the metal material and epitaxial source/drain regions 82A and epitaxial source/drain regions 82A and 82B. A silicide of metal material is formed at the interface between the silicide layers 114A and 114B. After the silicide layers 114A and 114B are formed, the unreacted portions of the metal material are removed using a suitable removal process. Subsequently, a silicide is used to set the source/drain contacts 112A and 112B. A liner, such as a diffusion barrier layer, an adhesion layer, or a similar material layer, is formed in the openings of the gate contacts 110A and 110B and in the openings of the gate contacts 110A and 110B, and A conductive material is formed in the aforementioned openings for the source/drain contacts 112A and 112B and in the openings for the gate contacts 110A and 110B. The liner may include titanium, titanium nitride, tantalum, nitrogen Tantalum, combinations of the foregoing, or similar materials. The conductive material may be copper, copper alloys, silver, gold, tungsten, cobalt, aluminum, nickel, combinations of the foregoing, or similar materials. For example, chemical mechanical polishing (CMP) can be performed. ) process to remove excess material from the surface of the second interlayer dielectric 108. The remaining portions of the liner and conductive material in the openings form source/drain contacts 112A and 112B and gates Pole contacts 110A and 110B. Source/drain contacts 112A and 112B are physically and electrically coupled to epitaxial source/drain regions 82A and 82B, respectively. Gate contacts 110A and 110B are physically and electrically coupled, respectively and electrically coupled to gate stacks 95A and 95B. Source/drain contacts 112A and 112B and gate contacts 110A and 110B may be formed in different processes, or may be formed in the same process. Although shown as being formed with the same cross-section, it should be understood that the various components in the source/drain contacts 112A and 112B and the gate contacts 110A and 110B may be formed with different cross-sections, which may avoid contact short circuit.

第17-22圖是根據本揭露一些實施例的製造包括第14A和14B圖所示的閘極堆疊95A和95B的閘極結構的多個中間階段的剖面示意圖。特別是,第17-22圖示出了當在開口90中形成閘極堆疊95A和95B時第14A圖的一區域89的詳細示意圖。在第17圖中,在區域50A和50B中的開口90中形成了界面層(interfacial layers)115A和界面層115B。在一些實施例中,每個界面層115A和界面層115B可以包括介電材料,例如氧化矽、氮氧化矽、氫氧化矽、氧化矽鍺、氧化鍺、前述之組合、或其類似材料,並且可以使用熱氧化、化學氧化、原子層沉積(ALD)、化學氣相沉積(CVD)、前述之組合、或其類似方法而形成。在一些實施例中,界面層115A和界面層115B包括相同的介電材料。在這樣的實施例中,可以通過在區域50A和區域50B兩者中的開口90中沉積一介電材料來形成界面層115A和界面層115B。在其他實施例中,界面層115A和界面層115B可以包括不同的介電材料。在一些實施例中,界面層115A和界面層115B包括分別通過鰭片52A和鰭片52B的材料的化學氧化或熱氧化而形成的不同的介電材料。在其他實施例中,當界面層115A和界面層115B包括不同的介電材料時,用於形成界面層115A和界面層115B的方法可以包括在區域50A和區域50B中的開口90中沉積第一介電材料(first dielectric material),使用適當的光學微影和蝕刻製程去除區域50B中的第一介電層的一部分,在區域50A和區域50B兩者中的開口90中沉積第二介電材料(second dielectric material),並且使用適當的光學微影和蝕刻製程去除區域50A中的第二介電層的一部分。在此示例中,在形成界面層115B之前形成界面層115A。或者,也可以在形成界面層115B之後形成界面層115A。在一些實施例中,界面層115A的厚度在大約5 Å與大約150 Å之間。在一些實施例中,界面層115B的厚度在大約5 Å與大約150 Å之間。FIGS. 17-22 are schematic cross-sectional views of various intermediate stages of fabricating a gate structure including the gate stacks 95A and 95B shown in FIGS. 14A and 14B in accordance with some embodiments of the present disclosure. In particular, FIGS. 17-22 show detailed schematic views of a region 89 of FIG. 14A when gate stacks 95A and 95B are formed in opening 90 . In Figure 17, interfacial layers 115A and 115B are formed in openings 90 in regions 50A and 50B. In some embodiments, each interface layer 115A and interface layer 115B may include a dielectric material such as silicon oxide, silicon oxynitride, silicon hydroxide, silicon germanium oxide, germanium oxide, combinations of the foregoing, or the like, and It may be formed using thermal oxidation, chemical oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), combinations of the foregoing, or the like. In some embodiments, interface layer 115A and interface layer 115B include the same dielectric material. In such an embodiment, interface layer 115A and interface layer 115B may be formed by depositing a dielectric material in opening 90 in both region 50A and region 50B. In other embodiments, the interface layer 115A and the interface layer 115B may include different dielectric materials. In some embodiments, interface layer 115A and interface layer 115B include different dielectric materials formed by chemical oxidation or thermal oxidation of the materials of fins 52A and 52B, respectively. In other embodiments, when interfacial layer 115A and interfacial layer 115B include different dielectric materials, the method for forming interfacial layer 115A and interfacial layer 115B may include depositing a first first dielectric material, using appropriate photolithography and etching processes to remove a portion of the first dielectric layer in region 50B, and deposit a second dielectric material in openings 90 in both regions 50A and 50B (second dielectric material), and a portion of the second dielectric layer in region 50A is removed using appropriate photolithography and etching processes. In this example, the interfacial layer 115A is formed before the interfacial layer 115B is formed. Alternatively, the interface layer 115A may be formed after the interface layer 115B is formed. In some embodiments, the thickness of the interface layer 115A is between about 5 Å and about 150 Å. In some embodiments, the thickness of the interface layer 115B is between about 5 Å and about 150 Å.

在形成界面層115A和界面層115B之後,在區域50A和區域50B中的開口90中分別形成閘極介電層(gate dielectric layer)116A和閘極介電層116B。閘極介電層116A和閘極介電層116B分別形成在界面層115A和界面層115B之上。在一些實施例中,閘極介電層116A和閘極介電層116B中的每一個可以包括氧化矽、氮化矽、多層的前述材料、或其類似材料。在一些實施例中,閘極介電層116A和閘極介電層116B中的各個可以包括一高介電常數之介電材料,並且在這些實施例中,閘極介電層116A和閘極介電層116B可以具有大於約7.0的介電常數(k)值,並且可以包括Hf、Al、Zr、La、Mg、Ba、Ti,Pb、Y、Sc、前述之組合、或其類似材料的金屬氧化物或矽酸鹽。閘極介電層116A和閘極介電層116B的形成方法可以包括分子束沉積(MBD)、原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、前述之組合、或其類似方法。在一些實施例中,閘極介電層116A和閘極介電層116B可以包括相同的介電材料。在這樣的實施例中,可以通過在區域50A和區域50B兩者中的開口90中沉積介電材料來形成閘極介電層116A和閘極介電層116B,使得區域50A中的介電材料的第一部分形成閘極介電層116A,以及區域50B中的介電材料的第二部分形成閘極介電層116B。在其他實施例中,閘極介電層116A和閘極介電層116B可以包括不同的介電材料。在這樣的實施例中,用於形成閘極介電層116A和閘極介電層116B的方法可以包括在區域50A和區域50B兩者中的開口90中沉積第一介電材料(first dielectric material),使用適當的光學微影和蝕刻製程去除在區域50B中的第一介電層中的一部分,在區域50A和區域50B兩者中的開口90中沉積第二介電材料(second dielectric material),並且在區域50A中去除第二介電層的一部分。在此示例中,在形成閘極介電層116B之前形成閘極介電層116A。另外在其他示例中,也可以在形成閘極介電層116B之後形成閘極介電層116A。在一些實施例中,閘極介電層116A的厚度在大約8 Å與大約300 Å之間。在一些實施例中,閘極介電層116B的厚度在大約8 Å與大約300 Å之間。After forming the interface layer 115A and the interface layer 115B, a gate dielectric layer 116A and a gate dielectric layer 116B are formed in the openings 90 in the regions 50A and 50B, respectively. Gate dielectric layer 116A and gate dielectric layer 116B are formed over interface layer 115A and interface layer 115B, respectively. In some embodiments, each of gate dielectric layer 116A and gate dielectric layer 116B may include silicon oxide, silicon nitride, multiple layers of the foregoing materials, or the like. In some embodiments, each of gate dielectric layer 116A and gate dielectric layer 116B may include a high-k dielectric material, and in these embodiments, gate dielectric layer 116A and gate Dielectric layer 116B may have a dielectric constant (k) value greater than about 7.0, and may include Hf, Al, Zr, La, Mg, Ba, Ti, Pb, Y, Sc, combinations of the foregoing, or similar materials. Metal oxides or silicates. The method of forming the gate dielectric layer 116A and the gate dielectric layer 116B may include molecular beam deposition (MBD), atomic layer deposition (ALD), plasma assisted chemical vapor deposition (PECVD), a combination of the foregoing, or the like method. In some embodiments, gate dielectric layer 116A and gate dielectric layer 116B may include the same dielectric material. In such an embodiment, gate dielectric layer 116A and gate dielectric layer 116B may be formed by depositing a dielectric material in openings 90 in both region 50A and region 50B such that the dielectric material in region 50A A first portion of the dielectric material in region 50B forms gate dielectric layer 116A, and a second portion of the dielectric material in region 50B forms gate dielectric layer 116B. In other embodiments, gate dielectric layer 116A and gate dielectric layer 116B may include different dielectric materials. In such an embodiment, the method for forming gate dielectric layer 116A and gate dielectric layer 116B may include depositing a first dielectric material in opening 90 in both region 50A and region 50B ), using appropriate photolithography and etching processes to remove a portion of the first dielectric layer in region 50B, deposit a second dielectric material in openings 90 in both region 50A and region 50B , and a portion of the second dielectric layer is removed in region 50A. In this example, gate dielectric layer 116A is formed before gate dielectric layer 116B is formed. Also in other examples, the gate dielectric layer 116A may also be formed after the gate dielectric layer 116B is formed. In some embodiments, the thickness of the gate dielectric layer 116A is between about 8 Å and about 300 Å. In some embodiments, the thickness of the gate dielectric layer 116B is between about 8 Å and about 300 Å.

在形成閘極介電層116A和閘極介電層116B之後,在區域50A的開口90中的閘極介電層116A上方形成一功函數層(work function layer)118。在一些實施例中,功函數層118可以是p型功函數層。p型功函數層可以包括TiN、WN、WCN、TaN、Ru、Co、W、前述之組合、多層前述材料、或其類似材料,並且可以使用物理氣相沉積法(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、前述之組合、或其類似方法而形成。在這樣的實施例中,用於形成功函數層118的方法可以包括:在區域50A和區域50B兩者的開口90中毯覆式的沉積一適當的材料,並且使用適當的光學微影和蝕刻製程去除在區域50B中的適當材料的一部分。在一些實施例中,功函數層118的厚度在約5 Å至約400埃之間。After forming gate dielectric layer 116A and gate dielectric layer 116B, a work function layer 118 is formed over gate dielectric layer 116A in opening 90 of region 50A. In some embodiments, the work function layer 118 may be a p-type work function layer. The p-type work function layer may include TiN, WN, WCN, TaN, Ru, Co, W, combinations of the foregoing, multiple layers of the foregoing materials, or the like, and may use physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), a combination of the foregoing, or the like. In such an embodiment, the method for forming the work function layer 118 may include blanket depositing an appropriate material in the openings 90 of both regions 50A and 50B, and using appropriate photolithography and etching The process removes a portion of the appropriate material in region 50B. In some embodiments, the thickness of the work function layer 118 is between about 5 Å and about 400 Å.

在第18圖中,在形成功函數層118之後,在區域50A和區域50B的開口90中毯覆式的沉積一阻障層(barrier layer)120。在一些實施例中,阻障層120可以包括TaN、TiN、TaTiN、AlN、Al2 O3 、HfO2 、ZrO2 、Si、Ti、V、前述之組合、多層的前述材料、或其類似材料,並且可以使用原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助原子層沉積(PEALD)、前述之組合、或其類似方法而形成。在一些實施例中,阻障層120的厚度在約5 Å與約250 Å之間。In FIG. 18, after the work function layer 118 is formed, a barrier layer 120 is blanket deposited in the openings 90 of the regions 50A and 50B. In some embodiments, the barrier layer 120 may include TaN, TiN, TaTiN, AlN, Al 2 O 3 , HfO 2 , ZrO 2 , Si, Ti, V, combinations of the foregoing, multiple layers of the foregoing materials, or the like , and may be formed using atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma assisted atomic layer deposition (PEALD), combinations of the foregoing, or the like. In some embodiments, the thickness of the barrier layer 120 is between about 5 Å and about 250 Å.

在第19圖中,在形成阻障層120之後,在阻障層120上進行一處理製程(treatment process),以形成阻障層120的一被處理部分(treated portion)126。處理製程將沉積的阻障層120的材料轉化為與沉積材料不同的另一種材料,使得阻障層120的被處理部分126包括轉化後的沉積的材料。在一些實施例中,阻障層120的被處理部分126具有比阻障層120的未被處理部分更高的蝕刻速率。在一些實施例中,阻障層120的被處理部分126的蝕刻速率相對於阻障層120的未處理部分的蝕刻速率的比值是在約1.8至約50的範圍之間。在一些實施例中,處理製程包括氧化製程、氟化製程、氮化製程、氯化製程、或其類似方法。在一些實施例中,氧化製程可以包括使用一合適的含氧化學物質(a suitable oxygen-containing chemical)、氧佈植製程、前述之組合、或其類似方法而形成進行處理。在一些實施例中,氟化製程可以是包括使用一合適的含氟化學物質、氟佈植製程、前述之組合、或其類似方法的一種處理製程。在一些實施例中,氮化製程可以包括使用一合適的含氮化學物質、氮佈植製程、前述之組合、或其類似方法的一種處理製程。在一些實施方案中,氯化製程可以包括使用合適的含氯化學物質、氯佈植製程、前述之組合、或其類似方法的一種處理製程。在一些實施例中,當處理製程是佈植製程時,可以在佈植製程之後進行退火製程。在一些實施例中,佈植製程的離子方向(由第19圖中的箭頭124所示)與垂直於基底50的一主表面的方向形成一角度θ。在一些實施例中,此角度θ在大約5度到大約22度之間。在一些實施例中,佈植製程的離子能量(佈植能量)在約100 eV與約6 KeV之間。在一些實施例中,佈植劑量(implantation dose)在約1012 cm-2 至約5 × 1018 cm-2 之間。在一些實施例中,可以調整角度θ和/或佈植製程的一些其他參數,使得在完成佈植製程之後,位於區域50A和區域50B之間的一界面處且形成於功函數層118的側壁上的阻障層120的一部分得以保持未被處理。In FIG. 19 , after the barrier layer 120 is formed, a treatment process is performed on the barrier layer 120 to form a treated portion 126 of the barrier layer 120 . The processing process converts the material of the deposited barrier layer 120 to another material different from the deposited material, such that the processed portion 126 of the barrier layer 120 includes the converted deposited material. In some embodiments, the processed portion 126 of the barrier layer 120 has a higher etch rate than the unprocessed portion of the barrier layer 120 . In some embodiments, the ratio of the etch rate of the treated portion 126 of the barrier layer 120 to the etch rate of the untreated portion of the barrier layer 120 is in the range of about 1.8 to about 50. In some embodiments, the treatment process includes an oxidation process, a fluorination process, a nitridation process, a chlorination process, or the like. In some embodiments, the oxidation process may include forming and treating using a suitable oxygen-containing chemical, an oxygen implantation process, a combination of the foregoing, or the like. In some embodiments, the fluorination process may be a treatment process that includes the use of a suitable fluorine-containing chemical, a fluoroimplantation process, a combination of the foregoing, or the like. In some embodiments, the nitridation process may include a treatment process using a suitable nitrogen-containing chemistry, nitrogen implantation process, a combination of the foregoing, or the like. In some embodiments, the chlorination process can include a treatment process using a suitable chlorine-containing chemical, a chlorobuprofen process, a combination of the foregoing, or the like. In some embodiments, when the processing process is an implantation process, an annealing process may be performed after the implantation process. In some embodiments, the ion direction of the implantation process (indicated by arrow 124 in FIG. 19 ) forms an angle θ with a direction perpendicular to a major surface of substrate 50 . In some embodiments, this angle θ is between about 5 degrees and about 22 degrees. In some embodiments, the ion energy (implantation energy) of the implantation process is between about 100 eV and about 6 KeV. In some embodiments, the implantation dose is between about 10 12 cm −2 to about 5×10 18 cm −2 . In some embodiments, the angle θ and/or some other parameters of the implantation process may be adjusted so that after the implantation process is completed, a sidewall of the work function layer 118 is formed at an interface between the regions 50A and 50B. A portion of the upper barrier layer 120 is left untreated.

在一些實施例中,當阻障層120包括TaN、TiN、TaTiN、前述之組合、多層的前述材料、或其類似材料時,處理製程包括一氧化製程(oxidation process)。在一些實施例中,當阻障層120由TiN形成時,TiN被轉化為氧化鈦(例如TiO2 )或氮氧化鈦,使得阻障層120的被處理部分126包括氧化鈦(例如TiO2 )或氮氧化鈦,而阻障層120的未處理部分則保持由TiN形成。在一些實施例中,當阻障層120由TaN形成時,TaN被轉化為氧化鉭(例如TaO2 或Ta2 O5 )或氮氧化鉭,使得阻障層120的被處理部分126包括氧化鉭(例如TaO2 或Ta2 O5 ),而阻障層120的未處理部分仍由TaN形成。在一些實施例中,當阻障層120由TaTiN形成時,TaTiN被轉化為TaTiO,使得阻障層120的被處理部分126包括TaTiO,而阻障層120的未處理部分仍然由TaTiN形成。In some embodiments, when the barrier layer 120 includes TaN, TiN, TaTiN, combinations of the foregoing, multiple layers of the foregoing materials, or the like, the treatment process includes an oxidation process. In some embodiments, when barrier layer 120 is formed of TiN, TiN is converted to titanium oxide (eg, TiO2 ) or titanium oxynitride, such that processed portion 126 of barrier layer 120 includes titanium oxide (eg, TiO2 ) or titanium oxynitride, while the untreated portion of barrier layer 120 remains formed of TiN. In some embodiments, when the barrier layer 120 is formed of TaN, the TaN is converted to tantalum oxide (eg, TaO 2 or Ta 2 O 5 ) or tantalum oxynitride such that the processed portion 126 of the barrier layer 120 includes tantalum oxide (eg TaO 2 or Ta 2 O 5 ), while the untreated portion of the barrier layer 120 is still formed of TaN. In some embodiments, when the barrier layer 120 is formed of TaTiN, the TaTiN is converted to TaTiO such that the treated portion 126 of the barrier layer 120 includes TaTiO while the untreated portion of the barrier layer 120 is still formed of TaTiN.

在一些實施例中,當阻障層120包括AlN、Al2 O3 、HfO2 、ZrO2 、前述之組合、多層的前述材料、或其類似材料時,處理製程包括一氟化過程(fluorination process)。在一些實施例中,當阻障層120由Al2 O3 形成時,Al2 O3 轉化為AlF3 ,使得阻障層120的被處理部分126包括AlF3 ,而阻障層120的未處理部分保持由Al2 O3 形成。在一些實施例中,當阻障層120由AlN形成時,AlN被轉化為AlF3 ,使得阻障層120的被處理部分126包括AlF3 ,而阻障層120的未處理部分保持由AlN形成。在一些實施例中,當阻障層120由HfO2 形成時,HfO2 轉化為HfF4 ,使得阻障層120的被處理部分126包括HfF4 ,而阻障層120的未處理部分保持由HfO2 形成。在一些實施例中,當阻障層120由ZrO2 形成時,ZrO2 轉化為ZrF4 ,使得阻障層120的被處理部分126包括ZrF4 ,而阻障層120的未處理部分保持由ZrO2 形成。In some embodiments, when the barrier layer 120 includes AlN, Al 2 O 3 , HfO 2 , ZrO 2 , combinations of the foregoing, multiple layers of the foregoing materials, or the like, the processing process includes a fluorination process ). In some embodiments, when the barrier layer 120 is formed of Al 2 O 3 , the Al 2 O 3 is converted to AlF 3 such that the processed portion 126 of the barrier layer 120 comprises AlF 3 while the unprocessed portion of the barrier layer 120 is Parts remain formed from Al 2 O 3 . In some embodiments, when the barrier layer 120 is formed from AlN, the AlN is converted to AlF 3 such that the processed portion 126 of the barrier layer 120 comprises AlF 3 while the unprocessed portion of the barrier layer 120 remains formed from AlN . In some embodiments, when the barrier layer 120 is formed of HfO 2 , the HfO 2 is converted to HfF 4 such that the processed portion 126 of the barrier layer 120 includes HfF 4 while the unprocessed portion of the barrier layer 120 remains formed of HfO 2 form. In some embodiments, when the barrier layer 120 is formed of ZrO 2 , ZrO 2 is converted to ZrF 4 such that the processed portion 126 of the barrier layer 120 includes ZrF 4 while the unprocessed portion of the barrier layer 120 remains formed of ZrO 2 form.

在一些實施例中,當阻障層120包括矽時,處理製程可以包括一氧化製程(oxidation process)、一氮化製程(nitridation process)、一氯化製程(chlorination process)、或其類似製程。在一些實施例中,當處理製程包括一氧化製程時,矽被轉化為氧化矽(SiO2 ),使得阻障層120的被處理部分126包括氧化矽(SiO2 ),而阻障層120的未處理部分仍保持由矽形成。在一些實施例中,當處理製程包括一氮化過程時,矽被轉化為氮化矽(Si3 N4 ),使得阻障層120的被處理部分126包括氮化矽(Si3 N4 ),而阻障層120的未處理部分仍保持由Si形成。在一些實施例中,當處理製程包括一氯化過程時,Si被轉化為氯化矽(SiClx ),使得阻障層120的被處理部分126包括氯化矽(SiClx ),而阻障層120的未處理部分仍保持由矽形成。In some embodiments, when the barrier layer 120 includes silicon, the processing process may include an oxidation process, a nitridation process, a chlorination process, or the like. In some embodiments, when the processing process includes an oxidation process, silicon is converted to silicon oxide (SiO 2 ), such that the processed portion 126 of the barrier layer 120 includes silicon oxide (SiO 2 ), and the barrier layer 120 is The untreated portion remains formed of silicon. In some embodiments, when the processing process includes a nitridation process, silicon is converted to silicon nitride (Si 3 N 4 ) such that the processed portion 126 of the barrier layer 120 includes silicon nitride (Si 3 N 4 ) , while the untreated portion of the barrier layer 120 remains formed of Si. In some embodiments, when the treatment process includes a chlorination process, Si is converted to silicon chloride (SiCl x ) such that the treated portion 126 of the barrier layer 120 includes silicon chloride (SiCl x ), while the barrier The untreated portion of layer 120 remains formed of silicon.

在一些實施例中,當阻障層120包括鈦(Ti)和釩(V)時,處理製程包括一氧化過程。在一些實施例中,當阻障層120包括鈦時,鈦被轉化為氧化鈦(TiO2 ),使得阻障層120的被處理部分126包括氧化鈦(TiO2 ),而阻障層120的未處理部分仍保持由鈦形成。在一些實施例中,當阻障層120包括釩時,釩被轉化為氧化釩(V2 O5 ),使得阻障層120的被處理部分126包括氧化釩((V2 O5 ),而阻障層120的未處理部分仍保持由釩形成。In some embodiments, when the barrier layer 120 includes titanium (Ti) and vanadium (V), the processing process includes an oxidation process. In some embodiments, when the barrier layer 120 includes titanium, the titanium is converted to titanium oxide (TiO 2 ) such that the processed portion 126 of the barrier layer 120 includes titanium oxide (TiO 2 ), while the The untreated portion remains formed of titanium. In some embodiments, when the barrier layer 120 includes vanadium, the vanadium is converted to vanadium oxide (V 2 O 5 ) such that the processed portion 126 of the barrier layer 120 includes vanadium oxide ((V 2 O 5 ), while the processed portion 126 of the barrier layer 120 includes vanadium oxide ((V 2 O 5 ), The untreated portion of barrier layer 120 remains formed of vanadium.

在第20圖中,係去除阻障層120的被處理部分126(見第19圖),而阻障層120的未處理部分則留在金屬邊界區域(metal boundary region)(在區域50A與區域50B之間的界面處)並沿著功函數層118的側壁。在一些實施例中,可以使用一選擇性蝕刻製程以去除阻障層120的被處理部分126(見第19圖)。在一些實施例中,可對於上述參照第19圖所描述的處理製程的參數(例如,佈植角度和能量)和選擇性蝕刻製程的參數(例如,蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的頂表面和功函數層118的頂表面在製程變異中可以達到大致上是齊平的。在其他的一些實施例中,阻障層120的殘留物可以留在功函數層118的頂表面上。In FIG. 20, the processed portion 126 of the barrier layer 120 (see FIG. 19) is removed, while the unprocessed portion of the barrier layer 120 is left in the metal boundary region (in the regions 50A and 50A). 50B) and along the sidewalls of the work function layer 118. In some embodiments, a selective etch process may be used to remove the processed portion 126 of the barrier layer 120 (see FIG. 19). In some embodiments, the parameters of the processing process described above with reference to FIG. 19 (eg, implant angle and energy) and the parameters of the selective etch process (eg, etchant composition and etch duration) can be adjusted, This allows the top surface of the remaining portion of the barrier layer 120 and the top surface of the work function layer 118 to be substantially flush during process variation. In other embodiments, residues of barrier layer 120 may remain on the top surface of work function layer 118 .

在一些實施例中,當阻障層120包括TaN、TiN和TaTiN,並且處理製程包括一氧化製程時,可使用蝕刻劑例如WF6 、TaF5 、TiF4 、WCl5 、TaCl5 、TiCl4 、NF3 、CF4 、HF、前述之組合、或其類似的蝕刻劑,以選擇性的蝕刻阻障層120的被處理部分126(見第19圖)。在這樣的實施例中,阻障層120的被處理部分126的蝕刻速率相對於阻障層120的未處理部分的蝕刻速率的一比值可在大約1.8至大約50的範圍之間。In some embodiments, when the barrier layer 120 includes TaN, TiN, and TaTiN, and the processing process includes an oxidation process, an etchant such as WF 6 , TaF 5 , TiF 4 , WCl 5 , TaCl 5 , TiCl 4 , NF3, CF4 , HF, combinations of the foregoing, or similar etchants to selectively etch the processed portion 126 of the barrier layer 120 (see Figure 19). In such embodiments, a ratio of the etch rate of the treated portion 126 of the barrier layer 120 to the etch rate of the untreated portion of the barrier layer 120 may be in the range of about 1.8 to about 50.

在一些實施例中,當阻障層120包括AlN、Al2 O3 和HfO2 ,並且處理製程包括一氟化製程時,可使用蝕刻劑例如三甲基鋁(trimethylaluminum;TMA)、Sn(acac)2 、Al(CH3 )2 Cl、SiCl4 、前述之組合、或其類似的蝕刻劑,以選擇性的蝕刻阻障層120的被處理部分126(見第19圖)。在這樣的實施例中,阻障層120的被處理部分126的蝕刻速率相對於阻障層120的未處理部分的蝕刻速率的一比值在大約4與大約50之間。In some embodiments, when the barrier layer 120 includes AlN, Al 2 O 3 and HfO 2 , and the processing process includes a fluorination process, an etchant such as trimethylaluminum (TMA), Sn(acac ) 2 , Al(CH 3 ) 2 Cl, SiCl 4 , combinations of the foregoing, or similar etchants to selectively etch the processed portion 126 of the barrier layer 120 (see FIG. 19). In such embodiments, a ratio of the etch rate of the treated portion 126 of the barrier layer 120 to the etch rate of the untreated portion of the barrier layer 120 is between about 4 and about 50.

在一些實施例中,當阻障層120包括ZrO2 ,且處理製程包括一氟化製程時,可使用例如Sn(acac)2 的蝕刻劑或類似的蝕刻劑,以選擇性地蝕刻阻障層120的被處理部分126(見第19圖)。在這樣的實施例中,阻障層120的被處理部分126的蝕刻速率相對於阻障層120的未處理部分的蝕刻速率的一比值在大約4與大約50之間。In some embodiments, when the barrier layer 120 includes ZrO 2 and the processing process includes a fluorination process, an etchant such as Sn(acac) 2 or the like may be used to selectively etch the barrier layer Processed portion 126 of 120 (see Figure 19). In such embodiments, a ratio of the etch rate of the treated portion 126 of the barrier layer 120 to the etch rate of the untreated portion of the barrier layer 120 is between about 4 and about 50.

在一些實施例中,當阻障層120包括Si,並且處理製程包括一氧化製程或一氮化製程時,可使用例如C2 F6 、CF4 、前述之組合、或其類似的蝕刻劑,以選擇性的蝕刻阻障層120的被處理部分126(見第19圖)。在這樣的實施例中,阻障層120的被處理部分126的蝕刻速率相對於阻障層120的未處理部分的蝕刻速率的一比值在大約2到大約40之間。In some embodiments, when the barrier layer 120 includes Si, and the processing process includes an oxidation process or a nitridation process, an etchant such as C 2 F 6 , CF 4 , a combination of the foregoing, or the like may be used, The processed portion 126 of the barrier layer 120 is selectively etched (see FIG. 19). In such embodiments, a ratio of the etch rate of the treated portion 126 of the barrier layer 120 to the etch rate of the untreated portion of the barrier layer 120 is between about 2 and about 40.

在一些實施例中,當阻障層120包括矽,並且處理製程包括一氯化製程時,可使用Ar電漿或其類似物以選擇性地蝕刻阻障層120的被處理部分126(見第19圖)。在這樣的實施例中,阻障層120的被處理部分126的蝕刻速率相對於阻障層120的未處理部分的蝕刻速率的一比值在大約1.8與大約50之間。In some embodiments, when the barrier layer 120 includes silicon and the processing process includes a chlorination process, an Ar plasma or the like may be used to selectively etch the processed portion 126 of the barrier layer 120 (see Section 1.2). 19 Figures). In such embodiments, a ratio of the etch rate of the treated portion 126 of the barrier layer 120 to the etch rate of the untreated portion of the barrier layer 120 is between about 1.8 and about 50.

在一些實施例中,當阻障層120包括鈦(Ti)和釩(V),並且處理製程包括一氧化製程時,可使用蝕刻劑例如C2 F6 、CF4 、前述之組合、或其類似的蝕刻劑,以選擇性的蝕刻阻障層120的被處理部分126(見第19圖)。在這樣的實施例中,阻障層120的被處理部分126的蝕刻速率相對於阻障層120的未處理部分的蝕刻速率的一比值在大約2到大約40之間。In some embodiments, when the barrier layer 120 includes titanium (Ti) and vanadium (V), and the processing process includes an oxidation process, an etchant such as C 2 F 6 , CF 4 , a combination of the foregoing, or the same may be used A similar etchant is used to selectively etch the processed portion 126 of the barrier layer 120 (see FIG. 19). In such embodiments, a ratio of the etch rate of the treated portion 126 of the barrier layer 120 to the etch rate of the untreated portion of the barrier layer 120 is between about 2 and about 40.

進一步參照第20圖,在一些實施例中,可以通過如上文參照第18圖所述之毯覆式的沉積阻障層120,並且去除阻障層120的水平部分和傾斜部分,而形成在功函數層118的側壁上的阻障層120的留下部分。在一些實施例中,可以使用一合適的非等向性蝕刻製程以去除阻障層120的水平部分和傾斜部分。在這樣的實施例中,可省略上方參照第19圖所述的處理製程。在一些實施例中,可調整非等向性蝕刻製程的參數(例如,蝕刻劑組成和蝕刻持續時間),使得阻障層120的留下部分的頂表面和功函數層118的頂表面在製程變異中大致上是齊平的。With further reference to FIG. 20, in some embodiments, the barrier layer 120 may be formed in the functional area by blanket deposition of the barrier layer 120 as described above with reference to FIG. The remaining portion of the barrier layer 120 on the sidewalls of the function layer 118 . In some embodiments, a suitable anisotropic etching process may be used to remove the horizontal and sloped portions of the barrier layer 120 . In such an embodiment, the processing steps described above with reference to FIG. 19 may be omitted. In some embodiments, the parameters of the anisotropic etch process (eg, etchant composition and etch duration) can be adjusted such that the top surface of the remaining portion of the barrier layer 120 and the top surface of the work function layer 118 are in the process The variation is roughly flush.

在第21圖中,在去除阻障層120的被處理部分126(見第19圖)之後,功函數層128被毯覆式的沉積在區域50A和區域50B中的開口90中。在一些實施例中,功函數層128可以是一n型功函數層。 此n型功函數層可以包括Ti、Ag、Al、TiAl、TiAlN、TiAlC、TaAl、TaC、TaCN、TaSiN、TaAlC、Mn、Zr、前述之組合、多層的前述材料、或其類似材料,並且可以使用物理氣相沉積法(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、前述之組合、或其類似方法而形成。在一些實施例中,功函數層128的厚度在大約8 Å和大約400 Å之間。In FIG. 21, after removal of processed portions 126 of barrier layer 120 (see FIG. 19), work function layer 128 is blanket deposited in openings 90 in regions 50A and 50B. In some embodiments, the work function layer 128 may be an n-type work function layer. The n-type work function layer may include Ti, Ag, Al, TiAl, TiAlN, TiAlC, TaAl, TaC, TaCN, TaSiN, TaAlC, Mn, Zr, combinations of the foregoing, multiple layers of the foregoing materials, or the like, and may Formed using physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), a combination of the foregoing, or the like. In some embodiments, the thickness of the work function layer 128 is between about 8 Å and about 400 Å.

在第22圖中,在形成功函數層128之後,在區域50A和50B的開口90中分別形成遮蔽層(shield layer)130A和遮蔽層130B。在一些實施例中,遮蔽層130A和遮蔽層130B中的每一個可以包括TiN、Si、SiN、TiSiN、前述之組合、多層的前述材料、或其類似材料,並且可以使用物理氣相沉積法(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、前述之組合、或其類似方法而形成。在一些實施例中,遮蔽層130A和遮蔽層130B包括相同的材料。在這樣的實施例中,可以通過在區域50A和區域50B兩者的開口90中沉積合適的材料來形成遮蔽層130A和130B。在其他實施例中,遮蔽層130A和遮蔽層130B可以包括不同的材料。在這樣的實施例中,用於形成遮蔽層130A和遮蔽層130B的方法可以包括在區域50A和區域50B兩者中的開口90中沉積第一材料(first material),使用合適的光學微影和蝕刻製程以去除區域50B中的第一材料的一部分,在區域50A和區域50B兩者中的開口90中沉積第二材料(second material),並使用合適的光學微影和蝕刻製程以去除區域50A中的第二材料的一部分。在此示例中,在形成遮蔽層130B之前形成遮蔽層130A。或者在另外的示例中,可以在形成遮蔽層130B之後形成遮蔽層130A。在一些實施例中,遮蔽層130A的厚度在大約5 Å與大約60 Å之間。在一些實施例中,遮蔽層130B的厚度在大約5 Å與大約60 Å之間。In FIG. 22, after the work function layer 128 is formed, a shield layer 130A and a shield layer 130B are formed in the openings 90 of the regions 50A and 50B, respectively. In some embodiments, each of shielding layer 130A and shielding layer 130B may include TiN, Si, SiN, TiSiN, combinations of the foregoing, multiple layers of the foregoing materials, or the like, and may use physical vapor deposition (PVD) ( PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), a combination of the foregoing, or the like. In some embodiments, shielding layer 130A and shielding layer 130B include the same material. In such an embodiment, shielding layers 130A and 130B may be formed by depositing suitable materials in openings 90 in both regions 50A and 50B. In other embodiments, the shielding layer 130A and the shielding layer 130B may include different materials. In such an embodiment, the method for forming shielding layer 130A and shielding layer 130B may include depositing a first material in openings 90 in both regions 50A and 50B, using suitable optical lithography and An etching process to remove a portion of the first material in region 50B, a second material is deposited in openings 90 in both regions 50A and 50B, and a suitable photolithography and etching process is used to remove region 50A part of the second material. In this example, the shielding layer 130A is formed before the shielding layer 130B is formed. Or in another example, the shielding layer 130A may be formed after the shielding layer 130B is formed. In some embodiments, the thickness of the shielding layer 130A is between about 5 Å and about 60 Å. In some embodiments, the thickness of the shielding layer 130B is between about 5 Å and about 60 Å.

在形成遮蔽層130A和130B之後,在區域50A和50B中的開口90中分別形成黏結層(glue layer)132A和黏結層132B。在一些實施例中,黏結層132A和黏結層132B中的各個可以包括TiN、Ti、Co、前述之組合、多層的前述材料、或其類似材料,並且可以使用物理氣相沉積法(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、前述之組合、或其類似方法而形成。在一些實施例中,黏結層132A和黏結層132B包括相同的材料。在這樣的實施例中,可以通過在區域50A和區域50B兩者中的開口90中沉積合適的材料來形成黏結層132A和132B。在其他實施例中,黏結層132A和黏結層132B可以包括不同的材料。在這樣的實施例中,用於形成黏結層132A和黏結層132B的方法可以包括在區域50A和區域50B兩者中的開口90中沉積第一材料(first material),使用合適的光學微影和蝕刻製程以去除區域50B中的第一材料的一部分,在區域50A和區域50B兩者中的開口90中沉積第二材料(second material),並使用合適的光學微影和蝕刻製程以去除區域50A中的第二材料的一部分。在此示例中,可以在形成黏結層132B之前形成黏結層132A。或者在另外的示例中,可以在形成黏結層132B之後形成黏結層132A。在一些實施例中,黏結層132A的厚度在約5 Å與約60 Å之間。在一些實施例中,黏結層132B的厚度在約5 Å與約60 Å之間。After forming the shielding layers 130A and 130B, a glue layer 132A and a glue layer 132B are formed in the openings 90 in the regions 50A and 50B, respectively. In some embodiments, each of the bonding layers 132A and 132B may include TiN, Ti, Co, combinations of the foregoing, multiple layers of the foregoing materials, or the like, and may use physical vapor deposition (PVD), Chemical vapor deposition (CVD), atomic layer deposition (ALD), a combination of the foregoing, or the like. In some embodiments, the bonding layer 132A and the bonding layer 132B comprise the same material. In such an embodiment, adhesion layers 132A and 132B may be formed by depositing suitable materials in openings 90 in both regions 50A and 50B. In other embodiments, the bonding layer 132A and the bonding layer 132B may comprise different materials. In such an embodiment, the method for forming bonding layer 132A and bonding layer 132B may include depositing a first material in openings 90 in both regions 50A and 50B, using suitable optical lithography and An etching process to remove a portion of the first material in region 50B, a second material is deposited in openings 90 in both regions 50A and 50B, and a suitable photolithography and etching process is used to remove region 50A part of the second material. In this example, the bonding layer 132A may be formed before the bonding layer 132B is formed. Or in another example, the bonding layer 132A may be formed after the bonding layer 132B is formed. In some embodiments, the thickness of the bonding layer 132A is between about 5 Å and about 60 Å. In some embodiments, the thickness of the bonding layer 132B is between about 5 Å and about 60 Å.

進一步在第22圖中,在形成黏結層132A和132B之後,在區域50A和50B中的開口90中分別形成導電填充材料(conductive fill material)134A和導電填充材料134B。在一些實施例中,導電填充材料134A和填充材料134B中的各個可以包括Co、Ru、Al、Ag、Au、W、氟化鎢、Ni、Ti、Cu、Mn、Pd、Re、Ir、Pt、Zr、前述之合金、前述之組合、多層的前述材料、或其類似材料,並且可以使用物理氣相沉積法(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、前述之組合、或其類似方法而形成。在一些實施例中,導電填充材料134A和導電填充材料134B包括相同的導電材料。在這樣的實施例中,可以通過在區域50A和區域50B兩者中的開口90中沉積一導電材料,而形成導電填充材料134A和134B。在其他實施例中,導電填充材料134A和導電填充材料134B可以包括不同的導電材料。在這樣的實施例中,用於形成導電填充材料134A和導電填充材料134B的方法可以包括在區域50A和區域50B兩者中的開口90中沉積第一導電材料(first conductive material),使用合適的光學微影和蝕刻製程去除區域50B中第一導電材料的一部分,在區域50A和區域50B兩者中的開口90中沉積第二導電材料(second conductive material),並使用合適的光學微影和蝕刻製程去除區域50A中第二導電材料的一部分。在此示例中,可以在形成導電填充材料134B之前形成導電填充材料134A。或者在另外的示例中,可以在形成導電填充材料134B之後形成導電填充材料134A。Further in FIG. 22, after the bonding layers 132A and 132B are formed, a conductive fill material 134A and a conductive fill material 134B are formed in the openings 90 in the regions 50A and 50B, respectively. In some embodiments, each of conductive fill material 134A and fill material 134B may include Co, Ru, Al, Ag, Au, W, tungsten fluoride, Ni, Ti, Cu, Mn, Pd, Re, Ir, Pt , Zr, alloys of the foregoing, combinations of the foregoing, multilayers of the foregoing materials, or the like, and may use physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), the foregoing combination, or the like. In some embodiments, conductive fill material 134A and conductive fill material 134B comprise the same conductive material. In such an embodiment, conductive fill materials 134A and 134B may be formed by depositing a conductive material in openings 90 in both regions 50A and 50B. In other embodiments, conductive fill material 134A and conductive fill material 134B may comprise different conductive materials. In such an embodiment, the method for forming conductive fill material 134A and conductive fill material 134B may include depositing a first conductive material in openings 90 in both regions 50A and 50B, using a suitable The photolithography and etching process removes a portion of the first conductive material in region 50B, deposits a second conductive material in openings 90 in both regions 50A and 50B, and uses suitable photolithography and etching The process removes a portion of the second conductive material in region 50A. In this example, conductive fill material 134A may be formed before conductive fill material 134B is formed. Or in another example, the conductive fill material 134A may be formed after the conductive fill material 134B is formed.

在形成導電填充材料134A和134B之後,可以進行例如化學機械研磨(CMP)製程的平坦化製程,以去除界面層115A和115B、閘極介電層116A和116B、功函數層118和128、遮蔽層130A和130B、黏結層132A和132B以及導電填充材料134A和134B的過量部分,此些過量部分是在第一層間介電質88(見第14B圖)的頂表面上方。界面層115A、閘極介電層116A、功函數層118和128、遮蔽層130A、黏結層132A以及導電填充材料134A的留下部分,則在區域50A中形成替換閘極堆疊(replacement gates stack)95A(參照第14A圖和第14B圖)。界面層115B、閘極介電層116B、功函數層128、遮蔽層130B、黏結層132B和導電填充材料134B的留下部分,則在區域50B中形成替換閘極堆疊95B(參照第14A圖和第14B圖)。After forming the conductive fill materials 134A and 134B, a planarization process such as a chemical mechanical polishing (CMP) process may be performed to remove the interface layers 115A and 115B, the gate dielectric layers 116A and 116B, the work function layers 118 and 128 , the masking Layers 130A and 130B, bonding layers 132A and 132B, and excess portions of conductive fill material 134A and 134B over the top surface of first interlayer dielectric 88 (see FIG. 14B ). The remaining portions of interface layer 115A, gate dielectric layer 116A, work function layers 118 and 128, masking layer 130A, adhesive layer 132A, and conductive fill material 134A form a replacement gates stack in region 50A 95A (see Figures 14A and 14B). The remaining portions of interface layer 115B, gate dielectric layer 116B, work function layer 128, shielding layer 130B, adhesive layer 132B, and conductive fill material 134B form replacement gate stack 95B in region 50B (see FIGS. 14A and 134B). Figure 14B).

在一些實施例中,形成在功函數層118的側壁上的阻障層120可以防止或減少從功函數層128到功函數層118的金屬擴散。例如,當功函數層128包括TiAl、TiAlN、TiAlC、TaAl、或TaAlC時,阻障層120可防止或減少鋁從功函數層128擴散到功函數層118。此外,阻障層120可將閘極堆疊95A與閘極堆疊95B分隔開來,並且可以防止或是減少由於金屬擴散所引起的臨界電壓偏移(threshold voltage shift)。In some embodiments, barrier layer 120 formed on the sidewalls of work function layer 118 may prevent or reduce metal diffusion from work function layer 128 to work function layer 118 . For example, when the work function layer 128 includes TiAl, TiAlN, TiAlC, TaAl, or TaAlC, the barrier layer 120 may prevent or reduce the diffusion of aluminum from the work function layer 128 to the work function layer 118 . Additionally, barrier layer 120 may separate gate stack 95A from gate stack 95B, and may prevent or reduce threshold voltage shift due to metal diffusion.

第23圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第23圖的閘極結構類似於第22圖的閘極結構,相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第17-22圖所述的製程步驟相似的製程步驟來形成第23圖的閘極結構,並且在此不再重複敘述。在一些實施例中,可對於上述參照第19圖所描述的處理製程的參數(例如,佈植角度和能量)和選擇性蝕刻製程的參數(例如,蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的邊角(corners)可以變成圓的。在一些實施例中,可以增加佈植製程的佈植角度。在一些實施例中,可以提高佈植製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在一些實施例中,可以減少在阻障層120的被處理部分和未處理部分之間的選擇性蝕刻。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程以形成阻障層120的留下部分,可以對非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的邊角是圓形的。23 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 23 is similar to the gate structure of FIG. 22, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 17-22 may be used to form the gate structure of FIG. 23 and will not be repeated here. In some embodiments, the parameters of the processing process described above with reference to FIG. 19 (eg, implant angle and energy) and the parameters of the selective etch process (eg, etchant composition and etch duration) can be adjusted, The corners of the remaining portion of the barrier layer 120 may be rounded. In some embodiments, the implantation angle of the implantation process can be increased. In some embodiments, the implantation energy of the implantation process can be increased. In some embodiments, the duration of the selective etch process can be extended. In some embodiments, selective etching between treated and untreated portions of barrier layer 120 may be reduced. In other embodiments, using a blanket deposition process followed by an anisotropic etch process to form the remaining portion of the barrier layer 120, the parameters of the anisotropic etch process, such as etchant composition and etch duration time) is adjusted so that the corners of the remaining portion of the barrier layer 120 are rounded.

第24圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第24圖的閘極結構類似於第22圖的閘極結構,且相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第17-22圖所述的製程步驟相似的製程步驟來形成第24圖的閘極結構,並且在此不再重複敘述。在一些實施例中,可以對於上述參照第19圖所描述的處理製程的參數(例如,佈植角度和能量)和上述參照第20圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)進行調整,使得阻障層120的留下部分的頂表面低於功函數層118的頂表面。在一些實施例中,可以提高佈植製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程以形成阻障層120的留下部分,對於非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)可進行調整,以使得阻障層120的留下部分的頂表面低於功函數層118的頂表面。在一些實施例中,阻障層120的留下部分的頂表面係低於功函數層118的頂表面,且兩者相隔開一距離D1 。在一些實施例中,距離D1 在大約3Å與大約55Å之間。24 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 24 is similar to the gate structure of FIG. 22, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 17-22 may be used to form the gate structure of FIG. 24 and will not be repeated here. In some embodiments, parameters of the processing process (eg, implant angle and energy) described above with reference to FIG. 19 and parameters of the selective etch process described above with reference to FIG. 20 (eg, etchant composition) may be used for , etch duration and etch selectivity) are adjusted so that the top surface of the remaining portion of barrier layer 120 is lower than the top surface of work function layer 118 . In some embodiments, the implantation energy of the implantation process can be increased. In some embodiments, the duration of the selective etch process can be extended. In other embodiments, a blanket deposition process followed by an anisotropic etch process is used to form the remaining portion of the barrier layer 120, for parameters of the anisotropic etch process such as etchant composition and etch duration ) may be adjusted so that the top surface of the remaining portion of barrier layer 120 is lower than the top surface of work function layer 118 . In some embodiments, the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 118 by a distance D 1 . In some embodiments, the distance D 1 is between about 3 Å and about 55 Å.

第25圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第25圖的閘極結構類似於第22圖的閘極結構,相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第17-22圖所述的製程步驟相似的製程步驟來形成第25圖的閘極結構,並且在此不再重複敘述。在一些實施例中,對於上述參照第19圖所描述的處理製程的參數(例如,佈植角度和能量)和上述參照第20圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)可以進行調整,而使得阻障層120的留下部分的邊角(corners)可以變成圓的,以及使得阻障層120的留下部分的頂表面低於功函數層118的頂表面。在一些實施例中,可以增加處理製程的佈植角度。在一些實施例中,可以提高處理製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在一些實施例中,可以減少在阻障層120的被處理部分和未處理部分之間的選擇性蝕刻。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程,以形成阻障層120的留下部分,對於非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)可進行調整,以使得阻障層120的留下部分的邊角變成圓的,並且使得阻障層120的留下部分的頂表面低於功函數層118的頂表面。在一些實施例中,阻障層120的留下部分的頂表面係低於功函數層118的頂表面,且兩者相隔開一距離D2 。在一些實施例中,距離D2 在大約3Å與大約55Å之間。25 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 25 is similar to the gate structure of FIG. 22, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 17-22 may be used to form the gate structure of FIG. 25 and will not be repeated here. In some embodiments, for the parameters of the process described above with reference to FIG. 19 (eg, implant angle and energy) and the parameters of the selective etch process described above with reference to FIG. 20 (eg, etchant composition, Etch duration and etch selectivity) can be adjusted so that the corners of the remaining portion of the barrier layer 120 can be rounded and the top surface of the remaining portion of the barrier layer 120 can be lower than the work function The top surface of layer 118 . In some embodiments, the implantation angle of the process can be increased. In some embodiments, the implantation energy of the process can be increased. In some embodiments, the duration of the selective etch process can be extended. In some embodiments, selective etching between treated and untreated portions of barrier layer 120 may be reduced. In other embodiments, a blanket deposition process followed by an anisotropic etch process is used to form the remaining portion of the barrier layer 120, for parameters of the anisotropic etch process such as etchant composition and etch duration Time) can be adjusted so that the corners of the remaining portion of the barrier layer 120 become rounded and the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 118 . In some embodiments, the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 118 by a distance D 2 . In some embodiments, the distance D2 is between about 3 Å and about 55 Å.

第26-32圖是根據本揭露一些實施例的製造包括第14A和14B圖所示的閘極堆疊95A和95B的閘極結構的多個中間階段的剖面示意圖。特別是,第26-32圖示出了當在開口90中形成閘極堆疊95A和95B時第14A圖的區域89的詳細示意圖。在一些實施例中,第26-32圖中所描述的製程步驟係類似於上面參照第17-22圖所描述的製程步驟,其中相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複的描述。FIGS. 26-32 are schematic cross-sectional views of various intermediate stages of fabricating a gate structure including the gate stacks 95A and 95B shown in FIGS. 14A and 14B in accordance with some embodiments of the present disclosure. In particular, FIGS. 26-32 show detailed schematic views of region 89 of FIG. 14A when gate stacks 95A and 95B are formed in opening 90 . In some embodiments, the process steps described in Figures 26-32 are similar to the process steps described above with reference to Figures 17-22, wherein similar components are designated by similar reference numerals, and are not described herein. The description of these similar parts is repeated.

在第26圖中,如以上參照第17圖所述,分別在區域50A和50B中的開口90中形成界面層115A和115B,並且在此不再重複敘述界面層115A和115B的相關內容。在形成界面層115A和115B之後,分別在區域50A和50B中的開口中形成閘極介電層116A和閘極介電層116B,如以上參照第17圖所述,並且在此不再重複敘述閘極介電層116A和116B的相關內容。在形成閘極介電層116A和116B之後,如以上參照第17圖所述,在區域50A中的閘極介電層116A之上形成功函數層118,並且在此不再重複敘述功函數層118的相關內容。In FIG. 26, as described above with reference to FIG. 17, interface layers 115A and 115B are formed in openings 90 in regions 50A and 50B, respectively, and the description of interface layers 115A and 115B will not be repeated here. After forming the interface layers 115A and 115B, a gate dielectric layer 116A and a gate dielectric layer 116B are formed in the openings in the regions 50A and 50B, respectively, as described above with reference to FIG. 17 and will not be repeated here Related to gate dielectric layers 116A and 116B. After forming the gate dielectric layers 116A and 116B, as described above with reference to FIG. 17, a work function layer 118 is formed over the gate dielectric layer 116A in the region 50A, and the description of the work function layer will not be repeated here. 118 related content.

在第27圖中,在形成功函數層118之後,在區域50A和區域50B兩者中的開口90中毯覆式的沉積一功函數層136。在一些實施例中,可以使用與上述參照第17圖所述的功函數層118的類似材料和方法來形成功函數層136,並且在此不再重複敘述功函數層136的相關內容。在一些實施例中,功函數層118和功函數層136係包括相同的材料。在其他實施例中,功函數層118和功函數層136係包括不同的材料。在一些實施例中,功函數層136的厚度在大約5Å與大約400Å之間。In Figure 27, after the work function layer 118 is formed, a work function layer 136 is blanket deposited in the openings 90 in both regions 50A and 50B. In some embodiments, the work function layer 136 may be formed using materials and methods similar to those of the work function layer 118 described above with reference to FIG. 17 , and the description of the work function layer 136 is not repeated here. In some embodiments, work function layer 118 and work function layer 136 comprise the same material. In other embodiments, work function layer 118 and work function layer 136 comprise different materials. In some embodiments, the thickness of the work function layer 136 is between about 5 Å and about 400 Å.

在第28圖中,在形成功函數層136之後,如以上參照第18圖所述內容,係在區域50A和區域50B中的開口90中毯覆式的沉積一阻障層(barrier layer)120,並且在此不再重複敘述阻障層120的相關內容。In FIG. 28, after the work function layer 136 is formed, as described above with reference to FIG. 18, a barrier layer 120 is blanket deposited in the openings 90 in the regions 50A and 50B. , and the related content of the barrier layer 120 will not be repeated here.

在第29圖中,在形成阻障層120之後,對阻障層120進行一處理製程(treatment process),以形成如以上參照第19圖所述的阻障層120的被處理部分(treated portion)126,並且在此不再重複敘述處理製程和被處理部分126的相關內容。在完成處理製程之後,位於區域50A與區域50B之間的界面處且設置在功函數層136的側壁上的阻障層120的一部分則保持未處理。In FIG. 29, after the barrier layer 120 is formed, a treatment process is performed on the barrier layer 120 to form the treated portion of the barrier layer 120 as described above with reference to FIG. 19. ) 126, and the related content of the processing procedure and the processed part 126 will not be repeated here. After the processing process is completed, a portion of barrier layer 120 disposed on the sidewalls of work function layer 136 at the interface between region 50A and region 50B remains unprocessed.

在第30圖中,如以上參照第20圖所述,去除了阻障層120的被處理部分126(參見第29圖),在此不再重複敘述去除製程的相關內容。在完成去除製程之後,阻障層120的未處理部分則沿著功函數層136的側壁而留在區域50A與區域50B之間的界面處。在一些實施例中,如以上參照第29圖所述內容的處理製程的參數(例如,佈植角度和能量)以及選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)進行調整,使得阻障層120的留下部分的頂表面和功函數層136的頂表面在製程變異中基本上是齊平的。In FIG. 30, as described above with reference to FIG. 20, the processed portion 126 of the barrier layer 120 (see FIG. 29) is removed, and the related content of the removal process is not repeated here. After the removal process is completed, the untreated portion of barrier layer 120 remains along the sidewalls of work function layer 136 at the interface between region 50A and region 50B. In some embodiments, parameters of the processing process (eg, implant angle and energy) as described above with reference to FIG. 29 and parameters of the selective etch process (eg, etchant composition, etch duration, and etch selectivity) ) is adjusted so that the top surface of the remaining portion of barrier layer 120 and the top surface of work function layer 136 are substantially flush in process variation.

在其他實施例中,可以通過如以上參照第28圖所述內容毯覆式的沉積阻障層120,並去除絕緣層120的水平部分和傾斜部分,以形成在功函數層136的側壁上的阻障層120的留下部分。在一些實施例中,可以使用合適的非等向性蝕刻製程(anisotropic etch process)以去除阻障層120的水平部分和傾斜部分。在這樣的實施例中,可以省略上述參照第29圖所述的處理製程。在一些實施例中,可以對非等向性蝕刻製程的參數(例如,蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的頂表面和功函數層136的頂表面在製程變異內基本上是齊平的。In other embodiments, the barrier layer 120 may be blanket deposited as described above with reference to FIG. 28 , and the horizontal and sloped portions of the insulating layer 120 are removed to form the sidewalls of the work function layer 136 . The remaining portion of the barrier layer 120 . In some embodiments, a suitable anisotropic etch process may be used to remove the horizontal and sloped portions of the barrier layer 120 . In such an embodiment, the processing steps described above with reference to FIG. 29 may be omitted. In some embodiments, the parameters of the anisotropic etch process (eg, etchant composition and etch duration) can be adjusted such that the top surface of the remaining portion of barrier layer 120 and the top surface of work function layer 136 Essentially flush within process variation.

在第31圖中,在功函數層136的側壁上形成阻障層120之後,如以上參照第21圖所述內容,在區域50A和區域50B中的開口90中毯覆式的沉積一功函數層128,並且在此不再重複敘述沉積功函數層128的相關內容。In FIG. 31, after forming the barrier layer 120 on the sidewalls of the work function layer 136, as described above with reference to FIG. 21, a work function is blanket deposited in the openings 90 in the regions 50A and 50B. layer 128, and the content related to the deposition of the work function layer 128 will not be repeated here.

在第32圖中,在形成功函數層128之後,如以上參照第22圖所述內容,在區域50A和50B中的開口90中分別形成遮蔽層130A和遮蔽層130B,並且在此不再重複敘述遮蔽層130A和130B的相關內容。在形成遮蔽層130A和130B之後,在區域50A和50B中的開口90中分別形成黏結層132A和黏結層132B,如以上參照第22圖所述內容,並且在此不再重複敘述黏結層132A和132B的相關內容。在形成黏結層132A和132B之後,分別在區域50A和50B中的開口90中形成導電填充材料134A和導電填充材料134B,如以上參照第22圖所述內容,並且在此不再重複描述導電填充材料134A和134B的相關內容。In FIG. 32, after the work function layer 128 is formed, as described above with reference to FIG. 22, a masking layer 130A and a masking layer 130B are formed in the openings 90 in the regions 50A and 50B, respectively, and will not be repeated here The related contents of the shielding layers 130A and 130B are described. After forming the shielding layers 130A and 130B, the adhesive layers 132A and 132B are formed in the openings 90 in the regions 50A and 50B, respectively, as described above with reference to FIG. 22 , and the description of the adhesive layers 132A and 132B will not be repeated here. 132B related content. After the bonding layers 132A and 132B are formed, a conductive fill material 134A and a conductive fill material 134B are formed in the openings 90 in the regions 50A and 50B, respectively, as described above with reference to FIG. 22 and the description of the conductive fill will not be repeated here. Relevant content of materials 134A and 134B.

在形成導電填充材料134A和134B之後,可以進行例如化學機械研磨(CMP)製程的平坦化製程,以去除界面層115A和115B、閘極介電層116A和116B、功函數層118和128、遮蔽層130A和130B、黏結層132A和132B以及導電填充材料134A和134B的過量部分,這些過量部分是在第一層間介電質88(見第14B圖)的頂表面上方。界面層115A、閘極介電層116A、功函數層118、128和136、遮蔽層130A、黏結層132A以及導電填充材料134A的留下部分,則在區域50A中形成替換閘極堆疊95A。界面層115B、閘極介電層116B、功函數層128和136、遮蔽層130B、黏結層132B和導電填充材料134B的留下部分,則在區域50B中形成替換閘極堆疊95B。After forming the conductive fill materials 134A and 134B, a planarization process such as a chemical mechanical polishing (CMP) process may be performed to remove the interface layers 115A and 115B, the gate dielectric layers 116A and 116B, the work function layers 118 and 128 , the masking Layers 130A and 130B, bonding layers 132A and 132B, and excess portions of conductive fill material 134A and 134B over the top surface of first interlayer dielectric 88 (see FIG. 14B ). The remaining portions of interface layer 115A, gate dielectric layer 116A, work function layers 118, 128, and 136, masking layer 130A, bonding layer 132A, and conductive fill material 134A form replacement gate stack 95A in region 50A. The remaining portions of interface layer 115B, gate dielectric layer 116B, work function layers 128 and 136, masking layer 130B, adhesive layer 132B, and conductive fill material 134B form replacement gate stack 95B in region 50B.

在一些實施例中,形成在功函數層136的側壁上的阻障層120可以防止或減少了金屬從功函數層128擴散到功函數層136。例如,當功函數層128包括TiAl、TiAlN、TiAlC、TaAl或TaAlC時,阻障層120可以防止或減少鋁從功函數層128擴散到功函數層136。再者,阻障層120可將閘極堆疊95A與閘極堆疊95B分隔開來,可以防止或是減少由於金屬擴散所引起的臨界電壓偏移(threshold voltage shift)。In some embodiments, barrier layer 120 formed on the sidewalls of work function layer 136 may prevent or reduce metal diffusion from work function layer 128 to work function layer 136 . For example, when work function layer 128 includes TiAl, TiAlN, TiAlC, TaAl, or TaAlC, barrier layer 120 may prevent or reduce diffusion of aluminum from work function layer 128 to work function layer 136 . Furthermore, the barrier layer 120 can separate the gate stack 95A from the gate stack 95B, which can prevent or reduce the threshold voltage shift caused by metal diffusion.

第33圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第33圖的閘極結構類似於第32圖的閘極結構,相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第26-32圖所述的製程步驟相似的製程步驟來形成第32圖的閘極結構,並且在此不再重複敘述。在一些實施例中,可對於上述參照第29圖所描述的處理製程的參數(例如,佈植角度和能量)和參照第30圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)進行調整,使得阻障層120的留下部分的邊角(corners)變圓。在一些實施例中,可以增加佈植製程的佈植角度。在一些實施例中,可以提高佈植製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在一些實施例中,可以減少在阻障層120的被處理部分和未處理部分之間的選擇性蝕刻。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程以形成阻障層120的留下部分,可以對非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的邊角是圓形的。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程以形成阻障層120的留下部分,可以對非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的邊角是圓形的。33 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 33 is similar to the gate structure of FIG. 32, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 26-32 may be used to form the gate structure of FIG. 32 and will not be repeated here. In some embodiments, parameters of the processing process (eg, implant angle and energy) described above with reference to FIG. 29 and parameters of the selective etch process described with reference to FIG. 30 (eg, etchant composition, The etch duration and etch selectivity) are adjusted so that the corners of the remaining portion of the barrier layer 120 are rounded. In some embodiments, the implantation angle of the implantation process can be increased. In some embodiments, the implantation energy of the implantation process can be increased. In some embodiments, the duration of the selective etch process can be extended. In some embodiments, selective etching between treated and untreated portions of barrier layer 120 may be reduced. In other embodiments, using a blanket deposition process followed by an anisotropic etch process to form the remaining portion of the barrier layer 120, the parameters of the anisotropic etch process, such as etchant composition and etch duration time) is adjusted so that the corners of the remaining portion of the barrier layer 120 are rounded. In other embodiments, using a blanket deposition process followed by an anisotropic etch process to form the remaining portion of the barrier layer 120, the parameters of the anisotropic etch process, such as etchant composition and etch duration time) is adjusted so that the corners of the remaining portion of the barrier layer 120 are rounded.

第34圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第34圖的閘極結構類似於第32圖的閘極結構,且相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第26-32圖所述的製程步驟相似的製程步驟來形成第34圖的閘極結構,並且在此不再重複敘述。在一些實施例中,可以對於上述參照第29圖所描述的處理製程的參數(例如,佈植角度和能量)和上述參照第30圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)進行調整,使得阻障層120的留下部分的頂表面低於功函數層136的頂表面。在一些實施例中,可以提高佈植製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程以形成阻障層120的留下部分,對於非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)可進行調整,以使得阻障層120的留下部分的頂表面低於功函數層136的頂表面。在一些實施例中,阻障層120的留下部分的頂表面低於功函數層136的頂表面,且兩者相隔開一距離D3 。在一些實施例中,距離D3 在大約3Å與大約55Å之間。34 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 34 is similar to the gate structure of FIG. 32, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 26-32 may be used to form the gate structure of FIG. 34 and will not be repeated here. In some embodiments, parameters of the processing process (eg, implant angle and energy) described above with reference to FIG. 29 and parameters of the selective etch process described above with reference to FIG. 30 (eg, etchant composition) may be used for , etch duration and etch selectivity) are adjusted so that the top surface of the remaining portion of barrier layer 120 is lower than the top surface of work function layer 136 . In some embodiments, the implantation energy of the implantation process can be increased. In some embodiments, the duration of the selective etch process can be extended. In other embodiments, a blanket deposition process followed by an anisotropic etch process is used to form the remaining portion of the barrier layer 120, for parameters of the anisotropic etch process such as etchant composition and etch duration ) may be adjusted so that the top surface of the remaining portion of barrier layer 120 is lower than the top surface of work function layer 136 . In some embodiments, the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 136 by a distance D 3 . In some embodiments, distance D3 is between about 3 Å and about 55 Å.

第35圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第35圖的閘極結構類似於第32圖的閘極結構,相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第26-32圖所述的的製程步驟相似的製程步驟來形成第35圖的閘極結構,並且在此不再重複敘述。在一些實施例中,對於上述參照第29圖所描述的處理製程的參數(例如,佈植角度和能量)和上述參照第30圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)可以進行調整,以使得阻障層120的留下部分的邊角(corners)可以變成圓的,以及使得阻障層120的留下部分的頂表面低於功函數層136的頂表面。在一些實施例中,可以增加處理製程的佈植角度。在一些實施例中,可以提高處理製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在一些實施例中,可以減少在阻障層120的被處理部分和未處理部分之間的選擇性蝕刻。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程,以形成阻障層120的留下部分,對於非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)可進行調整,使得阻障層120的留下部分的邊角變成圓的,並且使得阻障層120的留下部分的頂表面低於功函數層136的頂表面。在一些實施例中,阻障層120的留下部分的頂表面係低於功函數層136的頂表面,且兩者相隔開一距離D4 。在一些實施例中,距離D4 在大約3Å與大約55Å之間。35 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 35 is similar to the gate structure of FIG. 32, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 26-32 may be used to form the gate structure of FIG. 35 and will not be repeated here. In some embodiments, for the parameters of the process described above with reference to FIG. 29 (eg, implant angle and energy) and the parameters of the selective etch process described above with reference to FIG. 30 (eg, etchant composition, Etch duration and etch selectivity) can be adjusted so that the corners of the remaining portion of the barrier layer 120 can be rounded and the top surface of the remaining portion of the barrier layer 120 can be lower than the work function The top surface of layer 136 . In some embodiments, the implantation angle of the process can be increased. In some embodiments, the implantation energy of the process can be increased. In some embodiments, the duration of the selective etch process can be extended. In some embodiments, selective etching between treated and untreated portions of barrier layer 120 may be reduced. In other embodiments, a blanket deposition process followed by an anisotropic etch process is used to form the remaining portion of the barrier layer 120, for parameters of the anisotropic etch process such as etchant composition and etch duration time) can be adjusted so that the corners of the remaining portion of the barrier layer 120 become rounded and the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 136 . In some embodiments, the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 136 by a distance D 4 . In some embodiments, distance D 4 is between about 3 Å and about 55 Å.

第36-43圖是根據本揭露一些實施例的製造包括第14A和14B圖所示的閘極堆疊95A和95B的閘極結構的多個中間階段的剖面示意圖。特別是,第36-43圖示出了當在開口90中形成閘極堆疊95A和95B時第14A圖的區域89的詳細示意圖。在一些實施例中,第36-43圖中所描述的製程步驟係類似於上面參照第17-22圖所描述的製程步驟,其中相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複的描述。FIGS. 36-43 are schematic cross-sectional views of various intermediate stages of fabricating a gate structure including the gate stacks 95A and 95B shown in FIGS. 14A and 14B in accordance with some embodiments of the present disclosure. In particular, FIGS. 36-43 show detailed schematic views of region 89 of FIG. 14A when gate stacks 95A and 95B are formed in opening 90 . In some embodiments, the process steps described in Figures 36-43 are similar to the process steps described above with reference to Figures 17-22, wherein similar components are designated by similar reference numerals, and are not described herein. The description of these similar parts is repeated.

在第36圖中,如以上參照第17圖所述,分別在區域50A和50B中的開口90中形成界面層115A和115B,並且在此不再重複敘述界面層115A和115B的相關內容。在形成界面層115A和115B之後,分別在區域50A和50B中的開口中形成閘極介電層116A和閘極介電層116B,如以上參照第17圖所述,並且在此不再重複敘述閘極介電層116A和116B的相關內容。在形成閘極介電層116A和116B之後,如以上參照第17圖所述,在區域50A中的閘極介電層116A之上形成功函數層118,並且在此不再重複敘述功函數層118的相關內容。In FIG. 36, as described above with reference to FIG. 17, interface layers 115A and 115B are formed in openings 90 in regions 50A and 50B, respectively, and the description of interface layers 115A and 115B will not be repeated here. After forming the interface layers 115A and 115B, a gate dielectric layer 116A and a gate dielectric layer 116B are formed in the openings in the regions 50A and 50B, respectively, as described above with reference to FIG. 17 and will not be repeated here Related to gate dielectric layers 116A and 116B. After forming the gate dielectric layers 116A and 116B, as described above with reference to FIG. 17, a work function layer 118 is formed over the gate dielectric layer 116A in the region 50A, and the description of the work function layer will not be repeated here. 118 related content.

在第37圖中,在形成功函數層118之後,在區域50A和區域50B兩者中的開口90中毯覆式的沉積一功函數層138。在一些實施例中,可以使用與上述參照第17圖所述的功函數層118的類似材料和方法來形成功函數層138,並且在此不再重複敘述功函數層138的相關內容。在一些實施例中,功函數層118和功函數層138係包括相同的材料。在其他實施例中,功函數層118和功函數層138係包括不同的材料。在一些實施例中,功函數層138的厚度在大約5Å與大約400Å之間。In Figure 37, after the work function layer 118 is formed, a work function layer 138 is blanket deposited in the openings 90 in both regions 50A and 50B. In some embodiments, the work function layer 138 may be formed using materials and methods similar to the work function layer 118 described above with reference to FIG. 17 , and the description of the work function layer 138 is not repeated here. In some embodiments, work function layer 118 and work function layer 138 comprise the same material. In other embodiments, work function layer 118 and work function layer 138 comprise different materials. In some embodiments, the thickness of the work function layer 138 is between about 5 Å and about 400 Å.

在第38圖中,係從區域50B去除一部分的功函數層138,而功函數層138的留下部分則保留在區域50A中。在一些實施例中,可以使用合適的光學微影製程和蝕刻方法從區域50B去除一部分的功函數層138。In FIG. 38, a portion of the work function layer 138 is removed from the region 50B, while the remaining portion of the work function layer 138 remains in the region 50A. In some embodiments, a portion of work function layer 138 may be removed from region 50B using a suitable photolithography process and etching method.

在第39圖中,在對功函數層138進行圖案化之後,如如以上參照第18圖所述,在區域50A和區域50B中的開口90中毯覆式的沉積一阻障層120,並且在此不再重複敘述阻障層120的相關內容。In FIG. 39, after patterning the work function layer 138, a barrier layer 120 is blanket deposited in the openings 90 in regions 50A and 50B as described above with reference to FIG. 18, and The related content of the barrier layer 120 will not be repeated here.

在第40圖中,在形成阻障層120之後,對阻障層120進行一處理製程(treatment process),以形成如以上參照第19圖所述的阻障層120的被處理部分(treated portion)126,並且在此不再重複敘述處理製程和被處理部分126的相關內容。在一些實施例中,在完成處理製程之後,在區域50A和區域50B之間的界面處且設置在功函數層118的側壁上和功函數層138的側壁上的阻障層120的一部分則保持未處理。In FIG. 40, after the barrier layer 120 is formed, a treatment process is performed on the barrier layer 120 to form the treated portion of the barrier layer 120 as described above with reference to FIG. 19. ) 126, and the related content of the processing procedure and the processed part 126 will not be repeated here. In some embodiments, a portion of barrier layer 120 disposed on the sidewalls of workfunction layer 118 and on the sidewalls of workfunction layer 138 at the interface between region 50A and region 50B remains after the processing process is completed. Not processed.

在第41圖中,如以上參照第20圖所述,去除了阻障層120的被處理部分126(參見第40圖),在此不再重複敘述去除製程的相關內容。在完成去除製程之後,阻障層120的未處理部分則沿著功函數層118的側壁和功函數層138的側壁而留在區域50A與區域50B之間的界面處。在一些實施例中,如以上參照第40圖所述內容的處理製程的參數(例如,佈植角度和能量)以及選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)進行調整,使得阻障層120的留下部分的頂表面和功函數層138的頂表面在製程變異內基本上是齊平的。In FIG. 41 , as described above with reference to FIG. 20 , the processed portion 126 of the barrier layer 120 (see FIG. 40 ) is removed, and the related content of the removal process will not be repeated here. After the removal process is completed, the untreated portion of the barrier layer 120 remains at the interface between the regions 50A and 50B along the sidewalls of the work function layer 118 and the sidewalls of the work function layer 138 . In some embodiments, parameters of the processing process (eg, implant angle and energy) as described above with reference to FIG. 40 and parameters of the selective etch process (eg, etchant composition, etch duration, and etch selectivity) ) is adjusted so that the top surface of the remaining portion of barrier layer 120 and the top surface of work function layer 138 are substantially flush within process variation.

在其他實施例中,可以通過如以上參照第39圖所述內容毯覆式的沉積阻障層120,並去除絕緣層120的水平部分和傾斜部分,以形成在功函數層118的側壁上和功函數層138的側壁上的阻障層120的留下部分。在一些實施例中,可以使用合適的非等向性蝕刻製程去除阻障層120的水平部分和傾斜部分。在這樣的實施例中,可以省略上述參照第40圖所述的處理製程。在一些實施例中,可以對非等向性蝕刻製程的參數(例如,蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的頂表面和功函數層138的頂表面在製程變異中基本上是齊平的。In other embodiments, the barrier layer 120 may be formed on the sidewalls of the work function layer 118 and by removing the horizontal and sloped portions of the insulating layer 120 by blanket depositing the barrier layer 120 as described above with reference to FIG. 39 . The remaining portion of the barrier layer 120 on the sidewalls of the work function layer 138 . In some embodiments, the horizontal and sloped portions of the barrier layer 120 may be removed using a suitable anisotropic etching process. In such an embodiment, the processing steps described above with reference to FIG. 40 may be omitted. In some embodiments, the parameters of the anisotropic etch process (eg, etchant composition and etch duration) may be adjusted such that the top surface of the remaining portion of barrier layer 120 and the top surface of work function layer 138 Basically flush in process variation.

在第42圖中,在功函數層118的側壁上和功函數層138的側壁上形成阻障層120之後,如以上參照第21圖所述內容,在區域50A和區域50B中的開口90中毯覆式的沉積一功函數層128,並且在此不再重複敘述沉積功函數層128的相關內容。In FIG. 42, after barrier layer 120 is formed on the sidewalls of work function layer 118 and on the sidewalls of work function layer 138, as described above with reference to FIG. 21, in openings 90 in regions 50A and 50B A work function layer 128 is deposited in a blanket manner, and the related content of depositing the work function layer 128 will not be repeated here.

在第43圖中,在形成功函數層128之後,如以上參照第22圖所述內容,在區域50A和50B中的開口90中分別形成遮蔽層130A和遮蔽層130B,並且在此不再重複敘述遮蔽層130A和130B的相關內容。在形成遮蔽層130A和130B之後,在區域50A和50B中的開口90中分別形成黏結層132A和黏結層132B,如以上參照第22圖所述內容,並且在此不再重複敘述黏結層132A和132B的相關內容。在形成黏結層132A和132B之後,分別在區域50A和50B中的開口90中形成導電填充材料134A和導電填充材料134B,如以上參照第22圖所述內容,並且在此不再重複描述導電填充材料134A和134B的相關內容。In FIG. 43, after the work function layer 128 is formed, as described above with reference to FIG. 22, a masking layer 130A and a masking layer 130B are formed in the openings 90 in the regions 50A and 50B, respectively, and will not be repeated here The related contents of the shielding layers 130A and 130B are described. After forming the shielding layers 130A and 130B, the adhesive layers 132A and 132B are formed in the openings 90 in the regions 50A and 50B, respectively, as described above with reference to FIG. 22 , and the description of the adhesive layers 132A and 132B will not be repeated here. 132B related content. After the bonding layers 132A and 132B are formed, a conductive fill material 134A and a conductive fill material 134B are formed in the openings 90 in the regions 50A and 50B, respectively, as described above with reference to FIG. 22 and the description of the conductive fill will not be repeated here. Relevant content of materials 134A and 134B.

在形成導電填充材料134A和134B之後,進行例如化學機械研磨(CMP)製程的平坦化製程,以去除界面層115A和115B、閘極介電層116A和116B、功函數層118、138和128、遮蔽層130A和130B、黏結層132A和132B以及導電填充材料134A和134B的過量部分,這些過量部分是在第一層間介電質88(見第14B圖)的頂表面上方。界面層115A、閘極介電層116A、功函數層118、128和138、遮蔽層130A、黏結層132A以及導電填充材料134A的留下部分,則在區域50A中形成替換閘極堆疊95A。界面層115B、閘極介電層116B、功函數層128、遮蔽層130B、黏結層132B以及導電填充材料134B的留下部分,則在區域50B中形成替換閘極堆疊95B。After forming the conductive filling materials 134A and 134B, a planarization process such as a chemical mechanical polishing (CMP) process is performed to remove the interface layers 115A and 115B, the gate dielectric layers 116A and 116B, the work function layers 118, 138 and 128, Excess portions of masking layers 130A and 130B, bonding layers 132A and 132B, and conductive fill material 134A and 134B over the top surface of first interlayer dielectric 88 (see FIG. 14B ). The remaining portions of interface layer 115A, gate dielectric layer 116A, work function layers 118, 128, and 138, masking layer 130A, bonding layer 132A, and conductive fill material 134A form replacement gate stack 95A in region 50A. The remaining portions of interface layer 115B, gate dielectric layer 116B, work function layer 128, masking layer 130B, adhesive layer 132B, and conductive fill material 134B form replacement gate stack 95B in region 50B.

在一些實施例中,形成在功函數層118的側壁上和功函數層138的側壁上的阻障層120可以防止或減少金屬從功函數層128擴散到功函數層118和功函數層138。例如,當功函數層128包括TiAl、TiAlN、TiAlC、TaAl或TaAlC時,阻障層120可以防止或減少鋁從功函數層128擴散到功函數層118和功函數層138。再者,阻障層120可將閘極堆疊95A與閘極堆疊95B分隔開來,可以防止或是減少由於金屬擴散所引起的臨界電壓偏移(threshold voltage shift)。In some embodiments, barrier layers 120 formed on sidewalls of workfunction layer 118 and on sidewalls of workfunction layer 138 may prevent or reduce metal diffusion from workfunction layer 128 to workfunction layer 118 and workfunction layer 138 . For example, when work function layer 128 includes TiAl, TiAlN, TiAlC, TaAl, or TaAlC, barrier layer 120 may prevent or reduce diffusion of aluminum from work function layer 128 to work function layer 118 and work function layer 138 . Furthermore, the barrier layer 120 can separate the gate stack 95A from the gate stack 95B, which can prevent or reduce the threshold voltage shift caused by metal diffusion.

第44圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第44圖的閘極結構類似於第43圖的閘極結構,相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第36-43圖所述的製程步驟相似的製程步驟來形成第44圖的閘極結構,並且在此不再重複敘述。在一些實施例中,可對於上述參照第40圖所描述的處理製程的參數(例如,佈植角度和能量)和參照第41圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)進行調整,使得阻障層120的留下部分的邊角(corners)變圓。在一些實施例中,可以增加佈植製程的佈植角度。在一些實施例中,可以提高佈植製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在一些實施例中,可以減少在阻障層120的被處理部分和未處理部分之間的選擇性蝕刻。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程以形成阻障層120的留下部分,可以對非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)進行調整,使得阻障層120的留下部分的邊角是圓形的。44 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 44 is similar to the gate structure of FIG. 43, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 36-43 may be used to form the gate structure of FIG. 44 and will not be repeated here. In some embodiments, parameters of the processing process described above with reference to FIG. 40 (eg, implant angle and energy) and parameters of the selective etch process described with reference to FIG. 41 (eg, etchant composition, The etch duration and etch selectivity) are adjusted so that the corners of the remaining portion of the barrier layer 120 are rounded. In some embodiments, the implantation angle of the implantation process can be increased. In some embodiments, the implantation energy of the implantation process can be increased. In some embodiments, the duration of the selective etch process can be extended. In some embodiments, selective etching between treated and untreated portions of barrier layer 120 may be reduced. In other embodiments, using a blanket deposition process followed by an anisotropic etch process to form the remaining portion of the barrier layer 120, the parameters of the anisotropic etch process, such as etchant composition and etch duration time) is adjusted so that the corners of the remaining portion of the barrier layer 120 are rounded.

第45圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第45圖的閘極結構類似於第43圖的閘極結構,且相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第36-43圖所述的製程步驟相似的製程步驟來形成第45圖的閘極結構,並且在此不再重複敘述。在一些實施例中,可以對於上述參照第40圖所描述的處理製程的參數(例如,佈植角度和能量)和上述參照第41圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)進行調整,使得阻障層120的留下部分的頂表面低於功函數層138的頂表面。在一些實施例中,可以提高佈植製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程以形成阻障層120的留下部分,對於非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)可進行調整,以使得阻障層120的留下部分的頂表面低於功函數層138的頂表面。在一些實施例中,阻障層120的留下部分的頂表面低於功函數層138的頂表面,且兩者相隔開一距離D5 。在一些實施例中,距離D5 在大約3Å與大約55Å之間。45 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 45 is similar to the gate structure of FIG. 43, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 36-43 may be used to form the gate structure of FIG. 45 and will not be repeated here. In some embodiments, parameters of the processing process (eg, implant angle and energy) described above with reference to FIG. 40 and parameters of the selective etch process described above with reference to FIG. 41 (eg, etchant composition) may be , etch duration and etch selectivity) are adjusted so that the top surface of the remaining portion of barrier layer 120 is lower than the top surface of work function layer 138 . In some embodiments, the implantation energy of the implantation process can be increased. In some embodiments, the duration of the selective etch process can be extended. In other embodiments, a blanket deposition process followed by an anisotropic etch process is used to form the remaining portion of the barrier layer 120, for parameters of the anisotropic etch process such as etchant composition and etch duration ) may be adjusted so that the top surface of the remaining portion of barrier layer 120 is lower than the top surface of work function layer 138 . In some embodiments, the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 138 by a distance D5 . In some embodiments, the distance D5 is between about 3 Å and about 55 Å.

第46圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。在一些實施例中,第46圖的閘極結構類似於第43圖的閘極結構,相似的部件係以相似的附圖標號標示,並且在此不再對這些相似部件做重複描述。在一些實施例中,可以使用與以上參照第36-43圖所述的的製程步驟相似的製程步驟來形成第46圖的閘極結構,並且在此不再重複敘述。在一些實施例中,對於上述參照第40圖所描述的處理製程的參數(例如,佈植角度和能量)和上述參照第41圖所描述的選擇性蝕刻製程的參數(例如,蝕刻劑組成、蝕刻持續時間和蝕刻選擇性)可以進行調整,以使得阻障層120的留下部分的邊角(corners)可以變成圓的,以及使得阻障層120的留下部分的頂表面低於功函數層138的頂表面。在一些實施例中,可以增加處理製程的佈植角度。在一些實施例中,可以提高處理製程的佈植能量。在一些實施例中,可以延長選擇性蝕刻製程的持續時間。在一些實施例中,可以減少在阻障層120的被處理部分和未處理部分之間的選擇性蝕刻。在其他實施例中,使用毯覆式沉積製程且隨後進行非等向性蝕刻製程,以形成阻障層120的留下部分,對於非等向性蝕刻製程的參數(例如蝕刻劑組成和蝕刻持續時間)可進行調整,使得阻障層120的留下部分的邊角變成圓的,並且使得阻障層120的留下部分的頂表面低於功函數層138的頂表面。在一些實施例中,阻障層120的留下部分的頂表面係低於功函數層138的頂表面,且兩者相隔開一距離D6 。在一些實施例中,距離D6 在大約3Å與大約55Å之間。46 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. In some embodiments, the gate structure of FIG. 46 is similar to the gate structure of FIG. 43, and similar components are designated by similar reference numerals, and the description of these similar components will not be repeated here. In some embodiments, process steps similar to those described above with reference to FIGS. 36-43 may be used to form the gate structure of FIG. 46 and will not be repeated here. In some embodiments, for the parameters of the process described above with reference to FIG. 40 (eg, implant angle and energy) and the parameters of the selective etch process described above with reference to FIG. 41 (eg, etchant composition, Etch duration and etch selectivity) can be adjusted so that the corners of the remaining portion of the barrier layer 120 can be rounded and the top surface of the remaining portion of the barrier layer 120 can be lower than the work function The top surface of layer 138 . In some embodiments, the implantation angle of the process can be increased. In some embodiments, the implantation energy of the process can be increased. In some embodiments, the duration of the selective etch process can be extended. In some embodiments, selective etching between treated and untreated portions of barrier layer 120 may be reduced. In other embodiments, a blanket deposition process followed by an anisotropic etch process is used to form the remaining portion of the barrier layer 120, for parameters of the anisotropic etch process such as etchant composition and etch duration Time) can be adjusted so that the corners of the remaining portion of the barrier layer 120 become rounded and the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 138 . In some embodiments, the top surface of the remaining portion of the barrier layer 120 is lower than the top surface of the work function layer 138 and separated by a distance D 6 . In some embodiments, distance D6 is between about 3 Å and about 55 Å.

在一些實施例中,第22-26圖、第32-35圖和第43-46圖所示的一些裝置或全部裝置可以共存於單一個晶圓或單一個晶粒上,並且可以形成在晶圓或晶粒上的不同位置。在一些實施例中,p型功函數層的數量可以是大於一個功函數層到多達六個不同的功函數層。In some embodiments, some or all of the devices shown in FIGS. 22-26, 32-35, and 43-46 may coexist on a single wafer or a single die, and may be formed on a die different locations on the circle or die. In some embodiments, the number of p-type work function layers may range from more than one work function layer to as many as six different work function layers.

第47圖是示出根據本揭露一些實施例的形成閘極結構的方法4700的流程圖。方法4700開始於步驟4701,如以上參照第8A圖和第8B圖所述,在一基底(例如,在第8A圖和第8B圖中示出的基底50)上方形成一犧牲閘極(例如,在第8A圖和第8B圖中示出的虛置閘極72)。在步驟4703中,如以上參照第13A圖和第13B圖所述,去除犧牲閘極以形成開口(例如,第13A圖和第13B圖所示的開口90)。在步驟4705中,如以上參照第17圖所述,在基底的第一區域(例如,第17圖所示的區域50A)上方的開口中形成第一界面層(例如,第17圖所示的界面層115A)。在步驟4707中,如以上參照第17圖所述,在基底的第二區域(例如,第17圖中所示的區域50B)上方的開口中形成第二界面層(例如,第17圖中所示的界面層115B)。在一些實施例中,步驟4705和4707是同時進行的。在其他實施例中,在步驟4707之前進行步驟4705。在其他實施例中,在步驟4707之後進行步驟4705。在步驟4709中,如以上參照第17圖所述,在開口中的第一界面層上方形成第一閘極介電層(例如第17圖所示的閘極介電層116A)。在步驟4711中,如以上參照第17圖所述,在開口中的第二界面層上形成第二閘極介電層(例如第17圖所示的閘極介電層116B)。在一些實施例中,步驟4709和4711可同時進行。在其他實施例中,步驟4709在步驟4711之前進行。在又其他實施例中,步驟4709在步驟4711之後進行。在步驟4713中,如以上參照第17圖所述,在開口中的第一閘極介電層上方形成第一功函數層(例如第17圖所示的功函數層118)。在步驟4715中,如以上參照第18圖所述,在開口中的第一功函數層和第二閘極介電層上形成一阻障層(例如,第18圖所示的阻障層120)。在步驟4717中,如以上參照第19圖所述,對阻障層的一部分進行一處理製程(treatment process)。在步驟4719中,如以上參照第20圖所述,選擇性地去除阻障層的被處理部分(treated portion)(例如,第19圖所示的阻障層120的被處理部分126)。在步驟4721中,如以上參照第21圖所述,在第一功函數層、第二介電層和阻障層的留下部分的上方形成一第二功函數層(例如,第21圖所示的功函數層128)。在步驟4723中,如以上參照第22圖所述,在基底的第一區域上方的開口中形成第一遮蔽層(例如,第22圖所示的遮蔽層130A)。在步驟4725中,如以上參照第22圖所述,在基底的第二區域上方的開口中形成第二遮蔽層(例如,第22圖中所示的遮蔽層130B)。在一些實施例中,步驟4723和4725是同時進行的。在其他實施例中,步驟4723在步驟4725之前進行。在其他實施例中,步驟4723在步驟4725之後進行。在步驟4727中,如以上參照第22圖所述,在基底的第一區域上方的開口中形成第一黏結層(例如第22圖所示的黏結層132A)。在步驟4729中,如以上參照第22圖所述,在基底的第二區域上方的開口中形成第二黏結層(例如第22圖所示的黏結層132B)。在一些實施例中,步驟4727和4729是同時進行的。在其他實施例中,步驟4727在步驟4729之前進行。在又一些其他實施例中,步驟4727在步驟4729之後進行。在步驟4731中,如以上參照第22圖所述,在基底的第一區域上的開口中形成第一導電層(例如圖22所示的導電填充材料134A)。在步驟4733中,如以上參照第22圖所述,在基底的第二區域上方的開口中形成第二導電層(例如,第22圖所示的導電填充材料134B)。在一些實施例中,步驟4731和4733在同一時間進行。在其他實施例中,步驟4731在步驟4733之前進行。在其他實施例中,步驟4731在步驟4733之後進行。47 is a flowchart illustrating a method 4700 of forming a gate structure according to some embodiments of the present disclosure. Method 4700 begins at step 4701 by forming a sacrificial gate (eg, a sacrificial gate (eg, Dummy gate 72) shown in Figures 8A and 8B. In step 4703, as described above with reference to Figures 13A and 13B, the sacrificial gate is removed to form openings (eg, openings 90 shown in Figures 13A and 13B). In step 4705, as described above with reference to FIG. 17, a first interfacial layer (eg, the one shown in FIG. 17) is formed in the opening over the first region of the substrate (eg, the region 50A shown in FIG. 17). interface layer 115A). In step 4707, as described above with reference to FIG. 17, a second interface layer (eg, as shown in FIG. 17) is formed in the opening over the second region of the substrate (eg, region 50B shown in FIG. 17). shown in the interface layer 115B). In some embodiments, steps 4705 and 4707 are performed simultaneously. In other embodiments, step 4705 is performed before step 4707. In other embodiments, step 4705 is performed after step 4707. In step 4709, as described above with reference to FIG. 17, a first gate dielectric layer (eg, gate dielectric layer 116A shown in FIG. 17) is formed over the first interface layer in the opening. In step 4711, as described above with reference to FIG. 17, a second gate dielectric layer (eg, gate dielectric layer 116B shown in FIG. 17) is formed on the second interface layer in the opening. In some embodiments, steps 4709 and 4711 may be performed simultaneously. In other embodiments, step 4709 is performed before step 4711. In yet other embodiments, step 4709 occurs after step 4711. In step 4713, as described above with reference to FIG. 17, a first work function layer (eg, work function layer 118 shown in FIG. 17) is formed over the first gate dielectric layer in the opening. In step 4715, as described above with reference to FIG. 18, a barrier layer (eg, barrier layer 120 shown in FIG. 18) is formed on the first work function layer and the second gate dielectric layer in the opening ). In step 4717, as described above with reference to FIG. 19, a treatment process is performed on a portion of the barrier layer. In step 4719, as described above with reference to FIG. 20, a treated portion of the barrier layer (eg, the treated portion 126 of the barrier layer 120 shown in FIG. 19) is selectively removed. In step 4721, as described above with reference to FIG. 21, a second work function layer (eg, as shown in FIG. 21) is formed over the remaining portion of the first work function layer, the second dielectric layer, and the barrier layer. Work function layer 128 shown). In step 4723, as described above with reference to FIG. 22, a first masking layer (eg, masking layer 130A shown in FIG. 22) is formed in the opening over the first region of the substrate. In step 4725, as described above with reference to FIG. 22, a second masking layer (eg, masking layer 130B shown in FIG. 22) is formed in the opening over the second region of the substrate. In some embodiments, steps 4723 and 4725 are performed simultaneously. In other embodiments, step 4723 is performed before step 4725. In other embodiments, step 4723 is performed after step 4725. In step 4727, as described above with reference to FIG. 22, a first adhesive layer (eg, adhesive layer 132A shown in FIG. 22) is formed in the opening over the first region of the substrate. In step 4729, as described above with reference to FIG. 22, a second bonding layer (eg, bonding layer 132B shown in FIG. 22) is formed in the opening over the second region of the substrate. In some embodiments, steps 4727 and 4729 are performed simultaneously. In other embodiments, step 4727 is performed before step 4729. In still other embodiments, step 4727 is performed after step 4729. In step 4731, as described above with reference to FIG. 22, a first conductive layer (eg, conductive filler material 134A shown in FIG. 22) is formed in the opening on the first region of the substrate. In step 4733, as described above with reference to FIG. 22, a second conductive layer (eg, conductive fill material 134B shown in FIG. 22) is formed in the opening over the second region of the substrate. In some embodiments, steps 4731 and 4733 are performed at the same time. In other embodiments, step 4731 is performed before step 4733. In other embodiments, step 4731 is performed after step 4733.

第48圖是示出根據本揭露一些實施例的形成閘極結構的方法4800的流程圖。方法4800開始於步驟4801,如以上參照第8A圖和第8B圖所述,在一基底(例如,在第8A圖和第8B圖中示出的基底50)上方形成一犧牲閘極(例如,在第8A圖和第8B圖中示出的虛置閘極72)。在步驟4803中,如以上參照第13A圖和第13B圖所述,去除犧牲閘極以形成一開口(例如,第13A圖和第13B圖所示的開口90)。在步驟4805中,如以上參照第26圖所述,在基底的第一區域(例如,第26圖所示的區域50A)上方的開口中形成第一界面層(例如,第26圖所示的界面層115A)。在步驟4807中,如以上參照第26圖所述,在基底的第二區域(例如,第26圖中所示的區域50B)上方的開口中形成第二界面層(例如,第26圖中所示的界面層115B)。在一些實施例中,步驟4805和4807是同時進行的。在其他實施例中,步驟4805在步驟4807之前進行。在又一些其他實施例中,步驟4805在步驟4807之後進行。在步驟4809中,如以上參照第26圖所述,在開口中的第一界面層上方形成第一閘極介電層(例如第26圖所示的閘極介電層116A)。在步驟4811中,如以上參照第26圖所述,在開口中的第二界面層上形成第二閘極介電層(例如第26圖所示的閘極介電層116B)。在一些實施例中,步驟4809和4811可同時進行。在其他實施例中,在步驟4811之前進行步驟4809。在其他實施例中,在步驟4811之後進行步驟4809。在步驟4813中,如以上參照第26圖所述,在開口中的第一閘極介電層上方形成第一功函數層(例如,第26圖所示的功函數層118)。在步驟4815中,如以上參照第27圖所述,第二功函數層(例如,第27圖所示的功函數層136)形成在開口中且位於第一功函數層和第二閘極介電層上方。在步驟4817中,如以上參照第28圖所述,開口中且位於第二功函數層上方形成阻障層(例如,第28圖所示的阻障層120)。在步驟4819中,如以上參照第29圖所述,對阻障層的一部分進行處理製程。在步驟4821中,如上文參照第30圖所述,阻障層的被處理過的部分(例如,第29圖所示的阻障層120的被處理部分126)係被選擇性的去除。在步驟4823中,如以上參照第31圖所述,在第二功函數層和阻障層的留下部分的上方形成第三功函數層(例如,第21圖中所示的功函數層128)。在步驟4825中,如以上參照第32圖所述,在基底的第一區域上方的開口中形成第一遮蔽層(例如,第32圖所示的遮蔽層130A)。在步驟4827中,如以上參照第32圖所述,在基底的第二區域上方的開口中形成第二遮蔽層(例如,第32圖中所示的遮蔽層130B)。在一些實施例中,步驟4825和步驟4827是同時進行的。在其他實施例中,步驟4825在步驟4827之前進行。在又一些其他實施例中,步驟4825在步驟4827之後進行。在步驟4829中,如以上參照第32圖所述,在基底的第一區域上的開口中形成第一黏結層(例如第32圖所示的黏結層132A)。在步驟4831中,如以上參照第32圖所述,在基底的第二區域上的開口中形成第二黏結層(例如第32圖所示的黏結層132B)。在一些實施例中,步驟4829和4831是同時進行的。在其他實施例中,步驟4829在步驟4831之前進行。在其他實施例中,步驟4829在步驟4831之後進行。在步驟4833中,如以上參照第32圖所述,在基底的第一區域上方的開口中形成第一導電層(例如,第32圖所示的導電填充材料134A)。在步驟4835中,如以上參照第32圖所述,在基底的第二區域上方的開口中形成第二導電層(例如第32圖所示的導電填充材料134B)。在一些實施例中,同時進行步驟4833和4835。在其他實施例中,步驟4833在步驟4835之前進行。在其他實施例中,步驟4833在步驟4835之後進行。48 is a flowchart illustrating a method 4800 of forming a gate structure according to some embodiments of the present disclosure. Method 4800 begins at step 4801 by forming a sacrificial gate (eg, Dummy gate 72) shown in Figures 8A and 8B. In step 4803, as described above with reference to Figures 13A and 13B, the sacrificial gate is removed to form an opening (eg, opening 90 shown in Figures 13A and 13B). In step 4805, as described above with reference to FIG. 26, a first interfacial layer (eg, the area shown in FIG. 26) is formed in the opening over the first region of the substrate (eg, the area 50A shown in FIG. 26). interface layer 115A). In step 4807, as described above with reference to FIG. 26, a second interface layer (eg, as shown in FIG. 26) is formed in the opening over the second region of the substrate (eg, region 50B shown in FIG. 26). shown in the interface layer 115B). In some embodiments, steps 4805 and 4807 are performed simultaneously. In other embodiments, step 4805 is performed before step 4807. In still other embodiments, step 4805 occurs after step 4807. In step 4809, as described above with reference to FIG. 26, a first gate dielectric layer (eg, gate dielectric layer 116A shown in FIG. 26) is formed over the first interface layer in the opening. In step 4811, as described above with reference to FIG. 26, a second gate dielectric layer (eg, gate dielectric layer 116B shown in FIG. 26) is formed on the second interface layer in the opening. In some embodiments, steps 4809 and 4811 may be performed concurrently. In other embodiments, step 4809 is performed before step 4811. In other embodiments, step 4809 is performed after step 4811. In step 4813, as described above with reference to FIG. 26, a first work function layer (eg, work function layer 118 shown in FIG. 26) is formed over the first gate dielectric layer in the opening. In step 4815, as described above with reference to FIG. 27, a second work function layer (eg, work function layer 136 shown in FIG. 27) is formed in the opening between the first work function layer and the second gate above the electrical layer. In step 4817, as described above with reference to FIG. 28, a barrier layer (eg, the barrier layer 120 shown in FIG. 28) is formed in the opening and over the second work function layer. In step 4819, a portion of the barrier layer is processed as described above with reference to Figure 29. In step 4821, as described above with reference to FIG. 30, the processed portion of the barrier layer (eg, processed portion 126 of barrier layer 120 shown in FIG. 29) is selectively removed. In step 4823, as described above with reference to FIG. 31, a third work function layer (eg, work function layer 128 shown in FIG. 21) is formed over the second work function layer and the remaining portion of the barrier layer ). In step 4825, as described above with reference to FIG. 32, a first masking layer (eg, masking layer 130A shown in FIG. 32) is formed in the opening over the first region of the substrate. In step 4827, as described above with reference to FIG. 32, a second masking layer (eg, masking layer 130B shown in FIG. 32) is formed in the opening over the second region of the substrate. In some embodiments, steps 4825 and 4827 are performed concurrently. In other embodiments, step 4825 is performed before step 4827. In still other embodiments, step 4825 occurs after step 4827. In step 4829, as described above with reference to FIG. 32, a first adhesive layer (eg, adhesive layer 132A shown in FIG. 32) is formed in the opening on the first region of the substrate. In step 4831, as described above with reference to FIG. 32, a second adhesive layer (eg, adhesive layer 132B shown in FIG. 32) is formed in the opening on the second region of the substrate. In some embodiments, steps 4829 and 4831 are performed simultaneously. In other embodiments, step 4829 is performed before step 4831. In other embodiments, step 4829 is performed after step 4831. In step 4833, as described above with reference to FIG. 32, a first conductive layer (eg, conductive fill material 134A shown in FIG. 32) is formed in the opening over the first region of the substrate. In step 4835, as described above with reference to FIG. 32, a second conductive layer (eg, conductive fill material 134B shown in FIG. 32) is formed in the opening over the second region of the substrate. In some embodiments, steps 4833 and 4835 are performed simultaneously. In other embodiments, step 4833 is performed before step 4835. In other embodiments, step 4833 is performed after step 4835.

第49圖是示出根據本揭露一些實施例的形成閘極結構的方法4900的流程圖。方法4900開始於步驟4901,其中在一基底(例如,在第8A圖和第8B圖中示出的基底50)上方形成一犧牲閘極(例如,在第8A圖和第8B圖中示出的虛置閘極72),如以上參照第8A圖和第8B圖所述。在步驟4903中,如以上參照第13A圖和第13B圖所述,去除犧牲閘極以形成一開口(例如,第13A圖和第13B圖所示的開口90)。在步驟4905中,如以上參照第36圖所述,在基底的第一區域(例如,第36圖所示的區域50A)上方的開口中形成第一界面層(例如,第36圖所示的界面層115A)。在步驟4907中,如以上參照第36圖所述,在基底的第二區域(例如,第36圖中所示的區域50B)上方的開口中形成第二界面層(例如,第36圖中所示的界面層115B)。在一些實施例中,步驟4905和4907被同時進行。在其他實施例中,步驟4905在步驟4907之前進行。在又一些其他實施例中,步驟4905在步驟4907之後進行。在步驟4909中,如以上參照第36圖所述,在開口中的第一界面層上方形成第一閘極介電層(例如第36圖所示的閘極介電層116A)。在步驟4911中,如以上參照第36圖所述,在第二界面層上的開口中形成第二閘極介電層(例如第36圖所示的閘極介電層116B)。在一些實施例中,步驟4909和4911是同時進行的。在其他實施例中,在步驟4911之前進行步驟4909。在又一些實施例中,在步驟4911之後進行步驟4909。在步驟4913中,如以上參照第36圖所述,在第一閘極介電層上形成第一功函數層(例如,第36圖所示的功函數層118)。在步驟4915中,如以上參照第37、38圖所述,在開口中的第一功函數層上形成第二功函數層(例如第38圖所示的功函數層138)。在步驟4917中,如以上參照第39圖所述,在開口中的第二功函數層和第二閘極介電層上方形成一阻障層(例如第39圖所示的阻障層120)。在步驟4919中,如以上參照第40圖所述,對阻障層的一部分進行處理製程。在步驟4921中,如上文參照第41圖所述,阻障層的被處理過的部分(例如,第40圖所示的阻障層120的被處理部分126)係被選擇性的去除。在步驟4923中,如以上參照第42圖所述,在第二功函數層、第二閘極介電層和阻障層的留下部分的上方形成  第三功函數層(例如第42圖所示的功函數層128)。在步驟4925中,如以上參照第43圖所述,在基底的第一區域上方的開口中形成第一遮蔽層(例如,第43圖所示的遮蔽層130A)。在步驟4927中,如以上參照第43圖所述,在基底的第二區域上方的開口中形成第二遮蔽層(例如,第43圖所示的遮蔽層130B)。在一些實施例中,步驟4925和4927是同時進行的。在其他實施例中,在步驟4927之前進行步驟4925。在其他實施例中,在步驟4927之後進行步驟4925。在步驟4929中,如以上參照第43圖所述,在基底的第一區域上的開口中形成第一黏結層(例如第43圖所示的黏結層132A)。在步驟4931中,如以上參照第43圖所述,在基底的第二區域上的開口中形成第二黏結層(例如,第43圖所示的黏結層132B)。在一些實施例中,步驟4929和4931是同時進行的。在其他實施例中,在步驟4931之前進行步驟4929。在又一些實施例中,在步驟4931之後進行步驟4929。在步驟4933中,如以上參照第43圖所述,在基底的第一區域上的開口中形成第一導電層(例如,第43圖所示的導電填充材料134A)。在步驟4935中,如以上參照第43圖所述,在基底的第二區域上方的開口中形成第二導電層(例如第43圖所示的導電填充材料134B)。在一些實施例中,步驟4933和4935在同一時間進行。在一些其他實施例中,步驟4933在步驟4935之前進行。在又一些其他實施例中,步驟4933在步驟4935之後進行。FIG. 49 is a flowchart illustrating a method 4900 of forming a gate structure in accordance with some embodiments of the present disclosure. Method 4900 begins at step 4901 in which a sacrificial gate (eg, as shown in FIGS. 8A and 8B ) is formed over a substrate (eg, substrate 50 shown in FIGS. 8A and 8B ) Dummy gate 72), as described above with reference to Figures 8A and 8B. In step 4903, as described above with reference to Figures 13A and 13B, the sacrificial gate is removed to form an opening (eg, opening 90 shown in Figures 13A and 13B). In step 4905, as described above with reference to FIG. 36, a first interfacial layer (eg, the region shown in FIG. 36) is formed in the opening over the first region of the substrate (eg, region 50A shown in FIG. 36). interface layer 115A). In step 4907, as described above with reference to FIG. 36, a second interface layer (eg, as shown in FIG. 36) is formed in the opening over the second region of the substrate (eg, region 50B shown in FIG. 36). shown in the interface layer 115B). In some embodiments, steps 4905 and 4907 are performed simultaneously. In other embodiments, step 4905 is performed before step 4907. In still other embodiments, step 4905 occurs after step 4907. In step 4909, as described above with reference to FIG. 36, a first gate dielectric layer (eg, gate dielectric layer 116A shown in FIG. 36) is formed over the first interface layer in the opening. In step 4911, as described above with reference to FIG. 36, a second gate dielectric layer (eg, gate dielectric layer 116B shown in FIG. 36) is formed in the opening on the second interface layer. In some embodiments, steps 4909 and 4911 are performed simultaneously. In other embodiments, step 4909 is performed before step 4911. In still other embodiments, step 4909 is performed after step 4911. In step 4913, as described above with reference to FIG. 36, a first work function layer (eg, work function layer 118 shown in FIG. 36) is formed on the first gate dielectric layer. In step 4915, as described above with reference to Figures 37 and 38, a second work function layer (eg, work function layer 138 shown in Figure 38) is formed on the first work function layer in the opening. In step 4917, as described above with reference to FIG. 39, a barrier layer (eg, barrier layer 120 shown in FIG. 39) is formed over the second work function layer and the second gate dielectric layer in the openings . In step 4919, a portion of the barrier layer is processed as described above with reference to FIG. 40 . In step 4921, as described above with reference to FIG. 41, the processed portion of the barrier layer (eg, processed portion 126 of barrier layer 120 shown in FIG. 40) is selectively removed. In step 4923, as described above with reference to FIG. 42, a third work function layer (such as shown in FIG. 42) is formed over the remaining portion of the second work function layer, the second gate dielectric layer, and the barrier layer. Work function layer 128 shown). In step 4925, as described above with reference to FIG. 43, a first masking layer (eg, masking layer 130A shown in FIG. 43) is formed in the opening over the first region of the substrate. In step 4927, as described above with reference to FIG. 43, a second masking layer (eg, masking layer 130B shown in FIG. 43) is formed in the opening over the second region of the substrate. In some embodiments, steps 4925 and 4927 are performed simultaneously. In other embodiments, step 4925 is performed before step 4927. In other embodiments, step 4925 is performed after step 4927. In step 4929, as described above with reference to FIG. 43, a first adhesive layer (eg, adhesive layer 132A shown in FIG. 43) is formed in the opening on the first region of the substrate. In step 4931, as described above with reference to FIG. 43, a second bonding layer (eg, bonding layer 132B shown in FIG. 43) is formed in the openings on the second region of the substrate. In some embodiments, steps 4929 and 4931 are performed simultaneously. In other embodiments, step 4929 is performed before step 4931. In still other embodiments, step 4929 is performed after step 4931. In step 4933, as described above with reference to FIG. 43, a first conductive layer (eg, conductive fill material 134A shown in FIG. 43) is formed in the openings on the first region of the substrate. In step 4935, as described above with reference to FIG. 43, a second conductive layer (eg, conductive fill material 134B shown in FIG. 43) is formed in the opening over the second region of the substrate. In some embodiments, steps 4933 and 4935 are performed at the same time. In some other embodiments, step 4933 occurs before step 4935. In still other embodiments, step 4933 is performed after step 4935.

在一實施例中,一種半導體裝置包括一基底以及位於基底上方的一閘極結構(gate structure)。前述基底具有一第一區域和一第二區域。前述閘極結構延伸跨過前述第一區域和前述第二區域之間的一界面(interface)。前述閘極結構包括位於前述第一區域上方的一第一閘極介電層(first gate dielectric layer);位於前述第二區域上方的一第二閘極介電層(second gate dielectric layer);位於前述第一閘極介電層上方的一第一功函數層(first work function layer);沿著前述第一功函數層的一側壁以及位於前述第一區域和前述第二區域之間的前述界面上方的一阻障層(barrier layer);以及位於前述第一功函數層、前述阻障層和前述第二閘極介電層的上方的一第二功函數層(second work function layer)。前述第二功函數層係物理性的接觸前述第一功函數層的一頂表面。在一實施例中,前述閘極結構更包括一第三功函數層(third work function layer)位於前述第一閘極介電層和前述第一功函數層之間。在一實施例中,前述第一功函數層係物理性的接觸前述第三功函數層的一頂表面。在一實施例中,前述阻障層沿著該第三功函數層的一側壁延伸。在一實施例中,前述第二功函數層係物理性的接觸前述阻障層的一頂表面以及一側壁。在一實施例中,前述阻障層係與前述第一區域和前述第二區域之間的前述界面側向的分隔開來。在一實施例中,前述阻障層的一頂表面係與前述第一功函數層的前述頂表面齊平。在一實施例中,前述阻障層的一頂表面係低於前述第一功函數層的前述頂表面。在一實施例中,前述阻障層具有一圓化的邊角(rounded corner)。In one embodiment, a semiconductor device includes a substrate and a gate structure over the substrate. The aforementioned substrate has a first area and a second area. The gate structure extends across an interface between the first region and the second region. The gate structure includes a first gate dielectric layer over the first region; a second gate dielectric layer over the second region; and a second gate dielectric layer over the second region. a first work function layer above the first gate dielectric layer; along a sidewall of the first work function layer and the interface between the first region and the second region a barrier layer above; and a second work function layer located above the first work function layer, the barrier layer and the second gate dielectric layer. The second work function layer physically contacts a top surface of the first work function layer. In one embodiment, the gate structure further includes a third work function layer located between the first gate dielectric layer and the first work function layer. In one embodiment, the first work function layer physically contacts a top surface of the third work function layer. In one embodiment, the aforementioned barrier layer extends along a sidewall of the third work function layer. In one embodiment, the second work function layer physically contacts a top surface and a sidewall of the barrier layer. In one embodiment, the barrier layer is laterally separated from the interface between the first region and the second region. In one embodiment, a top surface of the barrier layer is flush with the top surface of the first work function layer. In one embodiment, a top surface of the barrier layer is lower than the top surface of the first work function layer. In one embodiment, the aforementioned barrier layer has a rounded corner.

在另一實施例中,一種半導體裝置包括一基底以及位於前述基底上方的一閘極結構(gate structure)。前述基底具有一第一區域和一第二區域。前述閘極結構的第一部分(first portion)位於前述第一區域上方,前述閘極結構的第二部分(second portion)位於前述第二區域上方。前述閘極結構包括位於前述第一區域上方的一第一閘極介電層(first gate dielectric layer);位於前述第二區域上方的一第二閘極介電層(second gate dielectric layer);以及位於前述第一閘極介電層上方的一第一p型功函數層(first p-type work function layer)。前述第一p型功函數層具有一側壁,且前述側壁位於前述第一區域和前述第二區域之間的一界面上方。前述閘極結構更包括一阻障層(barrier layer),前述阻障層係物理性的接觸前述第一p型功函數層的前述側壁。前述阻障層沿著前述第一p型功函數層的前述側壁延伸而不高於前述第一p型功函數層的一頂表面。前述閘極結構更包括位於前述第一p型功函數層上方的一n型功函數層(n-type work function layer)。前述n型功函數層係物理性的接觸前述阻障層的一頂表面和一側壁。前述閘極結構更包括一第一導電層(first conductive layer)在前述第一區域之上且位於前述n型功函數層的第一部分(first portion)的上方,以及一第二導電層(second conductive layer)在前述第二區域之上且位於前述n型功函數層的第二部分(second portion)的上方。在一實施例中, 前述第一p型功函數層係物理性的接觸前述n型功函數層的前述第二部分。在一實施例中,前述n型功函數層係物理性的接觸前述第二閘極介電層。前述n型功函數層係物理性的接觸前述第二閘極介電層。在一實施例中,前述阻障層的一頂表面係與前述第一p型功函數層的前述頂表面齊平。在一實施例中,前述阻障層的一頂表面係低於前述第一p型功函數層的前述頂表面。在一實施例中,前述第一p型功函數層係物理性的接觸前述阻障層的一底表面。在一實施例中,前述第一p型功函數層係物理性的接觸前述第二閘極介電層。In another embodiment, a semiconductor device includes a substrate and a gate structure over the substrate. The aforementioned substrate has a first area and a second area. A first portion of the gate structure is located above the first region, and a second portion of the gate structure is located above the second region. The gate structure includes a first gate dielectric layer over the first region; a second gate dielectric layer over the second region; and a first p-type work function layer above the first gate dielectric layer. The first p-type work function layer has a sidewall, and the sidewall is located above an interface between the first region and the second region. The gate structure further includes a barrier layer, and the barrier layer physically contacts the sidewall of the first p-type work function layer. The barrier layer extends along the sidewall of the first p-type work function layer and is not higher than a top surface of the first p-type work function layer. The gate structure further includes an n-type work function layer located above the first p-type work function layer. The n-type work function layer physically contacts a top surface and a sidewall of the barrier layer. The gate structure further includes a first conductive layer on the first region and above the first portion of the n-type work function layer, and a second conductive layer layer) over the aforementioned second region and over a second portion of the aforementioned n-type work function layer. In one embodiment, the first p-type work function layer physically contacts the second portion of the n-type work function layer. In one embodiment, the n-type work function layer physically contacts the second gate dielectric layer. The n-type work function layer physically contacts the second gate dielectric layer. In one embodiment, a top surface of the barrier layer is flush with the top surface of the first p-type work function layer. In one embodiment, a top surface of the barrier layer is lower than the top surface of the first p-type work function layer. In one embodiment, the first p-type work function layer physically contacts a bottom surface of the barrier layer. In one embodiment, the first p-type work function layer physically contacts the second gate dielectric layer.

在又一實施例中,一種半導體裝置的形成方法,包括在一基底上方形成一犧牲閘極(sacrificial gate)。前述基底具有一第一區域和一第二區域。前述犧牲閘極延伸跨過前述第一區域和前述第二區域之間的一界面(interface)。去除前述犧牲閘極以形成一開口(opening)。在前述第一區域上方的前述開口中形成一第一閘極介電層(first gate dielectric layer)。在前述第二區域上方的前述開口中形成一第二閘極介電層(second gate dielectric layer)。在前述開口中的前述第一閘極介電層上方形成一第一功函數層(first work function layer)。在前述開口中的前述第一功函數層和前述第二閘極介電層上方沉積一介電層。前述介電層包括一第一材料(first material)。圖案化前述介電層,以在前述第一功函數層的一側壁上形成一阻障層(barrier layer)。在前述第一功函數層以及前述阻障層的上方形成一第二功函數層(second work function layer)。在一實施例中,圖案化前述介電層包括:在前述介電層上進行一處理製程(treatment process),以形成前述介電層的一被處理部分(treated portion)。前述介電層的前述被處理部分包括與前述第一材料不同的一第二材料(second material)。選擇性的去除前述介電層的前述被處理部分。前述介電層的一未處理部分(un-treated portion)留在前述第一功函數層的前述側壁上,並形成前述阻障層。在一實施例中,在前述介電層上進行前述處理製程包括對前述介電層上進行一佈植製程(implantation process)。在一實施例中,選擇性的去除前述介電層的前述被處理部分包括對前述介電層的前述被處理部分進行一選擇性蝕刻製程(selective etch process)。在一實施例中, 圖案化前述介電層包括對前述介電層上進行一非等向性蝕刻製程(anisotropic etch process)。In yet another embodiment, a method of forming a semiconductor device includes forming a sacrificial gate over a substrate. The aforementioned substrate has a first area and a second area. The sacrificial gate extends across an interface between the first region and the second region. The aforementioned sacrificial gate is removed to form an opening. A first gate dielectric layer is formed in the opening above the first region. A second gate dielectric layer is formed in the opening above the second region. A first work function layer is formed over the first gate dielectric layer in the opening. A dielectric layer is deposited over the first work function layer and the second gate dielectric layer in the opening. The aforementioned dielectric layer includes a first material. The dielectric layer is patterned to form a barrier layer on a sidewall of the first work function layer. A second work function layer is formed above the first work function layer and the barrier layer. In one embodiment, patterning the dielectric layer includes: performing a treatment process on the dielectric layer to form a treated portion of the dielectric layer. The processed portion of the dielectric layer includes a second material different from the first material. The processed portion of the dielectric layer is selectively removed. An un-treated portion of the dielectric layer is left on the sidewall of the first work function layer to form the barrier layer. In one embodiment, performing the processing process on the dielectric layer includes performing an implantation process on the dielectric layer. In one embodiment, selectively removing the processed portion of the dielectric layer includes performing a selective etch process on the processed portion of the dielectric layer. In one embodiment, patterning the dielectric layer includes performing an anisotropic etch process on the dielectric layer.

以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。The components of several embodiments are summarized above, so that those with ordinary knowledge in the technical field to which the present invention pertains can better understand the viewpoints of the embodiments of the present invention. Those skilled in the art to which the present invention pertains should appreciate that they can easily use the embodiments of the present invention as a basis to design or modify other processes and structures to achieve the same purposes and/or advantages of the embodiments described herein . Those with ordinary knowledge in the technical field to which the present invention pertains should also understand that such equivalent structures do not depart from the spirit and scope of the present invention, and they can be made in various forms without departing from the spirit and scope of the present invention. such changes, substitutions and substitutions. Therefore, the protection scope of the present invention should be determined by the scope of the appended patent application.

50:基底 50A,50B,89:區域 52A,52B:鰭片 54:絕緣材料 56:淺溝槽隔離區 58A,58B:通道區 60:虛置介電層 62:虛置閘極層 64:遮罩層 72:虛置閘極 74:遮罩 80:閘極密封間隔件 82A,82B:(磊晶)源極/汲極區域 86:閘極間隔物 88:第一層間介電質 90:開口 95A,95B:(替換)閘極堆疊 96:閘極遮罩 108:第二層間介電質 110A,110B:閘極接觸件 112A,112B:源極/汲極接觸件 114A,114B:矽化物層 115A,115B:界面層 116A,116B:閘極介電層 118,128,136,138:功函數層 120:阻障層 126:被處理部分 130A,130B:遮蔽層 132A,132B:黏結層 134A,134B:導電填充材料 D1 ,D2 ,D3 ,D4, D5 ,D6 :距離 4700,4800,4900:方法 4701,4703,4705,4707,4709,4711,4713,4715,4717,4719,4721,4723,4725,4727,4729,4731,4733,4801,4803,4805,4807,4809,4811,4813,4815,4817,4819,4821,4823,4825,4827,4829,4831,4833,4835,4901,4903,4905,4907,4909,4911,4913,4915,4917,4919,4921,4923,4925,4927,4929,4931,4933,4935:步驟 A-A,B-B,C-C:參考剖面50: substrate 50A, 50B, 89: region 52A, 52B: fin 54: insulating material 56: shallow trench isolation region 58A, 58B: channel region 60: dummy dielectric layer 62: dummy gate layer 64: shield Cap layer 72: Dummy gate 74: Mask 80: Gate sealing spacers 82A, 82B: (epitaxy) source/drain regions 86: Gate spacer 88: First interlayer dielectric 90: Openings 95A, 95B: (replacement) gate stack 96: gate mask 108: second interlayer dielectric 110A, 110B: gate contacts 112A, 112B: source/drain contacts 114A, 114B: silicide Layers 115A, 115B: Interface Layers 116A, 116B: Gate Dielectric Layers 118, 128, 136, 138: Work Function Layer 120: Barrier Layer 126: Processed Portions 130A, 130B: Masking Layers 132A, 132B: Tie Layers 134A, 134B: Conductive Filler D 1 , D 2 , D 3 , D 4, D 5 , D 6 : Distances 4700, 4800, 4900: Methods 4701, 4703, 4705, 4707, 4709, 4711, 4713, 4715, 4717, 4719, 4721, 4723, 4725,4727,4729,4731,4733,4801,4803,4805,4807,4809,4811,4813,4815,4817,4819,4821,4823,4825,4827,4829,4831,4833,4835,4901,4903, 4905, 4907, 4909, 4911, 4913, 4915, 4917, 4919, 4921, 4923, 4925, 4927, 4929, 4931, 4933, 4935: Steps AA, BB, CC: Reference Sections

藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是根據本發明一些實施例的一鰭式場效電晶體(FinFET)的一示例的立體圖。 第2、3、4、5、6、7、8A、8B、9A、9B、10A、10B、10C、10D、11A、11B、12A、12B、13A、13B、14A、14B、15A、15B、16A和16B圖是根據本揭露一些實施例的製造一鰭式場效電晶體(FinFET)裝置的中間階段的剖面圖。 第17-22圖是根據本揭露一些實施例的製造一鰭式場效電晶體(FinFET)裝置的一閘極結構的多個中間階段的剖面示意圖。 第23圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第24圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第25圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第26-32圖是根據本揭露一些實施例的製造一鰭式場效電晶體(FinFET)裝置的一閘極結構的多個中間階段的剖面示意圖。 第33圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第34圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第35圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第36-43圖是根據本揭露一些實施例的製造一鰭式場效電晶體(FinFET)裝置的一閘極結構的多個中間階段的剖面示意圖。 第44圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第45圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第46圖是根據本揭露一些實施例的鰭式場效電晶體(FinFET)裝置的閘極結構的剖面示意圖。 第47圖是示出根據本揭露一些實施例的形成一閘極結構的方法流程圖。 第48圖是示出根據本揭露一些實施例的形成一閘極結構的方法流程圖。 第49圖是示出根據本揭露一些實施例的形成一閘極結構的方法流程圖。The content of the embodiments of the present invention can be better understood through the following detailed description in conjunction with the accompanying drawings. It is emphasized that, in accordance with standard industry practice, many features are not drawn to scale. In fact, the dimensions of the various components may be arbitrarily increased or decreased for clarity of discussion. FIG. 1 is a perspective view of an example of a fin field effect transistor (FinFET) according to some embodiments of the present invention. 2, 3, 4, 5, 6, 7, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 15A, 15B, 16A 16B are cross-sectional views of intermediate stages of fabricating a Fin Field Effect Transistor (FinFET) device according to some embodiments of the present disclosure. FIGS. 17-22 are schematic cross-sectional views of intermediate stages of fabricating a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 23 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 24 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 25 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. FIGS. 26-32 are schematic cross-sectional views of intermediate stages of fabricating a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 33 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 34 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 35 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 36-43 are schematic cross-sectional views of intermediate stages of fabricating a gate structure of a Fin Field Effect Transistor (FinFET) device according to some embodiments of the present disclosure. 44 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 45 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 46 is a schematic cross-sectional view of a gate structure of a fin field effect transistor (FinFET) device according to some embodiments of the present disclosure. 47 is a flowchart illustrating a method of forming a gate structure according to some embodiments of the present disclosure. 48 is a flowchart illustrating a method of forming a gate structure according to some embodiments of the present disclosure. 49 is a flowchart illustrating a method of forming a gate structure according to some embodiments of the present disclosure.

50A,50B,89:區域50A, 50B, 89: Area

52A:鰭片52A: Fins

58A:通道區58A: Channel area

95A,95B:(替換)閘極堆疊95A, 95B: (replacement) gate stack

115A,115B:界面層115A, 115B: Interface layer

116A,116B:閘極介電層116A, 116B: gate dielectric layer

118,128:功函數層118,128: Work function layer

120:阻障層120: Barrier layer

130A,130B:遮蔽層130A, 130B: Shielding layer

132A,132B:黏結層132A, 132B: Bonding layer

134A,134B:導電填充材料134A, 134B: Conductive filler material

Claims (20)

一種半導體裝置,包括: 一基底,該基底具有一第一區域和一第二區域;以及 一閘極結構(gate structure),位於該基底上方,該閘極結構延伸跨過該第一區域和該第二區域之間的一界面(interface),該閘極結構包括: 一第一閘極介電層(first gate dielectric layer)位於該第一區域上方; 一第二閘極介電層(second gate dielectric layer)位於該第二區域上方; 一第一功函數層(first work function layer)位於該第一閘極介電層上方; 一阻障層(barrier layer),沿著該第一功函數層的一側壁以及位於該第一區域和該第二區域之間的該界面上方;以及 一第二功函數層(second work function layer),位於該第一功函數層、該阻障層和該第二閘極介電層的上方,其中該第二功函數層係物理性的接觸該第一功函數層的一頂表面。A semiconductor device, comprising: a substrate having a first region and a second region; and a gate structure located above the substrate, the gate structure extending across an interface between the first region and the second region, the gate structure comprising: a first gate dielectric layer overlying the first region; a second gate dielectric layer overlying the second region; a first work function layer overlying the first gate dielectric layer; a barrier layer along a sidewall of the first work function layer and over the interface between the first region and the second region; and a second work function layer over the first work function layer, the barrier layer and the second gate dielectric layer, wherein the second work function layer physically contacts the a top surface of the first work function layer. 如請求項1所述的半導體裝置,其中該閘極結構更包括一第三功函數層(third work function layer)位於該第一閘極介電層和該第一功函數層之間,且其中該第一功函數層係物理性的接觸該第三功函數層的一頂表面。The semiconductor device of claim 1, wherein the gate structure further comprises a third work function layer located between the first gate dielectric layer and the first work function layer, and wherein The first work function layer physically contacts a top surface of the third work function layer. 如請求項2所述的半導體裝置,其中該阻障層沿著該第三功函數層的一側壁延伸。The semiconductor device of claim 2, wherein the barrier layer extends along a sidewall of the third work function layer. 如請求項1所述的半導體裝置,其中該第二功函數層係物理性的接觸該阻障層的一頂表面以及一側壁。The semiconductor device of claim 1, wherein the second work function layer physically contacts a top surface and a sidewall of the barrier layer. 如請求項1所述的半導體裝置,其中該阻障層係與該第一區域和該第二區域之間的該界面側向的分隔開來。The semiconductor device of claim 1, wherein the barrier layer is laterally separated from the interface between the first region and the second region. 如請求項1所述的半導體裝置,其中該阻障層的一頂表面係與該第一功函數層的該頂表面齊平。The semiconductor device of claim 1, wherein a top surface of the barrier layer is flush with the top surface of the first work function layer. 如請求項1所述的半導體裝置,其中該阻障層的一頂表面係低於該第一功函數層的該頂表面。The semiconductor device of claim 1, wherein a top surface of the barrier layer is lower than the top surface of the first work function layer. 如請求項1所述的半導體裝置,其中該阻障層具有一圓化的邊角(rounded corner)。The semiconductor device of claim 1, wherein the barrier layer has a rounded corner. 一種半導體裝置,包括: 一基底,該基底具有一第一區域和一第二區域;以及 一閘極結構(gate structure),位於該基底上方,其中該閘極結構的第一部分(first portion)位於該第一區域上方,該閘極結構的第二部分(second portion)位於該第二區域上方,且其中該閘極結構包括: 一第一閘極介電層(first gate dielectric layer)位於該第一區域上方; 一第二閘極介電層(second gate dielectric layer)位於該第二區域上方; 一第一p型功函數層(first p-type work function layer)位於該第一閘極介電層上方,且該第一p型功函數層具有一側壁且該側壁位於該第一區域和該第二區域之間的一界面上方; 一阻障層(barrier layer),係物理性的接觸該第一p型功函數層的該側壁,該阻障層沿著該第一p型功函數層的該側壁延伸而不高於該第一p型功函數層的一頂表面; 一n型功函數層,位於該第一p型功函數層上方,其中該n型功函數層係物理性的接觸該阻障層的一頂表面和一側壁; 一第一導電層(first conductive layer)在該第一區域之上且位於該n型功函數層的第一部分(first portion)的上方;以及 一第二導電層(second conductive layer)在該第二區域之上且位於該n型功函數層的第二部分(second portion)的上方。A semiconductor device, comprising: a substrate having a first region and a second region; and a gate structure over the substrate, wherein a first portion of the gate structure is over the first region, and a second portion of the gate structure is over the second region above, and wherein the gate structure includes: a first gate dielectric layer overlying the first region; a second gate dielectric layer overlying the second region; A first p-type work function layer is located over the first gate dielectric layer, and the first p-type work function layer has a sidewall and the sidewall is located between the first region and the above an interface between the second regions; a barrier layer physically contacting the sidewall of the first p-type work function layer, the barrier layer extending along the sidewall of the first p-type work function layer and not higher than the first p-type work function layer a top surface of a p-type work function layer; an n-type work function layer located above the first p-type work function layer, wherein the n-type work function layer physically contacts a top surface and a sidewall of the barrier layer; a first conductive layer over the first region and over a first portion of the n-type work function layer; and A second conductive layer is over the second region and over a second portion of the n-type work function layer. 如請求項9所述的半導體裝置,其中該第一p型功函數層係物理性的接觸該n型功函數層的該第二部分。The semiconductor device of claim 9, wherein the first p-type work function layer physically contacts the second portion of the n-type work function layer. 如請求項9所述的半導體裝置,其中該n型功函數層係物理性的接觸該第二閘極介電層。The semiconductor device of claim 9, wherein the n-type work function layer physically contacts the second gate dielectric layer. 如請求項9所述的半導體裝置,其中該阻障層的一頂表面係與該第一p型功函數層的該頂表面齊平。The semiconductor device of claim 9, wherein a top surface of the barrier layer is flush with the top surface of the first p-type work function layer. 如請求項9所述的半導體裝置,其中該阻障層的一頂表面係低於該第一p型功函數層的該頂表面。The semiconductor device of claim 9, wherein a top surface of the barrier layer is lower than the top surface of the first p-type work function layer. 如請求項9所述的半導體裝置,其中該第一p型功函數層係物理性的接觸該阻障層的一底表面。The semiconductor device of claim 9, wherein the first p-type work function layer physically contacts a bottom surface of the barrier layer. 如請求項9所述的半導體裝置,其中該第一p型功函數層係物理性的接觸該第二閘極介電層。The semiconductor device of claim 9, wherein the first p-type work function layer physically contacts the second gate dielectric layer. 一種半導體裝置的形成方法,包括: 在一基底上方形成一犧牲閘極(sacrificial gate),該基底具有一第一區域和一第二區域,該犧牲閘極延伸跨過該第一區域和該第二區域之間的一界面(interface); 去除該犧牲閘極以形成一開口(opening); 在該第一區域上方的該開口中形成一第一閘極介電層(first gate dielectric layer); 在該第二區域上方的該開口中形成一第二閘極介電層(second gate dielectric layer); 在該開口中的該第一閘極介電層上方形成一第一功函數層(first work function layer); 在該開口中的該第一功函數層和該第二閘極介電層上方沉積一介電層,其中該介電層包括一第一材料(first material); 圖案化該介電層以在該第一功函數層的一側壁上形成一阻障層(barrier layer);以及 在該第一功函數層以及該阻障層的上方形成一第二功函數層(second work function layer)。A method of forming a semiconductor device, comprising: A sacrificial gate is formed over a substrate having a first region and a second region, the sacrificial gate extending across an interface between the first region and the second region ); removing the sacrificial gate to form an opening; forming a first gate dielectric layer in the opening above the first region; forming a second gate dielectric layer in the opening above the second region; forming a first work function layer over the first gate dielectric layer in the opening; depositing a dielectric layer over the first work function layer and the second gate dielectric layer in the opening, wherein the dielectric layer includes a first material; patterning the dielectric layer to form a barrier layer on a sidewall of the first work function layer; and A second work function layer is formed over the first work function layer and the barrier layer. 如請求項16所述的半導體裝置的形成方法,其中圖案化該介電層包括: 在該介電層上進行一處理製程(treatment process),以形成該介電層的一被處理部分(treated portion),其中該介電層的該被處理部分包括與該第一材料不同的一第二材料(second material);以及 選擇性的去除該介電層的該被處理部分,其中該介電層的一未處理部分(un-treated portion)留在該第一功函數層的該側壁上並形成該阻障層。The method for forming a semiconductor device as claimed in claim 16, wherein patterning the dielectric layer comprises: A treatment process is performed on the dielectric layer to form a treated portion of the dielectric layer, wherein the treated portion of the dielectric layer includes a different material from the first material a second material; and The processed portion of the dielectric layer is selectively removed, wherein an un-treated portion of the dielectric layer is left on the sidewall of the first work function layer and forms the barrier layer. 如請求項17所述的半導體裝置的形成方法,其中在該介電層上進行該處理製程包括對該介電層上進行一佈植製程(implantation process) 。The method for forming a semiconductor device as claimed in claim 17, wherein performing the processing process on the dielectric layer comprises performing an implantation process on the dielectric layer . 如請求項17所述的半導體裝置的形成方法,其中選擇性的去除該介電層的該被處理部分包括對該介電層的該被處理部分進行一選擇性蝕刻製程(selective etch process)。The method for forming a semiconductor device as claimed in claim 17, wherein selectively removing the processed portion of the dielectric layer comprises performing a selective etch process on the processed portion of the dielectric layer. 如請求項16所述的半導體裝置的形成方法,其中圖案化該介電層包括對該介電層上進行一非等向性蝕刻製程(anisotropic etch process)。The method for forming a semiconductor device of claim 16, wherein patterning the dielectric layer comprises performing an anisotropic etch process on the dielectric layer.
TW110123301A 2020-06-29 2021-06-25 Semiconductor device and method of forming the same TWI783553B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/914,880 2020-06-29
US16/914,880 US11251092B2 (en) 2020-06-29 2020-06-29 Gate structure of a semiconductor device and method of forming same

Publications (2)

Publication Number Publication Date
TW202201561A true TW202201561A (en) 2022-01-01
TWI783553B TWI783553B (en) 2022-11-11

Family

ID=78094408

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123301A TWI783553B (en) 2020-06-29 2021-06-25 Semiconductor device and method of forming the same

Country Status (3)

Country Link
US (3) US11251092B2 (en)
CN (1) CN113540085B (en)
TW (1) TWI783553B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251092B2 (en) * 2020-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of a semiconductor device and method of forming same
US11658216B2 (en) * 2021-01-14 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gate boundary isolation
US11894374B2 (en) * 2021-07-19 2024-02-06 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method thereof
KR20230018769A (en) * 2021-07-30 2023-02-07 삼성전자주식회사 integrated circuit semiconductor device

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102212267B1 (en) * 2014-03-19 2021-02-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9941376B2 (en) * 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
KR20160139814A (en) * 2015-05-28 2016-12-07 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9818746B2 (en) * 2016-01-13 2017-11-14 International Business Machines Corporation Structure and method to suppress work function effect by patterning boundary proximity in replacement metal gate
US9748235B2 (en) * 2016-02-02 2017-08-29 Globalfoundries Inc. Gate stack for integrated circuit structure and method of forming same
US10269917B2 (en) * 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US9911736B1 (en) * 2017-06-14 2018-03-06 Globalfoundries Inc. Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10504798B2 (en) * 2018-02-15 2019-12-10 Globalfoundries Inc. Gate cut in replacement metal gate process
CN108538837A (en) * 2018-03-29 2018-09-14 上海华力集成电路制造有限公司 Semiconductor devices and forming method thereof
US10553700B2 (en) * 2018-05-29 2020-02-04 International Business Machines Corporation Gate cut in RMG
US20200035674A1 (en) * 2018-07-26 2020-01-30 Qualcomm Incorporated Gate cut last processing with self-aligned spacer
US11251092B2 (en) * 2020-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of a semiconductor device and method of forming same

Also Published As

Publication number Publication date
US20220165624A1 (en) 2022-05-26
CN113540085B (en) 2023-11-21
TWI783553B (en) 2022-11-11
US11251092B2 (en) 2022-02-15
US11688648B2 (en) 2023-06-27
US20210407862A1 (en) 2021-12-30
CN113540085A (en) 2021-10-22
US20230317525A1 (en) 2023-10-05

Similar Documents

Publication Publication Date Title
KR101745166B1 (en) Pre-deposition treatment and atomic layer deposition (ald) process and structures formed thereby
US11908750B2 (en) Semiconductor device and method
TWI783553B (en) Semiconductor device and method of forming the same
TWI725588B (en) Method for forming semiconductor device and semiconductor device
US20220130730A1 (en) Semiconductor Device and Method
US11195717B2 (en) Semiconductor device and method
TWI785589B (en) Semiconductor device and method of forming same
US11488873B2 (en) Metal gates and methods of forming thereby
US20220367193A1 (en) Semiconductor Device and Method
TWI789761B (en) Semiconductor device and manufacturing method thereof
TWI782402B (en) Semiconductor device and method of manufacturing the same
CN220963354U (en) Semiconductor device with a semiconductor element having a plurality of electrodes
TWI758149B (en) Finfet device and method of forming same
KR102324597B1 (en) Gate structures in semiconductor devices
US20230047598A1 (en) Semiconductor devices and methods of manufacture
US20240087947A1 (en) Semiconductor device and method of manufacturing
KR20220122553A (en) Conductive feature of semiconductor device and method of forming same