TW202147387A - 用於局部應力調變的uv固化 - Google Patents

用於局部應力調變的uv固化 Download PDF

Info

Publication number
TW202147387A
TW202147387A TW110103017A TW110103017A TW202147387A TW 202147387 A TW202147387 A TW 202147387A TW 110103017 A TW110103017 A TW 110103017A TW 110103017 A TW110103017 A TW 110103017A TW 202147387 A TW202147387 A TW 202147387A
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
stress
curable film
regions
radiation
Prior art date
Application number
TW110103017A
Other languages
English (en)
Inventor
阿尼萬 西爾卡
法亞茲 A 謝赫
凱文 M 麥克勞克林
亞歷山大 雷 福克斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202147387A publication Critical patent/TW202147387A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

透過用紫外線(UV)輻射選擇性並局部地固化膜,可調變沉積在彎曲半導體基板上之膜中的局域化應力。彎曲半導體基板可不對稱彎曲。在彎曲半導體基板之前側或背側上沉積UV可固化膜。在UV可固化膜與UV源之間提供遮罩,其中遮罩中的開口被圖案化以選擇性地定義UV可固化膜之暴露區域與未暴露區域。UV可固化膜之暴露區域調變局域化應力以減輕彎曲半導體基板中的彎曲。

Description

用於局部應力調變的UV固化
本發明是關於用於局部應力調變的UV固化。
半導體製造製程涉及許多沉積及蝕刻操作,其會劇烈地改變晶圓彎曲性。例如,在3D-NAND製作(其因較低成本及在諸多應用中具更高可靠性而逐漸取代2D-NAND晶片)中,具有厚、高應力之基於碳的硬遮罩之多堆疊膜及/或金屬化線會造成明顯的晶圓翹曲,從而導致前側微影覆蓋不匹配,或甚至晶圓彎曲超過靜電吸盤之夾持極限。
本文所提供的先前技術係為了概述本揭示內容上下文之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為是相對於本發明的先前技術。
本文提供調變基板上局部應力之方法。該方法包括提供一彎曲半導體基板;在該彎曲半導體基板上沉積一UV可固化膜;以及選擇性固化該UV可固化膜之一或更多第一區域,其透過使該一或更多第一區域暴露於紫外線(UV)輻射,並使用一第一預圖案化遮罩,以局部調變該UV可固化膜上之應力,其中該UV可固化膜減輕該彎曲半導體基板之彎曲。
在一些實施方式中,該方法進一步包括在UV源與半導體基板之間提供第一預圖案化遮罩,其中第一預圖案化遮罩包括一或更多開口,其對應於UV可固化膜之一或更多第一區域。在一些實施方式中,該方法進一步包括測量整個彎曲半導體基板上之翹曲以確定彎曲半導體基板上之局域化應力;以及在一遮罩中圖案化一或更多開口以形成第一預圖案化遮罩,該一或更多開口係至少基於彎曲半導體基板上之局域化應力而被圖案化。在一些實施方式中,UV可固化膜之一或更多第一區域係根據UV輻射之受控時間、溫度、強度及/或波長暴露至UV輻射,以局部調變UV可固化膜之一或更多第一區域中的該應力。在一些實施方式中,暴露至UV輻射之溫度介於約200°C與約500°C之間。在一些實施方式中,UV輻射之強度介於約1 μW/cm2 與約10 W/cm2 之間。在一些實施方式中,該UV輻射配置成以介於約200 MPa與約4000 MPa之間的量局部調變該UV可固化膜上之應力。在一些實施方式中,該彎曲半導體基板呈不對稱彎曲,其具有大於約+ 300 μm之翹曲。在一些實施方式中,在彎曲半導體基板沉積UV可固化膜發生於彎曲半導體基板之一背側。
本文亦提供製備用於局域化應力調變之遮罩的方法。該方法包括在一遮罩中圖案化一或更多開口以形成一預圖案化遮罩,該一或更多開口係至少基於一半導體基板之應力圖及/或該半導體基板之預定晶粒間距而被圖案化;以及提供該預圖案化遮罩至一紫外線(UV)腔室,其中該預圖案化遮罩配置成當該半導體基板暴露至UV輻射時,使沉積於該半導體基板上之一UV可固化膜的一或更多暴露區域變得比該UV可固化膜之一或更多未暴露區域更具拉伸性。
在一些實施方式中,該方法進一步包括接收一半導體基板之應力圖,其指示該半導體基板之一或更多區域中之不對稱彎曲的程度。
本文亦提供用於調變基板上應力之設備。該設備包括一處理腔室,其包括用於支撐一彎曲半導體基板之一基板支撐件,以及用於使該彎曲半導體基板暴露至UV輻射之一UV源;以及一控制器。該控制器配置有用於執行以下操作之指令 : 在該處理腔室中提供該彎曲半導體基板,使一UV可固化膜沉積於該彎曲半導體基板之一前側或背側上;以及透過使用一第一預圖案化遮罩,選擇性地固化該UV可固化膜之一或更多第一區域,該第一預圖案化遮罩使該一或更多第一區域選擇性地暴露至UV輻射,以局部調變該UV可固化膜上之應力,其中該UV可固化膜減輕該彎曲半導體基板之彎曲。
在一些實施方式中,該控制器配置有用於當選擇性地固化該UV可固化膜之該一或更多第一區域時改變UV輻射之時間、溫度、強度及/或波長的指令。在一些實施方式中,該設備進一步包括一沉積腔室,其與該處理腔室分開,其中該控制器進一步配置有用於執行以下操作之指令 : 在該彎曲半導體基板之該前側或背側上沉積該UV可固化膜。
在本發明中,術語「半導體晶圓」、 「晶圓」、 「基板」、 「晶圓基板」、及「部分已製成之積體電路」可互換使用。本領域普通技術人員將理解,術語「部分已製成之積體電路」可指積體電路製造之許多階段中任一者期間的矽晶圓。半導體裝置產業中所使用之晶圓或基板通常具有200 mm或300 mm或450 mm的直徑。以下詳細敘述假定本發明係實施於晶圓上。然而,本發明不限於此。工件可有諸多形狀、尺寸及材料。
半導體製作製程涉及諸多結構之形成,其中許多可為二維。隨著半導體裝置尺寸微縮且裝置縮至更小,整個半導體基板上之特徵部密度增加,因而有以諸多方式(包括三維方式)蝕刻且沉積之材料層。例如,3D-NAND為一項變得更受歡迎的技術,其原因在於,相較於其他技術(例如2D-NAND)有較低成本及增加的記憶體密度,且在諸多應用中有更高的可靠度。在3D-NAND結構之製作期間,晶圓彎曲會極大變化。例如,在製作3D-NAND結構時,沉積厚的硬遮罩材料及沿晶圓表面蝕刻溝槽會導致晶圓彎曲。由於膜層在製作期間係堆疊於彼此上,更多應力被引至半導體晶圓上,其便會導致彎曲。可使用光學技術來測量彎曲。可透過獲得晶圓圖或應力圖來測量或評估晶圓彎曲。可使用如本文所述之彎曲值或翹曲值(其被測為半導體晶圓之最低點到晶圓上最高點之間的垂直距離)來量化彎曲。翹曲值可沿著一或更多軸–例如,不對稱翹曲之晶圓可能具有x軸翹曲及/或y軸翹曲。
在弓形晶圓中,最低點為晶圓的中心,而最高點為晶圓的邊緣。 在圓頂形晶圓中,最低點為晶圓的邊緣,而最高點為晶圓的中心。弓形及圓頂形晶圓具有對稱或大部分對稱彎曲。晶圓亦可具有不對稱彎曲。在不對稱彎曲中,翹曲是沿x軸及y軸來測量。不對稱彎曲之晶圓對於x軸翹曲及y軸翹曲具有不同的值。在一些例子中,不對稱彎曲之晶圓具有負的x軸翹曲及正的y軸翹曲。在一些例子中,不對稱彎曲之晶圓具有正的x軸翹曲及負的y軸翹曲。在一些例子中,不對稱彎曲之晶圓具有正的x軸翹曲及正的y軸翹曲,但翹曲值不同。在一些例子中,不對稱彎曲之晶圓具有負的x軸翹曲及負的y軸翹曲,但翹曲值不同。不對稱彎曲晶圓之一示例為鞍形晶圓。對於鞍形晶圓,在一示例中,x軸上的翹曲可為+200 μm,而y軸上的翹曲可為-200 μm。鞍形晶圓具有向上彎之晶圓的兩相對邊緣,而晶圓之另兩相對邊緣則向下彎。如本文所使用,翹曲可指晶圓呈現偏離平面度之任何偏差,其中弓形晶圓、圓頂形晶圓及鞍形晶圓為晶圓中不同類型之翹曲的示例。
彎曲會對後續處理造成問題,例如在微影期間,若半導體基板翹曲,則蝕刻會不均勻。高彎曲可能是由厚、高應力之碳硬遮罩層之沉積所引起。此外,由於多堆疊膜及此等製作製程中所使用之厚、高應力基於碳之硬遮罩的存在,蝕刻會導致一些不對稱翹曲,而沉積製程會引起高達介於+500 μm至-1300 μm之間變化之明顯晶圓翹曲。例如,可灰化硬遮罩可具有高達-1000 MPa之應力值,並具有高達-1000 μm之彎曲值。解決此等晶圓翹曲會是一項挑戰,因為後續處理可能會受到超過+500 μm之晶圓翹曲的影響,尤其是當晶圓用於涉及夾持晶圓至靜電吸盤之後續處理中時,由於許多靜電吸盤具有「夾持極限」(其定義為無法有效夾持晶圓之前所容許之最大翹曲),故其會是一項特殊挑戰。許多靜電吸盤具有約+300 μm之夾持極限。因此,高度翹曲之半導體基板可能無法在一些工具中進行處理。另外,高度翹曲之半導體基板的處理可能引起進一步的翹曲。例如,在一方向上蝕刻溝槽會因半導體基板上之不對稱應力而導致呈不對稱彎曲之翹曲。
存在一些用於解決半導體晶圓彎曲之技術。在一些例子中,可使用技術以在半導體晶圓之背側上沉積補償層。然而,利用補償層之背側沉積的應用已限於單調全域晶圓翹曲減輕。具體地,用於解決半導體晶圓彎曲之技術一般已限於軸向對稱或多軸向對稱之技術。
隨著3D-NAND技術不斷擴大且高深寬比特徵部變得越來越普遍,正出現與半導體基板上局域化應力及晶粒間應力變化有關之新挑戰。局域化應力及晶粒間應力變化可能會導致區塊彎折(block-bending)、單元串擾(cell cross-talk)、單元損耗(cell loss)及/或單元錯位(cell misalignments)。局域化應力是指以不均勻方式發生在晶圓內之應力變化,例如,不易以應力相對於(x,y)位置之線性函數或高階多項式函數描述。此與全域應力相反,全域應力可用此等線性或多項式函數來描述。晶粒間應力為局域化應力之示例。被不良補償/校正之局域化應力可能會導致局域化晶圓拓樸(topology) 發生變化,其進而可能導致微影期間之不良對準。此等不良對準通常依照平面變形(in-plane distortion, IPD)來觀察,其為晶圓上對準標記因晶圓拓樸而偏離其預期位置之向量位移的量化。微影期間之高IPD可能會導致臨界尺寸或微影步驟中定義之任何其他特徵部發生非所欲之變化,因此可能因微影錯誤而出現前述之區塊彎折、單元串擾、單元損耗及/或單元錯位之現象。
在一些例子中,金屬化結構及/或線係沿y軸方向或x軸方向沉積,但不一定皆沉積。圖1A示出示例性彎曲半導體基板之示意圖在x-y平面中的頂視圖。半導體基板100可包括沉積在半導體基板100中心附近的金屬線101。金屬線101沿著半導體基板100之y方向走線。然而,並無沿著半導體基板100之x方向走線的金屬線。此產生呈炸玉米餅形(taco-shaped)或卡諾里捲形(cannoli-shaped)之彎曲,如圖1B所示。圖1B示出圖1A之彎曲半導體基板在y軸方向上的側視圖。如圖1B所示,從y軸角度觀看,半導體基板100朝彎曲半導體基板之中心向下彎。圖1C示出圖1A之彎曲半導體基板在x軸方向上的側視圖。如圖1C所示,從x軸角度觀看,半導體基板100呈平坦。 如上所討論,翹曲是指晶圓呈現偏離平面度之任何偏差,其中炸玉米餅形晶圓或卡諾里捲形晶圓代表晶圓中翹曲的示例。
圖1A-1C示出可能導致不對稱彎曲之局域化應力變化的示例。另外,在切割半導體基板時,半導體基板中之局域化應力變化可能導致一或更多晶粒變成彎曲。用於補償彎曲半導體基板上彎曲之現有技術一般未能減輕單軸方向或局域化形式的彎曲。
本發明提供用於減輕彎曲半導體基板中彎曲度之方法,其透過在彎曲半導體基板上沉積紫外線(UV)可固化膜,並選擇性地固化UV可固化膜之一或更多區域,以局部調變UV可固化膜上的應力。透過選擇性地將UV可固化膜之某些區域暴露於適當波長之UV光並局部調變UV可固化膜上之應力,即可調整彎曲半導體基板上之局部應力以達到局部翹曲形貌。UV可固化膜可沉積於彎曲半導體基板之前側或背側上。在UV可固化膜沉積在彎曲半導體基板上後,在UV源與UV可固化膜之間提供預圖案化遮罩。預圖案化遮罩選擇性地阻擋UV曝光,從而使一或更多區域暴露於UV輻射,導致UV可固化膜中之局域化應力發生變化,以局部調變應力。可控制UV曝光之時間、溫度、強度及波長,以調節局部應力調變。
圖2A示出根據一些實施方式調變彎曲半導體基板上局部應力之示例性方法的流程圖。可以不同順序及/或以不同、更少或額外操作來執行製程200的操作。製程200之操作可使用圖5中用於膜沉積之設備、圖6中用於UV曝光之設備或其組合來執行。在一些實施方式中,製程200之操作可至少部分地根據儲存於一或更多非暫態電腦可讀媒體中之軟體來實施。
在製程200之方塊210中,提供彎曲半導體基板。彎曲半導體基板可提供於製程腔室中,以執行沉積及/或UV曝光操作。基板可為矽晶圓,例如200 mm晶圓、300 mm晶圓或450 mm晶圓,包括其上沉積有一或更多層材料(例如介電、導電或半導電材料)之晶圓。該一或更多層中之一些者可被圖案化。層之非限定示例包括介電層及導電層,例如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物及金屬層。在諸多實施方式中,對基板進行圖案化。
在一些實施方式中,半導體基板包括圖案化3D-NAND結構及基板中之一或更多蝕刻溝槽。在一些實施方式中,圖案化3D-NAND結構包括一或更多金屬層或線,其在單軸方向上沿半導體基板縱向地延伸。半導體基板可能彎曲。在一些實施方式中,彎曲半導體基板具有壓縮彎曲。在一些實施方式中,彎曲半導體基板具有拉伸彎曲。在一些實施方式中,彎曲半導體基板可能為不對稱彎曲。
彎曲半導體基板可具有約+1000 μm之翹曲。在一些實施方式中,彎曲半導體基板具有大於約+300 μm之翹曲。在一些實施方式中,彎曲半導體基板具有大於約+300 μm且小於約+1000 μm之翹曲。翹曲可能發生在彎曲半導體基板之一或更多局域化區域。翹曲在x軸翹曲與y軸翹曲之間可能具有不同的值。因此,沿一軸之翹曲可能比另一軸更明顯。在一些實施方式中,彎曲半導體基板呈炸玉米餅形。
在一些實施方式中,彎曲半導體基板係提供於用於執行沉積操作之製程腔室中,其中用於執行沉積操作之製程腔室可配置成用於背側或前側沉積。在一些實施方式中,背側沉積可透過將彎曲半導體基板上下顛倒放置以使背側朝向製程腔室之頂部噴淋頭來實現。在一些實施方式中,背側沉積可透過將製程氣體從製程腔室之底部噴淋頭輸送至彎曲半導體基板之背側(從噴淋頭到基座)來實現。
圖3A示出彎曲半導體基板之剖面示意圖。彎曲半導體基板300可具有壓縮彎曲。在一些實施方式中,壓縮彎曲可沿著彎曲半導體基板300之單軸方向發生。彎曲半導體基板300可為不對稱彎曲,其中翹曲可在x軸翹曲與y軸翹曲之間具有不同的值。彎曲半導體基板300之一或更多局域化區域可具有不同應力水平。彎曲半導體基板300之應力圖可指示彎曲半導體基板300之一或更多局域化區域中的彎曲程度。彎曲的程度與彎曲半導體基板300之一或更多局域化區域中的應力水平相關。
返回圖2A,在製程200之方塊220中,將UV可固化膜沉積在彎曲半導體基板上。UV可固化膜係沉積在彎曲半導體基板之前側或背側上。在一些實施方式中,UV可固化膜係沉積在彎曲半導體基板之背側上。那樣地,UV可固化膜避免沉積在彎曲半導體基板之前側上的電路、電晶體或其他裝置組成件上。UV可固化膜可作為彎曲補償層,用於減輕彎曲半導體基板中之彎曲。
在一些實施方式中,UV可固化膜不僅配置成透過暴露至紫外線輻射而被固化,且UV可固化膜亦配置成發生顯著量之應力值變化。UV可固化膜配置成發生大於約200 MPa量之應力值變化,例如介於約200 MPa與約4000 MPa之間的量。換言之,剛沉積(as-deposited)之UV可固化膜的應力值與固化後之UV可固化膜的應力值之間的差大於約200 MPa,例如介於約200 MPa與約4000 MPa之間。例如,UV可固化膜之剛沉積應力值可小於約-100 MPa,而UV可固化膜之固化後應力值可大於約+ 100 MPa。在一些實施方式中,剛沉積之UV可固化膜可更具壓縮性,而固化後之UV可固化膜可更具拉伸性。
在一些實施方式中,UV可固化膜包括介電材料,例如超低k介電材料。在一些實施方式中,UV可固化膜包括氮化物或摻雜氮化物。氮化物在UV固化後可能會發生顯著應力變化,而許多氧化物及碳化物則不會發生顯著的應力變化。在一些示例中,UV可固化膜包括氮化矽。在一些示例中,UV可固化膜包括碳氮化矽。在一些實施方式中,UV可固化膜之厚度介於約20 nm與約150 nm之間、介於約25 nm與約100 nm之間、或介於約30 nm與約100 nm之間。UV可固化膜之厚度足夠薄以供UV輻射完全穿透,且又足夠厚而得以在底下彎曲半導體基板上引起應力。
UV可固化膜可透過任何合適的沉積技術沉積在彎曲半導體基板上。在一些實施方式中,UV可固化膜透過例如電漿增強化學氣相沉積(PECVD)製程之化學氣相沉積(CVD)製程來沉積。UV可固化膜可在用於沉積之製程腔室中沉積,其中用於沉積之適合的沉積製程腔室示於圖5中。在PECVD期間,例如矽烷(SiH4 )之含矽前驅物可與暴露於電漿之一或更多反應性氣體反應,以在彎曲半導體基板上形成氮化矽。在一些實施方式中,矽烷(SiH4)可與氨(NH3 )及/或氮(N2 )一起流入製程腔室。可能存在惰性氣體,例如氦(He)。PECVD氮化矽可在介於約250℃與約550℃之間的溫度下沉積。PECVD氮化矽可在介於約2托耳(Torr)與約20托耳(Torr)之間的壓力下沉積。
透過PECVD沉積的膜一般含有大量的氫。例如,PECVD氮化矽膜含有Si-H及N-H鍵形式的氫。不受限於任何理論,據信應力變化是由於氫減少且空隙收縮之PECVD氮化矽的UV處理而產生。
圖3B示出沉積在圖3A之彎曲半導體基板上之UV可固化膜的剖面示意圖。UV可固化膜301可沉積於彎曲半導體基板300之前側或背側上。在一些實施方式中,UV可固化膜301為氮化物,例如氮化矽。UV可固化膜301可為壓縮性氮化物,其中UV可固化膜301之剛沉積應力值為負的。例如,UV可固化膜之剛沉積應力值小於約-100 MPa(較負)、小於約-200 MPa或小於約-300 MPa。UV可固化膜301可配置成在UV處理後發生應力值之顯著變化,其中應力變化可大於約200 MPa、介於約200 MPa與約4000 MPa之間、或介於約200 MPa與約2000 MPa之間。在一些實施方式中,UV可固化膜301透過PECVD來沉積。
返回圖2,在製程200之方塊230中, UV可固化膜之一或更多第一區域係透過將一或更多第一區域暴露於UV輻射並使用第一預圖案化遮罩來選擇性地固化,以局部調變UV可固化膜上的應力。選擇性固化後之UV可固化膜減輕彎曲半導體基板中的彎曲。第一預圖案化遮罩係提供於UV源與彎曲半導體基板之間,其中第一預圖案化遮罩包括一或更多開口,其對應於UV可固化膜之一或更多第一區域。
第一預圖案化遮罩選擇性地阻擋UV曝光,使得僅彎曲半導體基板之某些區域暴露於UV輻射。那樣地,UV可固化膜之暴露區域被選擇性地固化並發生應力變化,而UV可固化膜之未暴露區域被阻絕於UV曝光且不發生應力變化。如本文所使用,UV可固化膜之暴露區域可與UV可固化膜之一或更多第一/第二區域互換使用。在一些實施方式中,相較於未暴露區域,UV可固化膜之暴露區域可變得更具應力上的拉伸性或更具壓縮性。因此,相較於未暴露區域,暴露區域之局域化壓縮應力或拉伸應力增加。UV可固化膜之暴露區域中應力的此些變化可誘發至彎曲半導體基板之一或更多區域,因而局部地調變應力以減輕彎曲半導體基板中的彎曲。換言之,若彎曲半導體基板之一或更多區域因壓縮應力而彎曲,則直接覆蓋於彎曲半導體基板之一或更多區域上之UV可固化膜的暴露區域在拉伸應力上增加以進行補償。因此,減輕彎曲半導體基板之一或更多區域中的彎曲。
未受限於任何理論,應力變化可能是由於UV固化期間UV可固化膜中的氫減少而產生。此可在PECVD氮化矽膜中觀察到。氫的損失及/或空隙的收縮可導致UV可固化膜的體積減小。然而,半導體基板之約束可防止任何側向收縮,因而在UV可固化膜之暴露區域中施加拉伸應變。在一些實施方式中,選擇性地固化UV可固化膜之一或更多第一區域導致暴露區域變得比UV可固化膜之未暴露區域更具應力上的拉伸性。
第一預圖案化遮罩可由阻擋或實質上阻擋UV輻射之材料製成。在一些實施方式中,第一預圖案化遮罩包括金屬、陶瓷或矽。第一預圖案化遮罩中之一或更多開口可進行幾何形狀設計,以用UV曝光對準彎曲半導體基板之一或更多區域,從而減輕彎曲半導體基板之一或更多區域中的彎曲。該一或更多開口可為圓形、矩形或任何合適的形狀。在一些實施方式中,可基於彎曲半導體基板上局域化應力之測量來對該一或更多開口進行圖案化。彎曲半導體基板上局域化應力的測量可從應力圖生成。
固化UV可固化膜之一或更多第一區域係透過UV曝光來進行。如本文所使用,UV輻射可廣泛地包括150 nm至紅外區域(約1-10 μm)的輻射。在一些實施方式中,UV源可提供約200-800 nm範圍內之UV曝光。UV源可發出一範圍或單一波長之UV輻射。UV源可以連續模式或脈衝模式發射UV輻射,以控制膜應力變化。UV可固化膜之選擇性固化導致在一或更多第一區域中引起較高的應力值。
該一或更多第一區域中之局部應力調變程度取決於UV固化期間的處理條件。在一些實施方式中,該一或更多第一區域中之局部應力調變程度取決於UV輻射的時間、溫度、強度及/或波長。然而,本領域技術人員將理解,可控制UV固化期間之其他條件以影響局部應力調變程度。然而,透過調節以下一或更多者 : (1)UV曝光之時間、(2) UV曝光期間之基板溫度、(3)UV曝光之強度、及(4)UV曝光之波長,在暴露區域中所引起之應力變化量相較於未暴露區域將有所變化。例如,較長UV曝光時間導致較高應力值,較高基板溫度導致較高應力值,而較高強度導致較高應力值。將理解,更長的UV曝光時間、更高的基板溫度及更高的強度可在控制應力值方面達到某些極限。可微調UV曝光的時間、溫度、強度及波長,以在該一或更多第一區域中達到一定程度的局部應力調變。UV源可配置成控制UV曝光的時間(即劑量)、UV曝光的強度及UV曝光的波長。基板支撐件(即,基座)可配置成控制基板溫度。
在一些實施方式中,UV曝光的時間介於約0.5分鐘與約120分鐘之間、介於約1分鐘與約60分鐘之間、或介於約2分鐘與約30分鐘之間。UV曝光的時間或持續時間足以觀察到所欲應力變化。在一些實施方式中,UV曝光期間之溫度介於約100℃與約700℃之間、介於約150℃與約550℃之間、或介於約200℃與約500℃之間。溫度狀況可能受限於熱積存約束,其意指UV處理期間之基板溫度受到半導體基板上之裝置及膜的影響。例如,使用單矽化鎳(NiSi)層將基板溫度限制在小於400°C,而使用矽化鎳鉑(NiPtSi)層將基板溫度限制在小於480°C。在一些實施方式中,UV曝光的強度介於約1 μW/cm2 與約10 W/cm2 之間、介於約10 μW/cm2 與約5 W/cm2 之間、或介於約50 μW/cm2 與約1 W/cm2 之間。UV輻射射的強度可提供破壞UV可固化膜中某些鍵(例如,Si-H及N-H鍵)之足夠能量。
可控制UV固化期間之其他條件以影響UV處理。在一些實施方式中,選擇性固化UV可固化膜可在介於約1 Torr與約760 Torr之間、介於約2 Torr與約300 Torr之間、或介於約5 Torr與約15 Torr之間的壓力下發生。在一些實施方式中,惰性或載氣例如氦(He)、氬(Ar)或氮(N2 )可在UV可固化膜之一或更多第一區域之選擇性固化期間流動。
在一些實施方式中, 在UV可固化膜之選擇性固化後,UV可固化膜之暴露區域可實質上調變應力值,其中暴露區域可調變大於約200 MPa、介於約200 MPa與約4000 MPa之間、或介於約200 MPa與約2000 MPa之間的量。舉例來說, UV可固化膜之暴露區域在選擇性固化之前可各自具有約-100 MPa或更小(更負)的應力值。UV可固化膜之暴露區域在選擇性固化之後可各自具有約+ 100 MPa或更大的應力值。作為可替代示例, UV可固化膜之暴露區域在選擇性固化之前可各自具有約+ 100 MPa或更大的應力值。UV可固化膜之暴露區域在選擇性固化之後可各自具有約-100 MPa或更小(更負)的應力值。在此些實例中,此表示UV可固化膜之暴露區域在選擇性固化後可調變200 MPa或更多。如上所討論,暴露區域在應力上之調變的多寡可取決於UV曝光條件。
在一些實施方式中, 製程200可進一步包括在UV源與彎曲半導體基板之間提供第二預圖案化遮罩,以及使用選擇性將一或更多第二區域暴露至UV輻射之第二預圖案化遮罩來選擇性地固化UV可固化膜之一或更多第二區域,以局部地調變UV可固化膜中的應力。在一些實施方式中,該一或更多第二區域可與UV可固化膜之該一或更多第一區域不同。在一些實施方式中,該一或更多第二區域中之至少一些者可與UV可固化膜之該一或更多第一區域相同。第二預圖案化遮罩的應用允許對整個UV可固化膜上不同區域進行不同程度之局域化應力調變。選擇性地固化一或更多第二區域係在不同於選擇性地固化一或更多第一區域之條件下發生。使用第一預圖案化遮罩進行選擇性固化時之時間、溫度、強度及波長,可不同於使用第二預圖案化遮罩進行選擇性固化時之時間、溫度、強度及波長。因此,UV可固化膜之該一或更多第二區域中的應力調變可不同於該一或更多第一區域中的應力調變。
圖3C示出圖3B之彎曲半導體基板選擇性地暴露於UV輻射的剖面示意圖。預圖案化遮罩302位於彎曲半導體基板300之UV可固化膜301與UV源303之間。UV源303配置成朝彎曲半導體基板300發射UV輻射。預圖案化遮罩302選擇性地允許UV輻射固化UV可固化膜301之ㄧ些區域,並選擇性地對UV可固化膜301之其他區域阻擋UV輻射。預圖案化遮罩302具有一或更多開口。預圖案化遮罩302中之該一或更多開口有助於彎曲半導體基板300之局域化應力調變。
可微調UV處理條件以控制局域化應力調變的量。可控制UV處理條件,例如UV曝光之時間、溫度、強度及波長,以改變局域化應力調變。此些旋鈕可用於調整相較於UV可固化膜301之未暴露區域在UV可固化膜301之每一暴露區域中調變多少應力。在一些實施方式中,UV曝光之時間可介於約0.5分鐘與約120分鐘之間、介於約1分鐘與約60分鐘之間、或介於約2分鐘與約30分鐘之間。在一些實施方式中,UV曝光期間之基板溫度可介於約100℃與約700℃之間、介於約150℃與約550℃之間、或介於約200℃與約500℃之間。在一些實施方式中,UV曝光之強度可介於約1 μW/cm2 與約10 W/cm2 之間、介於約10 μW/cm2 與約5 W/cm2 之間、或介於約50 μW/cm2 與約1 W/cm2 之間。在一些實施方式中,UV曝光之波長可介於約200 nm與約800 nm之間。
圖3D示出圖3C之彎曲半導體基板在UV可固化膜(設置於彎曲半導體基板上)之一或更多暴露區域選擇性固化後之剖面示意圖。UV可固化膜301包括被選擇性地UV固化之暴露區域304a及選擇性隔離UV曝光之未暴露區域304b。暴露區域304a與未暴露區域304b之間的局部應力差可具實質性,其中局部應力差可至少為幾百MPa(例如200MPa或更大)。未暴露區域304b中之應力變化小於暴露區域304a中之應力變化。如圖3D所示,在UV曝光之後,未暴露區域304b呈較小應力,而暴露區域304a呈較大應力。用預圖案化遮罩302進行之UV固化在彎曲半導體基板300上提供選擇性且局域化的應力變化。
圖2B示出根據一些實施方式製備用於局域化應力調變之遮罩的示例性方法的流程圖。可以不同順序及/或以不同、更少或額外操作來執行製程250的操作。製程250的操作可使用用於圖案化遮罩之設備來執行。在一些實施方式中,製程250的操作可至少部分地根據儲存於一或更多非暫態電腦可讀媒體中之軟體來實施。
在製程250之方塊260中,可選地接收半導體基板的應力圖,其指示半導體基板之一或更多區域中不對稱彎曲的程度。在一些實施方式中,半導體基板包括圖案化3D-NAND結構及半導體基板中之一或更多蝕刻溝槽。應力圖可指示半導體基板之局域化區域中的彎曲程度。半導體基板之一或更多區域反映高壓縮應力或拉伸應力之區域。高壓縮應力或拉伸應力之區域可大於約+ 200 MPa。
在製程250之方塊270中,在遮罩中圖案化一或更多開口以形成預圖案化遮罩,其中圖案化之一或更多開口至少部分地基於半導體基板的應力圖及/或半導體基板之晶粒間距。可使用不同幾何形狀遮罩技術來製作遮罩中之一或更多開口,以形成預圖案化遮罩。在一些實施方式中,辨識高壓縮或拉伸應力之區域。在一些實施方式中,該一或更多開口對應於高壓縮或拉伸應力的區域。額外或可替代地,確定半導體基板之晶粒間距。在一些實施方式中,該一或更多開口係基於預定之晶粒間距而間隔開。預圖案化遮罩可由配置成阻擋或實質上阻擋UV輻射之材料製成。
圖4示出根據一些實施方式之示例性預圖案化遮罩的示意圖,該預圖案化遮罩用於選擇性地暴露UV可固化膜之區域以進行局域化應力調變。預圖案化遮罩400配置成覆蓋半導體基板(未示出)。預圖案化遮罩中之開口401允許UV輻射通過。開口401之位置、尺寸及形狀被設計成解決半導體基板中局域化彎曲/應力的區域。在一些例子中,局域化彎曲/應力之區域可能是3D-NAND結構中沿單軸方向(例如y軸方向)縱向走線之金屬線所導致。然而,3D-NAND結構可能缺少沿垂直方向(例如,x軸方向)走線之金屬線。因此,開口401可被圖案化以對應於半導體基板上3D-NAND結構中之金屬線的配置。
返回圖2B,在製程250之方塊280中,將預圖案化遮罩提供於UV腔室中,其中預圖案化遮罩配置成,當半導體基板暴露至UV輻射時,使沉積在半導體基板上之UV可固化膜的一或更多暴露區域變得比UV可固化膜之一或更多未暴露區域更具拉伸性或更具壓縮性。預圖案化遮罩係提供於配置成發射UV輻射之UV源與半導體基板之間。UV可固化膜可沉積於半導體基板之前側或背側上。在一些實施方式中,UV可固化膜包含超低k介電材料或氮化物,例如氮化矽。預圖案化遮罩下方之區域被阻絕於UV固化以定義未暴露區域,而一或更多開口下方之區域則暴露於UV固化以定義暴露區域。暴露區域比未暴露區域發生更大的應力變化,從而可在半導體基板中調變局域化應力。設備
所揭示之實施例可在任何合適的設備或工具中執行。設備或工具可包括一或更多製程站。以下描述可用於一些實施例中之示例性製程站及工具。
圖5示出根據一些實施方式用於沉積UV可固化膜之示例性設備的示意圖。在一些實施方式中,製程站500配置成用於PECVD製程。製程站500包括能夠維持低壓環境之製程腔室主體502。在一些實施例中,PECVD製程站500之一或更多硬體參數(包括以下詳細討論之彼等)可由一或更多電腦控制器550以程式化方式進行調整。
PECVD處理站500與反應物輸送系統501a流體連通,以將製程氣體輸送至分佈噴淋頭506。反應物輸送系統501a包括一混合容器504,用於混合及/或調節製程氣體,以輸送至噴淋頭506。製程氣體(例如用於在基板上沉積UV可固化膜之彼等)可使用反應物輸送系統501a經由噴淋頭506輸送至製程腔室主體502。在一些實施例中,反應性物質可使用反應物輸送系統501a來輸送。一或更多混合容器入口閥520可控制製程氣體引入混合容器504。此些閥可根據在諸多操作期間是否打開氣體來控制。
注意,在一些實施例中,可不使用液體反應物。然而,在一些實施例中,液體反應物可用於形成本文所述之拉伸或壓縮膜。作為示例,圖5之實施例包括汽化點503,用於汽化待供應至混合容器504之液態反應物。在一些實施例中,汽化點503可為經加熱汽化器。由此等汽化器產生之飽和反應物蒸氣可能在下游輸送管道中冷凝。不相容氣體暴露於冷凝反應物中可能會產生小顆粒。此些小顆粒可能堵塞管道、阻礙閥操作、污染基板等。解決此些問題之一些方法包含在汽化反應物之前或之後沖洗及/或排空輸送管道,以去除殘留的反應物。然而,沖洗輸送管道可能增加製程站的循環時間,降低製程站的產量。因此,在一些實施例中,可熱追踪汽化點503下游之輸送管道。在一些示例中,亦可熱追蹤混合容器504。在一非限定示例中,汽化點503下游之管道具有從約100℃延伸至混合容器504處約150℃之升高溫度曲線。
在一些實施例中,可在液體注入器處汽化液體前驅物或液體反應物,例如含矽前驅物。例如,液體注入器可將液體反應物的脈衝注入至混合容器上游的載氣流中。在一實施例中,液體注入器可透過使液體從較高壓力閃蒸至較低壓力來汽化反應物。在另一示例中,液體注入器可將液體霧化成分散的微滴,其隨後於加熱輸送管中汽化。較小的液滴可比較大的液滴更快汽化,從而減少液體注入與完全汽化之間的延遲。更快的汽化可縮減汽化點503下游的管道長度。在一情況中,液體注入器可直接安裝至混合容器504上。在另一種況中,液體注入器可直接安裝在噴淋頭506上。
在一些實施例中,可提供在汽化點503上游之液體流量控制器(LFC)(未示出),用於控制液體的質量流量以汽化並輸送至製程站500。例如,LFC可包括位於LFC下游之熱質量流量計(MFM)。LFC之柱塞閥可接著被調整以響應於與MFM電性連通之比例積分微分(PID)控制器所提供的反饋控制訊號。然而,使用反饋控制可能需要一秒鐘或更長時間來穩定液體流動。此可能會延長液體反應物流動的時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間動態地切換。在一些實施例中,此可透過關閉LFC之感測管及PID控制器來執行。
噴淋頭506向基板512分佈氣體。例如,噴淋頭506可在諸多操作中將用於沉積UV可固化膜之製程氣體分佈至基板512之背側或前側,例如含矽氣體及/或含氧或含氮氣體。在圖5所示之實施例中,基板512位於噴淋頭506下方,並示為留置於基座508上。在一些實施例中, 基座508可包括透過邊緣固持晶圓之晶圓固持件及用於將氣體輸送至晶圓背側之底部噴淋頭(未示出)。噴淋頭506可具有任何合適的形狀,且可具有用於將製程氣體分佈至基板512之任何適當數量及佈設的埠。護罩(未示出)亦可存在於腔室主體502中。
在另一情況中,調整基座508高度可允許在所揭示之製程期間改變電漿密度,從而改變晶圓與底部噴淋頭之間的電漿密度。例如,電漿可在製程氣體流至腔室主體502時被啟動。在該製程結束時,可在另一基板轉移階段期間降低基座508,以允許基板512從基座508上移除。
在一些實施例中,噴淋頭506及基座508與射頻(RF)功率供應源514及匹配網路516電性通訊以對電漿供電。電漿能量可透過控制製程站壓力、氣體濃度及氣體分壓或氣體流速、RF源功率及RF源頻率中之一或更多者來控制。例如,RF功率供應源514及匹配網路516可按任何合適功率來進行操作,以形成具有所欲自由基物種組成之電漿。同樣地,RF功率供應源514可提供任何合適頻率之RF功率。在一些實施例中,RF功率供應源514可配置成彼此獨立地控制高頻及低頻RF功率供應源。示例性低頻RF頻率可包括,但不限於,介於0 kHz與500 kHz之間的頻率。示例性高頻RF頻率可包括,但不限於,介於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。將知悉,可離散地或連續地調變任何合適的參數,以對用於沉積彎曲補償層之反應提供電漿能量。
在一些實施例中,可透過一或更多電漿監測器原位監測電漿。在一情況中,可透過一或更多電壓、電流感測器(例如,VI探針)來監測電漿功率。在另一情況中,可透過一或更多光放射光譜感測器(OES)來測量電漿密度及/或製程氣體濃度。在一些實施例中,可基於來自此等原位電漿監測器之測量值以程式化方式調整一或更多電漿參數。例如,OES感測器可用於反饋迴路中,以提供對電漿功率之程式控制。將知悉,在一些實施例中,可使用其他監視器來監測電漿及其他製程特性。此等監測器可包括,但不限於,紅外(IR)監測器、聲學監測器及壓力轉換器。
在一些實施例中,用於控制器550之指令可透過輸入/輸出控制(IOC)定序指令來提供。在一示例中,用於針對製程階段設定條件的指令可包含於製程配方之對應配方階段中。在一些例子中,製程配方階段可依序排列,使得針對製程階段之所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數之指令可包含於配方階段中。例如,第一配方階段可包括用於設定一或更多氣體(例如,含矽氣體及含氮氣體)之流速的指令以及用於第一配方階段之時間延遲指令。第二後續配方階段可包括用於設定沖洗氣體之流速的指令以及用於第二配方階段之時間延遲指令。可替代地,第三配方階段可包括用於設定一或更多氣體(例如,含矽氣體及含氧氣體)之流速的指令以及用於第三配方階段之時間延遲指令。將知悉,此些配方階段可在本發明之範圍內以任何合適的方式進一步細分及/或反覆。控制器550亦可包括以下關於圖7中之控制器750所述之任何特徵。
在一些實施例中,基座508可透過加熱器510進行溫度控制。加熱器510可用於加熱基板。例如,在一些實施例中,在加熱期間,加熱器510可設定成介於約200℃與約500℃之間的溫度。此外,在一些實施例中,對製程站500之壓力控制可透過蝶閥518來提供。 如圖5之實施例中所示,蝶閥518對下游真空泵(未示出)所提供之真空進行節流。然而,在一些實施例中,製程站500之壓力控制亦可透過改變引入製程站500之一或更多氣體的流速來調整。
圖6示出根據一些實施方式用於UV可固化膜之UV固化的示例性設備示意圖。設備601適合涉及寬頻UV源的用途。設備601包括多個固化站603及705,每一固化站容納基板613及615。基板613及615位於基座623及625上方。基板與基座之間存在間隙604。基板可透過固定件(例如銷)支撐於基座上方,或漂浮在氣體上。拋物線或平面冷鏡653及655位於寬頻UV源633及635上方。來自燈組633及635之UV光穿過窗口643及645。基板613及615接著暴露於UV輻射。在可替代實施例中,基板可由基座623及625支撐。在此等實施例中,燈可配有或可不配備冷鏡。透過與基座完全接觸,可透過使用傳導氣體(例如氦或氦與氬之混合物)來維持基板溫度,該傳導氣體處於足以熱傳導之壓力,通常介於約20與約760 Torr之間、或介於約100 Torr與600 Torr之間。
在操作中,基板進入站603處之腔室,第一UV固化操作在其中執行。第一預圖案化遮罩(未示出)可提供於基板613與窗口643之間。站603處之基座溫度設定成例如介於約200℃與約500℃之間的第一溫度,且站603上方之UV燈設定成例如100%最大強度之第一強度及例如約200-800 nm之第一波長範圍。在一些實施方式中,在站603中固化足夠時間之後,基板可被轉移至站605以進一步固化。第二預圖案化遮罩(未示出)可提供於基板615與窗口645之間。站605處之基座溫度設定成與第一站相同或不相同之第二溫度,而UV強度設定成例如90%強度之第二強度。額外的站可用於在不同條件下進行額外UV固化。
為了在使用寬頻UV源(其產生寬光譜之輻射)時以不同波長或波長範圍照射基板,可在輻射源中使用光學組件以調變寬光譜到達基板的部分。例如,反射片、濾光片或反射片與濾光片兩者之組合可用於從輻射中減去光譜的一部分。到達濾光片後,光可被反射、吸收至濾光片材料中或透射穿過。
高通濾光片(long pass filter)為干涉濾光片,其提供特定波長以下之銳截止。其可用於隔離光譜之特定區域。高通濾光片用於通過或透射一波長範圍,並阻止或反射通帶之較短波長側的其他波長。長波長輻射透射,而短波長輻射反射。高透射率之區域稱為通帶,高反射率之區域稱為阻隔或反射帶。滾降(roll-off)區域將通帶與反射帶分開。高通濾波片之複雜度主要取決於過渡區域之陡度,亦取決於通帶中之漣波(ripple)規格。在相對高入射角之例子中,可能發生偏振相關的損耗。高通濾波片係由硬、耐用之表面材料覆蓋介電塗層所構成。其設計成得以承受常規清潔及處理。
另一類型之濾光片為UV截止濾光片。此些濾光片不允許低於例如280 nm設定值之UV穿透。此些濾光片透過吸收低於截止值之波長來作用。此可能有助於將所欲固化效果最佳化。
可用於選擇波長範圍之另一濾光片為帶通濾光片。 光學帶通濾波片係設計成傳送特定波段。其由許多介電材料薄層所組成,此些薄層具有不同的折射率,以在透射光中產生建設性及破壞性干涉。如此一來,光學帶通濾波片可設計成僅傳送特定波段。該範圍限制通常取決於干涉濾光透鏡及薄膜濾光材料之組成。入射光穿過兩個塗覆反射面。反射塗層之間的距離決定哪些波長將產生破壞性干涉以及哪些波長將被允許通過塗覆表面。在反射光束同相(in phase)之情況下,光將穿過兩個反射面。然而,若波長反相(out of phase),破壞性干涉將阻擋大部分反射,幾乎沒有任何透射。以此方式,干涉濾光片能夠衰減高於或低於所欲範圍之波長處的透射光強度。
可衰減到達基板之輻射波長的另一濾光片為窗口643,其通常由石英製成。透過改變金屬雜質之水平及水含量,可使石英窗阻擋非所欲波長的輻射。金屬雜質極少之高純度二氧化矽石英越深入紫外線越透光。作為一示例,厚度為1 cm之石英在170 nm波長下將具有約50%的透射率,而在160 nm處則下降至僅百分之幾。增加石英中雜質含量導致較低波長UV之透射率降低。電熔融石英具有更多金屬雜質的存在,將其UV透射波長限制至200 nm左右或更長。另一方面,合成二氧化矽具有更高純度,並將下移至170 nm。對於紅外輻射,通過石英之透射率取決於水含量。石英中更多水意味著更容易吸收紅外輻射。石英中之水含量可透過製造製程來控制。因此,可控制穿過石英窗之輻射透射光譜,以截止或減小較短波長之UV透射及/或減小較長波長之紅外透射。
除了透過改變到達基板之輻射來改變波長之外,亦可透過改變光產生器之性質來控制輻射波長。寬頻UV源可產生UV到紅外線之廣泛輻射光譜,但其他光產生器亦可用於放出較小光譜或增加較窄光譜的強度。其他光產生器可為汞蒸氣燈、摻雜汞蒸氣燈、電極燈、準分子燈、準分子雷射、脈衝氙燈、摻雜氙燈。雷射(例如準分子雷射)可發射單一波長的輻射。當對汞蒸氣及氙燈中添加摻雜物時,窄波段之輻射可能會變得更強。常見之摻雜物為鐵、鎳、鈷、錫、鋅、銦、鎵、鉈、銻、鉍或此些之組合。例如,摻雜有銦之汞蒸氣燈在可見光譜及450 nm左右強放光;鐵,在360 nm處;而鎵,在320 nm處。輻射波長亦可透過改變燈的填充壓力來控制。例如,可用高壓汞蒸氣燈以更強地發射250 nm至440 nm(尤其是310 nm至350 nm)之波長。低壓汞蒸氣燈發射較短波長。
除了改變光產生器性質及使用濾光片之外,亦可使用優先傳送燈光譜輸出之一或更多片段的反射片。常用之反射片為冷鏡,其允許紅外輻射通過但反射其他光。可使用優先反射譜帶之光的其他反射片。因此,基板可在不同站處暴露於不同波長的輻射。當然,輻射波長在一些站中可能相同。
在圖6中,基座623及625為固定。分度器(indexer) 611在每個曝光時段之間將每一基板從一基座提升並移動至另一者。分度器611包括附接至具有旋轉及軸向運動之運動機構631的分度板621。對分度板621供予向上軸向運動以從每一基座拾取基板。旋轉運動用於將基板從一站推進至另一站。然後,運動機構接著對板供予向下軸向運動,以將基板向下放至站上。
基座623及625被電加熱並保持於所欲製程溫度。基座623及625亦可配有冷卻線以實現精確之基板溫度控制。在可替代實施例中,可使用大的加熱塊來支撐基板,以取代各個基座。使用導熱氣體(例如氦)以在基座與基板之間達到良好的熱耦合。在一些實施例中,可使用具有同軸熱交換器之鑄造基座。
圖6僅示出合適設備的示例,並可使用針對先前及/或後續製程中涉及之其他方法所設計的其他設備。例如,在使用寬頻UV源之另一實施例中,基板支撐件為轉盤(carousel)。不同於固定式基座基板支撐件,基板不會相對於轉盤移動。在基板裝載至轉盤上之後,若需要,轉盤旋轉以將基板暴露於來自UV燈組的光。轉盤在曝光期間為靜止。曝光期過後,轉盤旋轉以推進每一基板以暴露至下一組燈。加熱及冷卻元件可嵌於旋轉之轉盤內。可替代地,轉盤可與加熱板接觸或固持基板,使得其懸掛於加熱板上方。
在某些實施例中,將基板暴露至來自聚焦而非泛光燈之UV輻射。不同於基板在曝光期間呈靜止(如圖6所示)之寬頻源實施例,當掃描基板時,在暴露至聚焦光期間,基板與光源之間有相對移動。在其他實施例中,基板可相對於光源旋轉,以平均基板上之強度差異。
圖7示出根據一些實施方式用於執行局域化應力調變操作之示例性製程工具的示意圖。多站處理工具700可包括入站裝載室702及出站裝載室704,其一者或兩者可包括電漿源及/或UV源。處於大氣壓下之機器人706配置成將晶圓透過傳送盒(pod)708裝載之晶舟通過大氣埠710移動至入站裝載室702。晶圓(未示出)透過機器人706被放置在入站裝載室702中之基座712上,大氣埠710被關閉,接著入站裝載室702被泵抽。在入站裝載室702包括遠端電漿源之情況下,晶圓可在引入處理腔室714之前暴露於入站裝載室702中之遠端電漿處理。此外,晶圓亦可在入站裝載室702中被加熱,例如以去除水分及吸附的氣體。接著,打開往處理腔室714之腔室轉移埠716,且另一機器人(未示出)將晶圓放入反應器中第一站之基座上(示於反應器中)以進行處理。儘管繪於圖7中之實施例包括裝載室,但將知悉,在一些實施例中,可提供晶圓直接進入製程站。
所繪之處理腔室714包括四個製程站,在圖7所示之實施例中從1到4編號。每一站具有一加熱基座(示於站1之718)及氣體管線入口。將知悉,在一些實施例中,每一製程站可具有不同或多個目的。例如,在一些實施例中,製程站可在CVD與PECVD製程模式之間切換。在另一示例中,可在一站中執行沉積操作,並可在另一站中執行暴露至UV輻射以進行UV固化。在一些實施例中,沉積及UV固化係在同一站中進行。儘管所繪之處理腔室714包括四個站,但將理解,根據本發明之處理腔室可具有任何合適數量的站。例如,在一些實施例中,處理腔室可具有五或更多站,而在其他實施例中,處理腔室可具有三或更少站。
圖7繪出用於在處理腔室714內轉移晶圓之晶圓裝卸系統790的實施例。在一些實施例中,晶圓裝卸系統790可在諸多製程站之間及/或在製程站與裝載室之間轉移晶圓。將知悉,可採用任何合適之晶圓裝卸系統。非限定示例包括晶圓轉盤及晶圓裝卸機器人。圖7亦繪出用於控制製程條件及製程工具700之硬體狀態的系統控制器750實施例。系統控制器750可包括一或更多記憶體裝置756、一或更多大容量儲存裝置754以及一或更多處理器752。處理器752可包括中央處理器(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器750控制製程工具700之所有活動。系統控制器750執行儲存在大容量儲存裝置754中、加載至記憶體裝置756中並在處理器752上執行之系統控制軟體758。可替代地,可將控制邏輯硬編碼於控制器750中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)及其類似者可用於該些目的。在以下討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體758可包含用以控制時序、氣體之混合、氣體流速、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、吸盤及/或晶座位置、及由製程工具700所執行之特定製程的其他參數。系統控制軟體758可以任何合適的方式來配置。例如,可寫入諸多製程工具組成件子程式或控制物件,以控制用以實現諸多製程工具製程之製程工具組成件的操作。系統控制軟體758可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,系統控制軟體758可包含用以控制上述諸多參數之輸入/輸出控制(IOC)定序指令。在一些實施例中,可採用儲存於與系統控制器750相關聯之大容量儲存裝置754及/或記憶體裝置756上之其他電腦軟體及/或程式。用於此目的之程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具組成件之程式編碼,製程工具組成件係用於將基板裝載至基座718上並控制基板與製程工具700之其他部件之間的間隔。
製程氣體控制程式可包含編碼,其用以控制氣體組成(例如本文所述之含矽氣體、含氧氣體、用於進行注入後處理之氣體及沖洗氣體)及流速,及可選地用以在沉積前使氣體流入一或更多製程腔室中以穩定製程腔室中之壓力。壓力控制程式可包含編碼,其係藉由調節例如該製程站之排出系統中的節流閥、進入該製程站之氣體流量等,以控制該製程站中之壓力。
加熱器控制程式可包含編碼,用於控制流至用於加熱基板之加熱單元的電流。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板的輸送。
電漿控制程式可包含編碼,用於根據本文實施例來設定施加至一或更多製程站中之製程電極的RF功率位準。
壓力控制程式可包含編碼,用於根據本文實施例來維持反應腔室內壓力。
在一些實施例中,可有與系統控制器750相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器750調整之參數可與製程條件有關。非限定示例包含製程氣體組成及流速、溫度、壓力、電漿條件(例如RF偏壓功率位準)、壓力、溫度等。可以配方(其可利用使用者介面來輸入)形式將此等參數提供至使用者。
透過系統控制器750之類比及/或數位輸入連接件,可從諸多製程工具感測器提供用以監測製程的訊號。用以控制製程之訊號可在製程工具700之類比及/或數位輸出連接件上輸出。可被監測之製程工具感測器的非限定示例包括質量流量控制器、壓力感測計(例如壓力計)、熱電偶等。經適當程式化之反饋及控制演算法可與來自此些感測器的數據一起使用,以維持製程條件。
系統控制器750可提供用以實施上述沉積製程之程式指令。該等程式指令可控制各種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文所述之諸多實施例操作膜堆之原位沉積。
系統控制器750將通常包括一或更多記憶體裝置及一或更多處理器,其配置成執行該等指令,使得該設備將根據所揭示之實施例來執行方法。機器可讀媒體(含有用以根據所揭示之實施例來控制製程操作之指令)可耦接至系統控制器750。
在一些實施方式中,系統控制器750為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可指「控制器」,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器750可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器750可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至系統控制器750的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器750在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,系統控制器750可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器750接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及系統控制器750與之接合或加以控制之工具類型。因此,如上所述,系統控制器750可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。其他實施例
在前文描述中,闡述許多具體細節以提供對所呈現之實施例的透徹理解。可在沒有一些或所有此些具體細節下實行所揭示之實施例。在其他實例中,不再詳細描述眾所周知之製程操作,以免不必要地模糊所揭示之實施例。儘管結合具體實施例來描述所揭示之實施例,但將理解,其並非意欲限制所揭示之實施例。
雖然為了清楚理解目的已詳細描述前述實施例,但將顯而易見的是,可在隨附請求項之範圍內進行某些改變及修改。應該注意的是,有許多實施本實施例之製程、系統及設備的替代方式。據此,本實施例應被視為說明性而非限制性,且實施例不限於本文所給出的細節。
1:製程站 2:製程站 3:製程站 4:製程站 100:半導體基板 101:金屬線 200:製程 210:方塊 220:方塊 230:方塊 250:製程 260:方塊 270:方塊 280:方塊 300:彎曲半導體基板 301:UV可固化膜 302:預圖案化遮罩 303:UV源 304a:暴露區域 304b:暴露光區域 400:預圖案化遮罩 401:開口 500:製程站 501a:反應物輸送系統 502:製程腔室主體 503:汽化點 504:混合容器 506:噴淋頭 508:基座 510:加熱器 512:基板 513:安瓿盒 514:射頻功率供應源 516:匹配網路 518:蝶閥 520:混合容器入口閥 550:電腦控制器 601:設備 603:站 604:間隙 605:站 611:分度器 613:基板 615:基板 621:分度板 623:基座 625:基座 631:運動機構 633:寬頻UV源、燈組 635:寬頻UV源、燈組 643:窗口 645:窗口 653:冷鏡 655:冷鏡 700:製程工具、處理工具 702:入站裝載室 704:出站裝載室 706:機器人 708:傳送盒 710:大氣埠 712:基座 714:處理腔室 716:腔室轉移埠 718:加熱基座 750:控制器 752:處理器 754:大容量儲存裝置 756:記憶體裝置 758:系統控制軟體 x:x軸 y:y軸
圖1A示出示例性彎曲半導體基板之示意圖在x-y平面中的頂視圖。
圖1B示出圖1A之彎曲半導體基板在y軸方向上的側視圖。
圖1C示出圖1A之彎曲半導體基板在x軸方向上的側視圖。
圖2A示出根據一些實施方式調變彎曲半導體基板上局部應力之示例性方法的流程圖。
圖2B示出根據一些實施方式製備用於局域化應力調變之遮罩的示例性方法的流程圖。
圖3A-3D示出根據一些實施方式之彎曲半導體基板之剖面示意圖,該彎曲半導體基板透過選擇性紫外線(UV)固化進行局域化應力調變製程以減輕彎曲。
圖4示出根據一些實施方式之示例性預圖案化遮罩的示意圖,該預圖案遮罩用於選擇性地暴露UV可固化膜之區域以進行局域化應力調變。
圖5示出根據一些實施方式用於沉積UV可固化膜之示例性設備的示意圖。
圖6示出根據一些實施方式用於UV可固化膜之UV固化的示例性設備示意圖。
圖7示出根據一些實施方式用於執行局域化應力調變操作之示例性製程工具的示意圖。
300:彎曲半導體基板
301:UV可固化膜
302:預圖案化遮罩
303:UV源
304a:暴露區域
304b:未暴露區域

Claims (20)

  1. 一種調變基板上局部應力之方法,該方法包括 : 提供一彎曲半導體基板; 在該彎曲半導體基板上沉積一UV可固化膜;以及 選擇性固化該UV可固化膜之一或更多第一區域,其透過使該一或更多第一區域暴露於紫外線(UV)輻射、並使用一第一預圖案化遮罩而達成,以局部調變該UV可固化膜上之應力,其中該UV可固化膜減輕該彎曲半導體基板之彎曲。
  2. 如請求項1所述之調變局部應力之方法,進一步包括 : 在一UV源與該彎曲半導體基板之間提供該第一預圖案化遮罩,其中該第一預圖案化遮罩包括一或更多開口,其對應於該UV可固化膜之該一或更多第一區域。
  3. 如請求項2所述之調變局部應力之方法,進一步包括 : 測量整個該彎曲半導體基板上之翹曲以確定該彎曲半導體基板上之局域化應力;以及 在一遮罩中圖案化該一或更多開口以形成該第一預圖案化遮罩,該一或更多開口係至少基於該彎曲半導體基板上之該等局域化應力而被圖案化。
  4. 如請求項1所述之調變局部應力之方法,其中該UV可固化膜之該一或更多第一區域係根據UV輻射之受控時間、溫度、強度及/或波長而暴露至該UV輻射,以局部調變該UV可固化膜之該一或更多第一區域中的該應力。
  5. 如請求項4所述之調變局部應力之方法,其中暴露至該UV輻射之溫度介於約200°C與約500°C之間。
  6. 如請求項4所述之調變局部應力之方法,其中暴露至該UV輻射之時間介於約1分鐘與約60分鐘之間。
  7. 如請求項4所述之調變局部應力之方法,其中該UV輻射之強度介於約1 μW/cm2 與約10 W/cm2 之間。
  8. 如請求項1-7中任一項所述之調變局部應力之方法,其中該UV可固化膜包括氮化矽。
  9. 如請求項1-7中任一項所述之調變局部應力之方法,其中該UV輻射配置成以介於約200 MPa與約4000 MPa之間的量局部調變該UV可固化膜上之應力。
  10. 如請求項9所述之調變局部應力之方法,其中該UV可固化膜於該一或更多第一區域中之剛沉積(as-deposited)應力小於約-100 MPa,且其中該UV可固化膜於該一或更多第一區域中之固化後應力大於約100 MPa。
  11. 如請求項1-7中任一項所述之調變局部應力之方法,其中該UV可固化膜具有介於約25 nm與約100 nm之間的厚度。
  12. 如請求項1-7中任一項所述之調變局部應力之方法,其中該彎曲半導體基板呈不對稱彎曲,其具有大於約+ 300 μm之翹曲。
  13. 如請求項1-7中任一項所述之調變局部應力之方法,其中在該彎曲半導體基板上沉積該UV可固化膜發生於該彎曲半導體基板之一背側。
  14. 如請求項1-7中任一項所述之調變局部應力之方法,其中透過使用該第一預圖案化遮罩而選擇性地固化該UV可固化膜之該一或更多第一區域導致該UV可固化膜之一或更多暴露區域變得比該UV可固化膜之一或更多未暴露區域更具應力上的拉伸性。
  15. 如請求項1-7中任一項所述之調變局部應力之方法,進一步包括 : 透過使用一第二預圖案化遮罩,選擇性地固化該UV可固化膜之一或更多第二區域,該第二預圖案化遮罩使該一或更多第二區域選擇性地暴露至UV輻射,其中選擇性地固化該一或更多第二區域係在不同於選擇性地固化該一或更多第一區域之條件下發生。
  16. 一種製備用於局域化應力調變之遮罩的方法,該方法包括 : 在一遮罩中圖案化一或更多開口以形成一預圖案化遮罩,該一或更多開口係至少基於一半導體基板之應力圖及/或該半導體基板之預定晶粒間距而被圖案化;以及 提供該預圖案化遮罩至一紫外線(UV)腔室,其中該預圖案化遮罩配置成當該半導體基板暴露至UV輻射時,使沉積於該半導體基板上之一UV可固化膜的一或更多暴露區域變得比該UV可固化膜之一或更多未暴露區域更具拉伸性。
  17. 如請求項16所述之製備用於局域化應力調變之遮罩的方法,進一步包括 : 接收一半導體基板之應力圖,其指示該半導體基板之一或更多區域中之不對稱彎曲的程度。
  18. 一種用於調變基板上應力之設備,該設備包括 : 一處理腔室,其包括 : 一基板支撐件,用於支撐一彎曲半導體基板,以及 一紫外線(UV)源,用於使該彎曲半導體基板暴露至UV輻射;以及 一控制器,配置有用於執行以下操作之指令 : 在該處理腔室中提供該彎曲半導體基板,使一UV可固化膜沉積於該彎曲半導體基板之一前側或背側上;以及 透過使用一第一預圖案化遮罩,選擇性地固化該UV可固化膜之一或更多第一區域,該第一預圖案化遮罩使該一或更多第一區域選擇性地暴露至紫外線(UV)輻射,以局部調變該UV可固化膜上之應力,其中該UV可固化膜減輕該彎曲半導體基板之彎曲。
  19. 如請求項18所述之用於調變基板上應力之設備,其中該控制器配置有用於當選擇性地固化該UV可固化膜之該一或更多第一區域時改變UV輻射之時間、溫度、強度及/或波長的指令。
  20. 如請求項18或19所述之用於調變基板上應力之設備,進一步包括 : 一沉積腔室,其與該處理腔室分開; 其中該控制器進一步配置有用於執行以下操作之指令 : 在該彎曲半導體基板之該前側或背側上沉積該UV可固化膜。
TW110103017A 2020-01-30 2021-01-27 用於局部應力調變的uv固化 TW202147387A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062968006P 2020-01-30 2020-01-30
US62/968,006 2020-01-30

Publications (1)

Publication Number Publication Date
TW202147387A true TW202147387A (zh) 2021-12-16

Family

ID=77079591

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103017A TW202147387A (zh) 2020-01-30 2021-01-27 用於局部應力調變的uv固化

Country Status (6)

Country Link
US (1) US20230038611A1 (zh)
JP (1) JP2023514497A (zh)
KR (1) KR20220132631A (zh)
CN (1) CN115053325A (zh)
TW (1) TW202147387A (zh)
WO (1) WO2021154641A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023102376A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Deposition of high compressive stress thermally stable nitride film
CN114318303A (zh) * 2021-12-24 2022-04-12 芯盟科技有限公司 半导体工艺设备及其使用方法
JP2023096874A (ja) * 2021-12-27 2023-07-07 東京エレクトロン株式会社 基板処理方法および基板処理システム
US20230251574A1 (en) * 2022-02-04 2023-08-10 Tokyo Electron Limited Method to enhance lithography pattern creation using semiconductor stress film tuning
US20230251584A1 (en) * 2022-02-04 2023-08-10 Tokyo Electron Limited In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
WO2023163861A1 (en) * 2022-02-28 2023-08-31 Lam Research Corporation Wafer bow compensation by patterned uv cure
US20230326738A1 (en) * 2022-04-08 2023-10-12 Tokyo Electron Limited Method for chuck compensation via wafer shape control
WO2024030382A1 (en) * 2022-08-05 2024-02-08 Lam Research Corporation Reducing thermal bow shift

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8829661B2 (en) * 2006-03-10 2014-09-09 Freescale Semiconductor, Inc. Warp compensated package and method
US9397051B2 (en) * 2013-12-03 2016-07-19 Invensas Corporation Warpage reduction in structures with electrical circuitry
WO2015157507A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for correcting wafer bow from overlay
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
JP7164289B2 (ja) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング

Also Published As

Publication number Publication date
KR20220132631A (ko) 2022-09-30
WO2021154641A1 (en) 2021-08-05
CN115053325A (zh) 2022-09-13
JP2023514497A (ja) 2023-04-06
US20230038611A1 (en) 2023-02-09

Similar Documents

Publication Publication Date Title
TW202147387A (zh) 用於局部應力調變的uv固化
US10490413B2 (en) Selective growth of silicon nitride
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
US10176984B2 (en) Selective deposition of silicon oxide
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
KR20180054436A (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
JP2016036020A (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
WO2020243342A1 (en) High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
JP7294999B2 (ja) エッチング方法
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
US20230066676A1 (en) Core removal
US20240030028A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
TW202349536A (zh) 藉由圖案化uv固化之晶圓翹曲補償
TW202249206A (zh) 基板處理系統中的原位膜退火