TW202145838A - Substrate treatment device, production method for semiconductor device, and program - Google Patents

Substrate treatment device, production method for semiconductor device, and program Download PDF

Info

Publication number
TW202145838A
TW202145838A TW110106849A TW110106849A TW202145838A TW 202145838 A TW202145838 A TW 202145838A TW 110106849 A TW110106849 A TW 110106849A TW 110106849 A TW110106849 A TW 110106849A TW 202145838 A TW202145838 A TW 202145838A
Authority
TW
Taiwan
Prior art keywords
gas
substrate
external electrode
processing apparatus
processing chamber
Prior art date
Application number
TW110106849A
Other languages
Chinese (zh)
Other versions
TWI785510B (en
Inventor
原大介
八幡橘
竹田剛
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW202145838A publication Critical patent/TW202145838A/en
Application granted granted Critical
Publication of TWI785510B publication Critical patent/TWI785510B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Abstract

Provided is a technology comprising: a treatment chamber in which a substrate is treated; a substrate support tool that supports a plurality of substrates at multiple levels in the vertical direction; and a plasma generation unit having a buffer structure that is provided inside the treatment chamber and that turns a gas into a plasma, and an external electrode that generates plasma and that is provided on the outside of the treatment chamber at a position corresponding to the position to which the buffer structure is provided.

Description

基板處理裝置、半導體裝置之製造方法及記錄媒體Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium

本發明係關於基板處理裝置、半導體裝置之製造方法及記錄媒體。The present invention relates to a substrate processing apparatus, a method for manufacturing a semiconductor device, and a recording medium.

作為半導體裝置之製造步驟之一,有對搬入至基板處理裝置之處理室內的基板,使原料氣體或反應氣體等藉電漿活性化並供給,於基板上形成絕緣膜或半導體膜、導體膜等各種膜,或者去除各種膜的基板處理。例如,專利文獻1係於反應管內設有生成電漿之緩衝室。 [先前技術文獻] [專利文獻]As one of the manufacturing steps of a semiconductor device, a substrate loaded into a processing chamber of a substrate processing apparatus is activated and supplied by plasma, such as a raw material gas or a reaction gas, and an insulating film, a semiconductor film, a conductor film, etc. are formed on the substrate. Various films, or substrate processing to remove various films. For example, in Patent Document 1, a buffer chamber for generating plasma is provided in the reaction tube. [Prior Art Literature] [Patent Literature]

專利文獻1:日本專利特開2016-106415號公報Patent Document 1: Japanese Patent Laid-Open No. 2016-106415

(發明所欲解決之問題)(The problem that the invention intends to solve)

本發明之目的在於提供可對基板供給依高效率形成之電漿活性種氣體的技術。 (解決問題之技術手段)An object of the present invention is to provide a technique for supplying a plasma active species gas formed with high efficiency to a substrate. (Technical means to solve problems)

根據本發明之一態樣,提供一種技術,其係具有: 對基板進行處理之處理室; 將複數之上述基板於垂直方向上多段保持的基板支撐具;與 電漿生成部,其設於上述處理室內,具有將氣體進行電漿化之緩衝構造、與外部電極,該外部電極係設於與設有該緩衝構造之位置對應之上述處理室之外側並生成電漿。 (對照先前技術之功效)According to one aspect of the present invention, there is provided a technique having: A processing chamber for processing substrates; A substrate supporter for holding a plurality of the above-mentioned substrates in multiple stages in a vertical direction; and A plasma generating unit is provided in the processing chamber, and has a buffer structure for plasmaizing gas, and an external electrode, which is provided outside the processing chamber corresponding to the position where the buffer structure is provided, and generates a plasma plasma. (Compared to the efficacy of the prior art)

根據本發明,能提供可對基板供給依高效率形成之電漿活性種氣體的技術。According to the present invention, it is possible to provide a technology capable of supplying a plasma active species gas formed with high efficiency to a substrate.

<本發明之實施形態> 以下針對本發明一實施形態,參照圖1至圖5進行說明。<Embodiment of the present invention> Hereinafter, an embodiment of the present invention will be described with reference to FIGS. 1 to 5 .

(1) 基板處理裝置之構成(加熱裝置) 如圖1所示,基板處理裝置所使用之處理爐202係可將基板於垂直方向上多段收容的所謂縱型爐,具有作為加熱裝置(加熱機構)之加熱器207。加熱器207為圓筒形狀,由作為保持板之加熱器基底(未圖示)所支撐而垂直豎立。加熱器207亦具有作為如後述般藉由熱使氣體活性化(激發)之活性化機構(激發部)的機能。(1) Configuration of a substrate processing apparatus (heating apparatus) As shown in FIG. 1 , the processing furnace 202 used in the substrate processing apparatus is a so-called vertical furnace capable of accommodating substrates in multiple stages in the vertical direction, and has a heater 207 as a heating device (heating mechanism). The heater 207 has a cylindrical shape, and is vertically erected by being supported by a heater base (not shown) serving as a holding plate. The heater 207 also functions as an activation mechanism (excitation unit) for activating (exciting) the gas by heat, as described later.

(處理室) 於加熱器207內側,與加熱器207呈同心圓狀地配設反應管203。反應管203係由例如石英(SiO2 )或碳化矽(SiC)等耐熱性材料所構成,形成為上端閉塞、下端開口的圓筒形狀。於反應管203之下方,與反應管203呈同心圓狀地配設岐管(入口凸緣)209。岐管209係由例如不鏽鋼(SUS)等金屬所構成,形成為上端及下端開口的圓筒形狀。於岐管209之上端部,卡合於反應管203之下端部,構成為支撐反應管203。於岐管209與反應管203之間,設有作為密封構件的O型環220a。岐管209係由加熱器基底所支撐,藉此反應管203成為垂直豎立之狀態。主要由反應管203與岐管209構成處理容器(反應容器)。於處理容器內側之筒中空部形成處理室201。處理室201係構成為可收容複數片之作為基板之晶圓200。又,處理容器並不限定於上述構成,亦有僅將反應管203稱為處理容器的情形。(Processing Chamber) Inside the heater 207 , the reaction tube 203 is arranged concentrically with the heater 207 . The reaction tube 203 is made of, for example , a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC), and has a cylindrical shape with a closed upper end and an open lower end. Below the reaction tube 203 , a manifold (inlet flange) 209 is arranged concentrically with the reaction tube 203 . The manifold 209 is made of metal such as stainless steel (SUS), for example, and is formed in a cylindrical shape with an upper end and a lower end opened. The upper end portion of the manifold 209 is engaged with the lower end portion of the reaction tube 203 to support the reaction tube 203 . Between the manifold 209 and the reaction tube 203, an O-ring 220a serving as a sealing member is provided. The manifold 209 is supported by the heater base, whereby the reaction tubes 203 are vertically erected. The processing container (reaction container) is mainly composed of the reaction tube 203 and the manifold 209 . A processing chamber 201 is formed in the hollow portion of the cylinder inside the processing container. The processing chamber 201 is configured to accommodate a plurality of wafers 200 serving as substrates. In addition, the processing container is not limited to the above-mentioned configuration, and only the reaction tube 203 may be referred to as a processing container.

於處理室201內,噴嘴249a、配管249b係設置成貫通岐管209之側壁。於噴嘴249a、配管249b,分別連接氣體供給管232a、232b。如此,於處理室201中設有1根噴嘴249a、1根配管249b、與2根氣體供給管232a、232b,可對處理室201內供給複數種氣體。In the processing chamber 201 , the nozzles 249 a and the piping 249 b are provided so as to penetrate through the side wall of the manifold 209 . Gas supply pipes 232a and 232b are connected to the nozzle 249a and the pipe 249b, respectively. In this way, one nozzle 249a, one pipe 249b, and two gas supply pipes 232a, 232b are provided in the processing chamber 201, and a plurality of gases can be supplied into the processing chamber 201.

於氣體供給管232a、232b,由氣流之上游側起依序分別設置屬於流量控制器(流量控制部)之質量流量控制器(MFC)241a、241b及屬於開關閥之閥243a、243b。在氣體供給管232a、232b之較閥243a、243b更下游側,分別連接供給惰性氣體的氣體供給管232c、232d。於氣體供給管232c、232d,由氣流之上游側起依序分別設置MFC241c、241d及閥243c、243d。Mass flow controllers (MFCs) 241a and 241b belonging to flow controllers (flow control sections) and valves 243a and 243b belonging to on-off valves are respectively provided in the gas supply pipes 232a and 232b in order from the upstream side of the gas flow. Gas supply pipes 232c and 232d for supplying inert gas are connected to the gas supply pipes 232a and 232b on the downstream side of the valves 243a and 243b, respectively. MFCs 241c and 241d and valves 243c and 243d are respectively provided in the gas supply pipes 232c and 232d in order from the upstream side of the gas flow.

如圖2所示般,噴嘴249a係在反應管203之內壁與晶圓200之間的空間中,設置成由反應管203內壁之下部沿著上部、朝晶圓200之積載方向上方立起。亦即,噴嘴249a係依在晶圓200所配列(載置)之晶圓配列區域(載置區域)之側方中、水平包圍晶圓配列區域之區域,沿著晶圓配列區域的方式設置。亦即,噴嘴249a係於被搬入至處理室201內之各晶圓200之端部(周緣部)的側方,設置成與晶圓200之表面(平坦面)呈垂直的方向上。於噴嘴249a之側面,設置供給氣體之氣體供給孔250a。氣體供給孔250a係朝反應管203之中心呈開口,可朝晶圓200供給氣體。氣體供給孔250a係由反應管203之下部涵括至上部而複數設置,分別具有相同之開口面積,並依相同之開口間距設置。As shown in FIG. 2 , the nozzle 249 a is located in the space between the inner wall of the reaction tube 203 and the wafers 200 , and is arranged to stand upward from the lower part of the inner wall of the reaction tube 203 along the upper part and upward in the direction of loading the wafers 200 . rise. That is, the nozzles 249a are arranged along the wafer arranging area in the side of the wafer arranging area (the placing area) in which the wafers 200 are arranged (placed) and horizontally surrounding the area of the wafer arranging area. . That is, the nozzles 249a are provided in a direction perpendicular to the surface (flat surface) of the wafer 200 on the side of the end (peripheral edge) of each wafer 200 carried into the processing chamber 201 . A gas supply hole 250a for supplying gas is provided on the side surface of the nozzle 249a. The gas supply hole 250 a is opened toward the center of the reaction tube 203 , and can supply gas to the wafer 200 . The gas supply holes 250a are arranged in plural from the lower part to the upper part of the reaction tube 203, and have the same opening area and the same opening spacing.

於氣體供給管232b之前端部,連接配管249b。配管249b係連接至緩衝構造237內。本實施形態中,俯視下2個之緩衝構造237係包夾著通過反應管203(處理室201)中心與噴嘴249a之直線而配置,或包夾著通過反應管203(處理室201)中心與排氣管(排氣部)231之直線而配置,相對於連結噴嘴249a與排氣管231之線使2個緩衝構造237對稱地配置。於緩衝構造237設有區隔板237a,藉由區隔板237a區隔為由配管249b導入氣體之氣體導入區237b與將氣體電漿化之電漿區237c。電漿區237c亦稱為屬於氣體分散空間的緩衝室237c。緩衝室237c係配置於噴嘴249a側,氣體導入區237b係配置於排氣管231側。A pipe 249b is connected to the front end of the gas supply pipe 232b. The piping 249b is connected to the inside of the buffer structure 237 . In the present embodiment, the two buffer structures 237 in plan view are arranged so as to sandwich a straight line passing through the center of the reaction tube 203 (processing chamber 201 ) and the nozzle 249 a, or between the center of the reaction tube 203 (processing chamber 201 ) and the nozzle 249 a. The exhaust pipe (exhaust portion) 231 is arranged in a straight line, and the two buffer structures 237 are arranged symmetrically with respect to the line connecting the nozzle 249a and the exhaust pipe 231 . The buffer structure 237 is provided with a partition plate 237a, and the partition plate 237a partitions into a gas introduction region 237b for introducing gas from a pipe 249b and a plasma region 237c for plasmaizing the gas. The plasma region 237c is also referred to as a buffer chamber 237c belonging to the gas dispersion space. The buffer chamber 237c is arranged on the nozzle 249a side, and the gas introduction region 237b is arranged on the exhaust pipe 231 side.

如圖2所示般,緩衝室237c係在反應管203之內壁與晶圓200之間於俯視時呈圓環狀之空間中、且由反應管203內壁之下部涵括至上部的部分,沿著晶圓200之積載方向設置。亦即,緩衝室237c係依在晶圓配列區域之側方、水平包圍晶圓配列區域之區域,沿著晶圓配列區域的方式,由緩衝構造237所形成。緩衝構造237係由石英或SiC等屬於耐熱性材料之絕緣物所構成,於緩衝構造237之形成為圓弧狀的壁面,形成有供給氣體之氣體供給口302、304。氣體供給口302、304係於所積載之複數片晶圓200之水平方向上複數設置,朝反應管203之中心開口,可朝晶圓200供給氣體。氣體供給口302、304係由反應管203之下部涵括至上部、沿著晶圓200之積載方向複數設置,分別具有相同之開口面積,並依相同開口間距設置。As shown in FIG. 2 , the buffer chamber 237c is a space between the inner wall of the reaction tube 203 and the wafer 200 in a circular shape when viewed from above, and the part from the lower part to the upper part of the inner wall of the reaction tube 203 is included , arranged along the loading direction of the wafer 200 . That is, the buffer chamber 237c is formed by the buffer structure 237 along the side of the wafer arrangement region and the region horizontally surrounding the wafer arrangement region and along the wafer arrangement region. The buffer structure 237 is made of an insulating material such as quartz or SiC, which is a heat-resistant material, and gas supply ports 302 and 304 for supplying gas are formed on the arc-shaped wall surface of the buffer structure 237 . The gas supply ports 302 and 304 are provided in plural in the horizontal direction of the stacked wafers 200 , and open toward the center of the reaction tube 203 to supply gas to the wafers 200 . The gas supply ports 302 and 304 are arranged in plural from the lower part to the upper part of the reaction tube 203 along the stacking direction of the wafers 200 , and have the same opening area and the same opening spacing.

於氣體導入區237b係設置成由反應管203內壁之下部沿著上部、朝晶圓200之積載方向上方立起。於區隔板237a,設有由氣體導入區237b對電漿區237c供給氣體的氣體供給孔237d。藉此,使供給至氣體導入區237b之反應氣體於緩衝室237c內分散。氣體供給孔237d係與氣體供給孔250a同樣地,由反應管203之下部涵括至上部複數設置。又,亦可取代配管249b及氣體導入區237b,將噴嘴、例如與噴嘴249a同樣之多孔噴嘴設於緩衝室237c內而供給處理氣體。The gas introduction area 237b is provided so as to stand up from the lower part of the inner wall of the reaction tube 203 along the upper part and upward in the stacking direction of the wafers 200 . The partition plate 237a is provided with a gas supply hole 237d for supplying gas from the gas introduction region 237b to the plasma region 237c. Thereby, the reaction gas supplied to the gas introduction region 237b is dispersed in the buffer chamber 237c. The gas supply holes 237d are provided in plural from the lower part to the upper part of the reaction tube 203, similarly to the gas supply holes 250a. Moreover, instead of the piping 249b and the gas introduction area 237b, a nozzle, for example, a porous nozzle similar to the nozzle 249a may be provided in the buffer chamber 237c to supply the processing gas.

如此,本實施形態中,係經由在由反應管203之側壁內壁、與配置於反應管203內之複數片晶圓200之端部所定義的俯視下呈圓環狀的縱長空間內(亦即圓筒狀之空間內)所配置的噴嘴249a及緩衝室237c,搬送氣體。然後,由在噴嘴249a與緩衝室237c分別開口之氣體供給孔250a、氣體供給口302、304,在晶圓200附近首先使氣體噴出至反應管203內。然後,使反應管203內之氣體之主要流動,成為與晶圓200表面平行之方向、亦即水平方向。藉由此種構成,可對各晶圓200均勻地供給氣體,可提升形成於各晶圓200之膜之膜厚均勻性。於晶圓200表面上流動的氣體、亦即反應後之剩餘氣體係朝排氣口、亦即後述排氣管231之方向流動。惟,此剩餘氣體之流動方向係由排氣口之位置所適當特定,並不限定於垂直方向。In this way, in the present embodiment, through the longitudinal space ( That is, the nozzle 249a and the buffer chamber 237c arranged in the cylindrical space) convey the gas. Then, the gas is first ejected into the reaction tube 203 near the wafer 200 through the gas supply hole 250a and the gas supply ports 302 and 304 opened in the nozzle 249a and the buffer chamber 237c, respectively. Then, the main flow of the gas in the reaction tube 203 is made to be a direction parallel to the surface of the wafer 200 , that is, a horizontal direction. With such a configuration, the gas can be uniformly supplied to each wafer 200, and the uniformity of the film thickness of the film formed on each wafer 200 can be improved. The gas flowing on the surface of the wafer 200 , that is, the residual gas system after the reaction flows toward the exhaust port, that is, the direction of the exhaust pipe 231 described later. However, the flow direction of the residual gas is appropriately specified by the position of the exhaust port, and is not limited to the vertical direction.

由氣體供給管232a,係使含有既定元素之原料的例如含有作為既定元素之矽(Si)的矽烷原料氣體,經由MFC241a、閥243a、噴嘴249a供給至處理室201內。From the gas supply pipe 232a, a raw material containing a predetermined element, for example, a silane raw material gas containing silicon (Si) as a predetermined element is supplied into the processing chamber 201 through the MFC 241a, the valve 243a, and the nozzle 249a.

所謂原料氣體,係指氣體狀態的原料,例如藉由將常溫常壓下呈液體狀態之原料氣化而得的氣體,或常溫常壓下呈氣體狀態之原料等。本說明書中,於使用「原料」一語時,係有意指「呈液體狀態之液體原料」之情形、意指「呈氣體狀態之原料氣體」之情形、或意指此等兩者之情形。The so-called raw material gas refers to a raw material in a gaseous state, such as a gas obtained by vaporizing a raw material in a liquid state at normal temperature and pressure, or a raw material in a gaseous state at normal temperature and normal pressure. In this specification, when the term "raw material" is used, it is intended to mean "a liquid raw material in a liquid state", "a raw material gas in a gaseous state", or both.

作為矽烷原料氣體,可使用例如含有Si及鹵元素的原料氣體、亦即鹵矽烷原料氣體。鹵矽烷原料氣體係指具鹵基之矽烷原料。鹵元素係包含選自由氯(Cl)、氟(F)、溴(Br)、碘(I)所構成群之至少1種。亦即,鹵矽烷原料係含有選自由氯基、氟基、溴基、碘基所構成群之至少1種鹵基。鹵矽烷原料亦可謂為鹵化物之一種。As the silane raw material gas, for example, a raw material gas containing Si and a halogen element, that is, a halosilane raw material gas can be used. The halosilane raw material gas system refers to a silane raw material with a halogen group. The halogen element contains at least one selected from the group consisting of chlorine (Cl), fluorine (F), bromine (Br), and iodine (I). That is, the halosilane raw material contains at least one halogen group selected from the group consisting of a chlorine group, a fluorine group, a bromine group, and an iodine group. Halosilane raw materials can also be described as a kind of halide.

作為鹵矽烷原料氣體,可使用例如含有Si及Cl之原料氣體、亦即氯矽烷原料氣體。作為氯矽烷原料氣體,可使用例如二氯矽烷(SiH2 Cl2 ,簡稱:DCS)氣體。As the halosilane source gas, for example, a source gas containing Si and Cl, that is, a chlorosilane source gas can be used. As the chlorosilane raw material gas, for example, dichlorosilane (SiH 2 Cl 2 , abbreviated: DCS) gas can be used.

由氣體供給管232b,構成為將作為含有與上述既定元素不同之元素的反應物(反應體)、例如作為反應氣體之含氮(N)氣體,經由MFC241b、閥243b、配管249b、氣體導入區237b供給至緩衝室237c內。作為含N氣體,可使用例如氮化氫系氣體。氮化氫系氣體亦稱為僅由N及H之2元素構成的物質,可作用為氮化氣體、亦即N源。作為氮化氫系氣體,可使用例如氨(NH3 )氣。The gas supply pipe 232b is configured to pass through the MFC 241b, the valve 243b, the piping 249b, and the gas introduction area as a reactant (reactant) containing an element different from the above-mentioned predetermined element, for example, a nitrogen (N)-containing gas as a reactant gas. 237b is supplied into the buffer chamber 237c. As the N-containing gas, for example, a hydrogen nitride-based gas can be used. The hydrogen nitride-based gas is also referred to as a substance composed of only two elements of N and H, and functions as a nitriding gas, that is, an N source. As the hydrogen nitride-based gas, for example, ammonia (NH 3 ) gas can be used.

由氣體供給管232c、232d,將作為惰性氣體之例如氮(N2 )氣分別經由MFC241c、241d、閥243c、243d、氣體供給管232a、232b、噴嘴249a、配管249b供給至處理室201內。From the gas supply pipes 232c and 232d, for example, nitrogen (N 2 ) gas as an inert gas is supplied into the processing chamber 201 through the MFCs 241c and 241d, valves 243c and 243d, gas supply pipes 232a and 232b, nozzles 249a and piping 249b, respectively.

主要由氣體供給管232a、MFC241a、閥243a構成作為第1氣體供給系統的原料氣體供給系統。主要由氣體供給管232b、MFC241b、閥243b構成作為第2氣體供給系統的反應體供給系統(反應物供給系統)。主要由氣體供給管232c、232d、MFC241c、241d、閥243c、243d構成惰性氣體供給系統。亦將原料供給系統、反應體供給系統及惰性氣體供給系統總稱、簡稱為氣體供給系統(氣體供給部)。A raw material gas supply system serving as a first gas supply system is mainly composed of the gas supply pipe 232a, the MFC 241a, and the valve 243a. The reactant supply system (reactant supply system) as the second gas supply system is mainly composed of the gas supply pipe 232b, the MFC 241b, and the valve 243b. The inert gas supply system is mainly composed of gas supply pipes 232c and 232d, MFCs 241c and 241d, and valves 243c and 243d. The raw material supply system, the reactant supply system, and the inert gas supply system are collectively referred to as a gas supply system (gas supply unit) for short.

(電漿生成部) 接著使用圖1至圖3說明電漿生成部。(Plasma generation section) Next, the plasma generating portion will be described with reference to FIGS. 1 to 3 .

如圖2所示,電漿係使用電容耦合電漿(Capacitively Coupled Plasma,簡稱:CCP),在反應氣體供給時於由石英等所製成之屬於真空隔壁的反應管203(處理室201)內部之緩衝構造237生成。As shown in FIG. 2 , the plasma is a capacitively coupled plasma (CCP), and when the reaction gas is supplied, the reaction tube 203 (processing chamber 201 ) made of quartz and the like is a vacuum partition. The buffer structure 237 is generated.

如圖2及圖3(a)所示,外部電極300係由於晶圓200之配列方向上具有長邊之矩形形狀的薄板所構成。如圖1及圖3(b)所示,外部電極300係使經由整合器272連接高頻電源273的第1外部電極(Hot電極)300-1、與基準電位0V並經大地接地之第2外部電極(接地電極)300-2依等間隔配置。本發明中,在不需要特別區別並說明的情況下,記載為外部電極300進行說明。As shown in FIGS. 2 and 3( a ), the external electrodes 300 are formed of rectangular thin plates having long sides in the arrangement direction of the wafers 200 . As shown in FIG. 1 and FIG. 3( b ), the external electrode 300 is a first external electrode (Hot electrode) 300 - 1 connected to the high frequency power supply 273 via the integrator 272 , and a second external electrode (Hot electrode) 300 - 1 connected to the reference potential 0V and grounded via the ground The external electrodes (ground electrodes) 300-2 are arranged at equal intervals. In the present invention, when there is no need for special distinction and description, the description will be described as the external electrode 300 .

外部電極300係於反應管203與加熱器207之間,設於與設有緩衝構造237之位置相對應的處理室201之外側。具體而言,緩衝構造係設置電漿區(緩衝室)237c作為用於將氣體電漿化之區,外部電極300係沿著與設有緩衝室237c之位置相對應的反應管203之外壁(處理室201之外側)並配置為略圓弧狀。外部電極300係例如固定於形成為中心角為30度以上且240度以下之圓弧狀的石英蓋之內壁面而配置。亦即,外部電極300係配置於與設有緩衝室(電漿區)237c之位置相對應之反應管203的外周(處理室201之外側)。又,緩衝構造237係設有氣體供給部(氣體導入區)237b作為用於對緩衝室237c供給氣體的區。外部電極300並未配置於與設有氣體導入區(氣體供給部)237b之位置相對應之反應管203的外周(處理室201之外側)。外部電極300係由高頻電源273經由整合器272,輸入例如頻率13.56MHz之高頻,藉此於緩衝室237c內生成電漿活性種306。藉由如此生成的電漿,可由晶圓200周圍將用於基板處理之電漿活性種306供給至晶圓200表面。主要由緩衝構造237與外部電極300與高頻電源273構成電漿生成部。The external electrode 300 is located between the reaction tube 203 and the heater 207, and is provided outside the processing chamber 201 corresponding to the position where the buffer structure 237 is provided. Specifically, the buffer structure is provided with a plasma region (buffer chamber) 237c as a region for plasmaizing gas, and the external electrode 300 is along the outer wall ( The outer side of the processing chamber 201 ) is arranged in a substantially arc shape. The external electrode 300 is, for example, fixed to the inner wall surface of a quartz cover formed in an arc shape with a central angle of 30 degrees or more and 240 degrees or less. That is, the external electrode 300 is arranged on the outer periphery of the reaction tube 203 (outside the processing chamber 201 ) corresponding to the position where the buffer chamber (plasma region) 237c is provided. In addition, the buffer structure 237 is provided with a gas supply part (gas introduction area) 237b as an area for supplying gas to the buffer chamber 237c. The external electrode 300 is not arranged on the outer periphery of the reaction tube 203 (outside the processing chamber 201 ) corresponding to the position where the gas introduction region (gas supply portion) 237b is provided. The external electrode 300 is input with a high frequency of, for example, a frequency of 13.56 MHz from the high frequency power supply 273 through the integrator 272, thereby generating the plasma active species 306 in the buffer chamber 237c. With the plasma thus generated, the plasma active species 306 for substrate processing can be supplied to the surface of the wafer 200 from around the wafer 200 . The plasma generating portion is mainly composed of the buffer structure 237 , the external electrodes 300 , and the high-frequency power supply 273 .

外部電極300亦可由鋁或銅、不鏽鋼等金屬所構成,但藉由鎳等耐氧化材料構成,可抑制導電率劣化、可進行基板處理。尤其,藉由以添加了鋁之鎳合金材料構成,可於電極表面形成耐熱性及耐腐蝕性高之屬於氧化被膜的AlO膜。藉由此被膜形成的效果,可抑制劣化朝電極內部的進行,故可抑制因導電率降低所造成的電漿生成效率降低。The external electrode 300 may be made of metal such as aluminum, copper, and stainless steel, but it is made of an oxidation-resistant material such as nickel, so that deterioration of electrical conductivity can be suppressed and substrate processing can be performed. In particular, the AlO film, which is an oxide film with high heat resistance and corrosion resistance, can be formed on the electrode surface by forming it with an aluminum-added nickel alloy material. By the effect of this film formation, the progress of the deterioration to the inside of the electrode can be suppressed, so that the decrease in the plasma generation efficiency due to the decrease in the electrical conductivity can be suppressed.

(電極固定治具) 接著使用圖3,說明作為固定外部電極300之電極固定治具的石英蓋301。如圖3(a)、(b)所示,複數根設置之外部電極300,係將其缺口部(未圖示)掛扣於彎曲形狀之屬於電極固定治具之石英蓋301之內壁面所設置的突起部310,使其滑動並固定,依與此石英蓋301成為一體的方式進行單元化(鉤式電極單元)設置於反應管203外周。於此,包括外部電極300與屬於電極固定治具之石英蓋301在內稱為電極固定單元。又,作為石英蓋301與外部電極300之材料,分別採用石英與鎳合金。(Electrode Fixture) Next, the quartz cover 301 as the electrode fixing jig for fixing the external electrode 300 will be described with reference to FIG. 3 . As shown in FIGS. 3( a ) and ( b ), a plurality of external electrodes 300 are provided, and their notch parts (not shown) are hooked to the inner wall surface of the curved quartz cover 301 belonging to the electrode fixing jig. The provided protruding portion 310 is slid and fixed, and is provided on the outer periphery of the reaction tube 203 in a unitized manner (hook electrode unit) so as to be integrated with the quartz cover 301 . Here, the outer electrode 300 and the quartz cover 301 belonging to the electrode fixing jig are referred to as an electrode fixing unit. In addition, as the material of the quartz cover 301 and the external electrode 300, quartz and nickel alloy are used, respectively.

為了依基板溫度500℃以下獲得高處理能力,較佳係將石英蓋301之占有率設為中心角30度以上且240度以下之圓弧形狀,並為了避免發生顆粒而避開屬於排氣口之排氣管231或噴嘴249a等的配置。若構成為中心角小於30度,則所配置之外部電極300之根數變少,電漿之生產量減少。若構成為中心角大於240度,則由石英蓋301覆蓋反應管203側面的面積變得過大,而阻斷來自加熱器207的熱能量。本實施形態中,係將中心角110度之石英蓋2片配置成左右對稱。In order to obtain high processing capacity according to the substrate temperature of 500°C or lower, it is preferable to set the occupancy rate of the quartz cover 301 to an arc shape with a central angle of 30 degrees or more and 240 degrees or less, and to avoid particles belonging to the exhaust port. The arrangement of the exhaust pipe 231 or the nozzle 249a, etc. When the center angle is less than 30 degrees, the number of the external electrodes 300 to be arranged decreases, and the throughput of plasma decreases. If the central angle is more than 240 degrees, the area covered by the quartz cover 301 on the side surface of the reaction tube 203 becomes too large, and the thermal energy from the heater 207 is blocked. In this embodiment, two quartz covers with a center angle of 110 degrees are arranged symmetrically.

於反應管203,設有作為將處理室201內之環境進行排氣之排氣部的排氣管231。於排氣管231,係經由作為檢測處理室201內之壓力的壓力檢測器(壓力檢測部)的壓力感應器245、及經由作為排氣閥(壓力調整部)之APC(Auto Pressure Controller,自動壓力控制器)閥244,連接著作為真空排氣裝置的真空泵246。APC閥244係構成為:依使真空泵246作動之狀態進行閥之開關,而進行處理室201內之真空排氣及真空排氣停止;進而依使真空泵246作動之狀態,根據由壓力感應器245所檢測出之壓力資料進行閥開度調節,藉此可調整處理室201內之壓力。主要由排氣管231、APC閥244、壓力感應器245構成排氣系統。又,亦可將真空泵246視為含於排氣系統中。排氣管231並不限定於設置於反應管203的情況,亦可與噴嘴249a同樣地設於歧管209。The reaction tube 203 is provided with an exhaust pipe 231 serving as an exhaust part for exhausting the environment in the processing chamber 201 . In the exhaust pipe 231, through the pressure sensor 245 as a pressure detector (pressure detection part) for detecting the pressure in the processing chamber 201, and through the APC (Auto Pressure Controller) as the exhaust valve (pressure adjustment part), it is automatically pressure controller) valve 244, connected to a vacuum pump 246 serving as a vacuum exhaust. The APC valve 244 is configured to: open and close the valve according to the state in which the vacuum pump 246 is activated, so as to perform vacuum evacuation and stop of the vacuum evacuation in the processing chamber 201; The detected pressure data is used to adjust the valve opening, whereby the pressure in the processing chamber 201 can be adjusted. The exhaust system is mainly composed of the exhaust pipe 231 , the APC valve 244 , and the pressure sensor 245 . Also, the vacuum pump 246 can also be considered to be included in the exhaust system. The exhaust pipe 231 is not limited to being provided in the reaction tube 203, and may be provided in the manifold 209 similarly to the nozzle 249a.

於歧管209下方,設有可將岐管209下端開口氣密地閉塞之作為爐口蓋體的密封蓋219。密封蓋219係構成為對歧管209之下端由垂直方向下側抵接。密封蓋219係由例如SUS等金屬材所構成,並形成為圓盤狀。於密封蓋219上面,設有與岐管209下端抵接之作為密封構件的O型環220b。於密封蓋219之與處理室201相反側,設置使後述晶舟217旋轉的旋轉機構267。旋轉機構267之旋轉軸255係貫通密封蓋219而連接至晶舟217。旋轉機構267係構成為藉由使晶舟217旋轉而使晶圓200旋轉。密封蓋219係構成為藉由垂直設置於反應管203外部之作為升降機構的晶舟升降器115而於垂直方向升降。晶舟升降器115係構成為藉由使密封蓋219升降,而可將晶舟217於處理室201內外進行搬入及搬出。晶舟升降器115係構成為將晶舟217、亦即晶圓200於處理室201內外進行搬送的搬送裝置(搬送機構)。又,於歧管209下方,設置在藉由晶舟升降器115使密封蓋219下降的期間,可將歧管209之下端開口氣密地閉塞之作為爐口蓋體的擋門219s。擋門219s係由例如SUS等金屬所構成,並形成為圓盤狀。於擋門219s上面,設有與岐管209下端抵接之作為密封構件的O型環220c。擋門219s之開關動作(升降動作或旋動動作等)係由擋門開關機構115s所控制。Below the manifold 209, there is provided a sealing cover 219 that can airtightly close the opening at the lower end of the manifold 209 as a furnace mouth cover. The sealing cover 219 is configured to abut the lower end of the manifold 209 from the lower side in the vertical direction. The sealing cover 219 is made of, for example, a metal material such as SUS, and is formed in a disk shape. On the upper surface of the sealing cover 219, there is provided an O-ring 220b as a sealing member which is in contact with the lower end of the manifold 209. On the side of the sealing cover 219 opposite to the processing chamber 201, a rotation mechanism 267 for rotating the wafer boat 217 described later is provided. The rotating shaft 255 of the rotating mechanism 267 penetrates through the sealing cover 219 and is connected to the wafer boat 217 . The rotation mechanism 267 is configured to rotate the wafer 200 by rotating the wafer boat 217 . The sealing cover 219 is configured to be raised and lowered in the vertical direction by the boat lifter 115 which is vertically disposed outside the reaction tube 203 as a lifting mechanism. The boat lifter 115 is configured to move the wafer boat 217 in and out of the processing chamber 201 by raising and lowering the sealing cover 219 . The boat lifter 115 is configured as a transfer device (transfer mechanism) that transfers the wafer boat 217 , that is, the wafers 200 inside and outside the processing chamber 201 . In addition, below the manifold 209, a shutter 219s serving as a furnace mouth cover is provided to airtightly close the opening at the lower end of the manifold 209 while the sealing cover 219 is lowered by the boat lifter 115. The shutter 219s is made of metal such as SUS, and is formed in a disk shape. On the upper surface of the shutter 219s, there is provided an O-ring 220c as a sealing member which is in contact with the lower end of the manifold 209. The opening and closing actions (elevating action or rotating action, etc.) of the door 219s are controlled by the door opening and closing mechanism 115s.

(基板支撐具) 如圖1所示,作為基板支撐具之晶舟217係構成為使複數片、例如25~200片晶圓200以水平姿勢、且以彼此的中心對齊之狀態,於垂直方向上整齊排列而多段地支撐,亦即,隔著既定間隔而配列。晶舟217係由例如石英或SiC等耐熱性材料所構成。於晶舟217之下部係使例如以石英或SiC等耐熱性材料所構成之隔熱板218多段地支撐著。(substrate support) As shown in FIG. 1 , the wafer boat 217 serving as a substrate supporter is configured such that a plurality of wafers 200 , for example, 25 to 200 wafers 200 are arranged in a vertical direction in a state where their centers are aligned with each other in a horizontal posture. ground support, that is, arranged at predetermined intervals. The wafer boat 217 is made of a heat-resistant material such as quartz or SiC. In the lower part of the wafer boat 217, a heat insulating plate 218 made of a heat-resistant material such as quartz or SiC is supported in multiple stages.

如圖1所示,於反應管203內部,設置有作為溫度檢測器之溫度感應器263。根據藉由溫度感應器263檢測出之溫度資訊而調整對加熱器207之通電狀況,使處理室201內之溫度成為所需之溫度分佈。溫度感應器263與噴嘴249a同樣地沿著反應管203的內壁設置。As shown in FIG. 1 , inside the reaction tube 203, a temperature sensor 263 serving as a temperature detector is provided. According to the temperature information detected by the temperature sensor 263, the energization state of the heater 207 is adjusted so that the temperature in the processing chamber 201 becomes a desired temperature distribution. The temperature sensor 263 is provided along the inner wall of the reaction tube 203 similarly to the nozzle 249a.

(控制裝置) 接著使用圖4說明控制裝置。如圖4所示般,屬於控制部(控制裝置)之控制器121係構成為具備CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶裝置121c、I/O埠121d的電腦。RAM 121b、記憶裝置121c、I/O埠121d係構成為經由內部匯流排121e而可與CPU 121a進行資料交換。於控制器121係連接有例如構成為觸控面板等之輸出入裝置122。(control device) Next, the control device will be described with reference to FIG. 4 . As shown in FIG. 4, the controller 121 belonging to the control unit (control device) is configured as a computer including a CPU (Central Processing Unit) 121a, a RAM (Random Access Memory) 121b, a memory device 121c, and an I/O port 121d. The RAM 121b, the memory device 121c, and the I/O port 121d are configured so that data can be exchanged with the CPU 121a via the internal bus 121e. An input/output device 122 configured as a touch panel or the like is connected to the controller 121 .

記憶裝置121c係由例如快閃記憶體、HDD(Hard Disk Drive)等所構成。於記憶裝置121c內可讀取地儲存有控制基板處理裝置之動作的控制程式,或記載有後述成膜處理之手續或條件等的製程配方(recipe)等。製程配方係以將後述各種處理(成膜處理)中各手續藉控制器121執行,而可獲得既定之結果之方式組合者,作為程式而發揮機能。以下,亦將製程配方或控制程式等總稱、簡稱為程式。又,有時亦將製程配方簡稱為配方。本說明書中於使用程式一語的情況,係指僅含配方單體的情況、僅含控制程式單體的情況、或含有此等之兩者的情況。RAM121b係構成為使藉由CPU 121a讀出之程式或數據等暫時地保存之記憶區域(工作區域)。The memory device 121c is constituted by, for example, a flash memory, an HDD (Hard Disk Drive), or the like. In the memory device 121c, a control program for controlling the operation of the substrate processing apparatus, or a recipe for describing the procedures and conditions of the film-forming process to be described later are stored in a readable manner. The process recipe functions as a program by combining each procedure of the various processes (film forming process) described later by the controller 121 so that a predetermined result can be obtained. Hereinafter, process recipes, control programs, etc., are also collectively referred to as programs for short. Also, the process recipe is sometimes simply referred to as a recipe. In this specification, when the term "program" is used, it refers to the case of containing only the formulation monomer, the case of containing only the control program monomer, or the case of containing both of these. The RAM 121b is configured as a memory area (work area) in which programs, data, and the like read out by the CPU 121a are temporarily stored.

I/O埠121d係連接於上述MFC241a~241d、閥243a~243d、壓力感應器245、APC閥244、真空泵246、加熱器207、溫度感應器263、整合器272、高頻電源273、旋轉機構267、晶舟升降器115、擋門開關機構115s等。The I/O port 121d is connected to the MFCs 241a to 241d, the valves 243a to 243d, the pressure sensor 245, the APC valve 244, the vacuum pump 246, the heater 207, the temperature sensor 263, the integrator 272, the high-frequency power supply 273, and the rotating mechanism 267. Crystal boat lifter 115, door-stop switch mechanism 115s, etc.

CPU121a係構成為自記憶裝置121c讀取控制程式並執行,且配合自輸出入裝置122之操作指令之輸入等由記憶裝置121c讀取配方。CPU 121a係構成為依照讀取之配方的內容控制:旋轉機構267之控制、利用MFC 241a~241d進行之各種氣體的流量調整動作、閥243a~243d的開關動作、基於阻抗監測之高頻電源273的調整動作、APC閥244之開關動作及基於壓力感應器245而利用APC閥244進行之壓力調整動作、真空泵246的啟動及停止、基於溫度感應器263之加熱器207的溫度調整動作、利用旋轉機構267進行之晶舟217的正反旋轉、旋轉角度及旋轉速度調節動作、利用晶舟升降機115進行之晶舟217的升降動作、利用高頻電源273及外部電極300進行之電漿生成等。The CPU 121a is configured to read the control program from the memory device 121c and execute it, and read the recipe from the memory device 121c in accordance with the input of the operation command from the I/O device 122 and the like. The CPU 121a is configured to control according to the contents of the read recipe: the control of the rotation mechanism 267, the flow rate adjustment operation of various gases by the MFCs 241a to 241d, the switching operation of the valves 243a to 243d, and the high frequency power supply 273 based on impedance monitoring. adjustment operation, opening and closing operation of the APC valve 244, and pressure adjustment operation using the APC valve 244 by the pressure sensor 245, start and stop of the vacuum pump 246, temperature adjustment operation of the heater 207 by the temperature sensor 263, and rotation The mechanism 267 performs forward and reverse rotation of the boat 217, adjustment of the rotation angle and rotation speed, the lifting and lowering of the boat 217 by the boat lift 115, and the plasma generation by the high frequency power supply 273 and the external electrode 300.

控制器121係可藉由將由外部記憶裝置(例如硬碟等磁碟、CD等光碟、MO等磁光碟、USB記憶體等半導體記憶體)123所儲存之上述程式安裝到電腦中而構成。記憶裝置121c或外部記憶裝置123係構成為可被電腦讀取之記錄媒體。以下,亦將此等總稱、簡稱為記錄媒體。本說明書中於使用記錄媒體一語的情況,係指僅含記憶裝置121c單體的情況、僅含外部記憶裝置123單體的情況、或含有此等之兩者的情況。尚且,對電腦之程式提供,亦可不使用外部記憶裝置123,而使用網路或專用線路等通訊手段進行。The controller 121 can be configured by installing the above-mentioned program stored in an external memory device (eg, a magnetic disk such as a hard disk, an optical disk such as a CD, a magneto-optical disk such as MO, and a semiconductor memory such as a USB memory) 123 into a computer. The memory device 121c or the external memory device 123 is constituted as a recording medium that can be read by a computer. Hereinafter, these are also collectively referred to or simply referred to as recording media. In this specification, when the term recording medium is used, it refers to the case of containing only the memory device 121c alone, the case of containing only the external memory device 123 alone, or the case of containing both of these. Furthermore, the program provision to the computer may be performed without using the external memory device 123, but using a communication means such as a network or a dedicated line.

(2)基板處理步驟 接著,使用基板處理裝置,作為半導體裝置的製造步驟之一步驟,針對於晶圓200上形成薄膜的步驟,參照圖5進行說明。以下的說明中,構成基板處理裝置之各部的動作係藉由控制器121所控制。(2) Substrate processing step Next, a step of forming a thin film on the wafer 200 as one of the steps of manufacturing a semiconductor device using a substrate processing apparatus will be described with reference to FIG. 5 . In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 121 .

於此,針對藉由將供給DCS氣體作為原料氣體之步驟、供給經電漿激發之NH3 氣體作為反應氣體之步驟非同時、亦即非同期地進行既定次數(1次以上),於晶圓200上形成氮化矽膜(SiN膜)作為含Si及N之膜的例子進行說明。又,例如於晶圓200上亦可事先形成既定膜。又,於晶圓200或既定膜上亦可事先形成既定圖案。Here, the step of supplying the DCS gas as the raw material gas and the step of supplying the plasma-excited NH 3 gas as the reaction gas are performed asynchronously, that is, asynchronously for a predetermined number of times (more than once) on the wafer 200 . A silicon nitride film (SiN film) formed thereon will be described as an example of a film containing Si and N. In addition, for example, a predetermined film may be formed on the wafer 200 in advance. In addition, a predetermined pattern may be formed in advance on the wafer 200 or a predetermined film.

本說明書中,為了方便亦如以下般表示圖5所示成膜處理之製程流程。 (DCS→NH3 )×n => SiNIn this specification, for convenience, the process flow of the film forming treatment shown in FIG. 5 is also shown as follows. (DCS→NH 3 * )×n => SiN

本說明書中於使用「晶圓」一語的情況,係有意指晶圓本身的情況、或意指晶圓與其表面所形成之既定之層或膜之積層體的情況。本說明書中於使用「晶圓表面」一語的情況,係有意指晶圓本身之表面的情況、或指晶圓上所形成之既定之層等之表面的情況。本說明書中於記載了「於晶圓上形成既定之層」的情況,係有意指於晶圓本身之表面上直接形成既定之層的情況、或意指對晶圓上所形成之層等之上形成既定之層的情況。本說明書中使用「基板」一語的情況,亦與使用「晶圓」一詞的情況具有相同意義。When the term "wafer" is used in this specification, it is intended to refer to the wafer itself, or to a laminate of a predetermined layer or film formed on the wafer and its surface. When the term "wafer surface" is used in this specification, it is intended to refer to the surface of the wafer itself, or to the surface of a predetermined layer or the like formed on the wafer. In this specification, "a predetermined layer is formed on a wafer" is described, which means that a predetermined layer is directly formed on the surface of the wafer itself, or that a predetermined layer is formed on the wafer itself. The situation in which a predetermined layer is formed on it. When the term "substrate" is used in this specification, it has the same meaning as when the term "wafer" is used.

(搬入步驟:S1) 將複數片之晶圓200裝填(晶圓充填)於晶舟217,藉由擋門開關機構115s使擋門219s移動,使歧管209之下端開口開放(擋門開放)。其後,如圖1所示般,支持著複數片之晶圓200的晶舟217,係被晶舟升降機115上舉並搬入至處理室201內(晶舟裝載)。於此狀態下,密封蓋219係經由O型環220b使岐管209之下端成為密封之狀態。(Moving in step: S1) A plurality of wafers 200 are loaded (wafer filling) on the wafer boat 217, and the shutter 219s is moved by the shutter switch mechanism 115s, so that the lower end opening of the manifold 209 is opened (the shutter is open). Thereafter, as shown in FIG. 1 , the wafer boat 217 supporting the plurality of wafers 200 is lifted up by the wafer boat lift 115 and carried into the processing chamber 201 (wafer loading). In this state, the lower end of the manifold 209 is sealed by the sealing cover 219 via the O-ring 220b.

(壓力、溫度調整步驟:S2) 以使處理室201內部、亦即晶圓200所存在之空間成為所需壓力(真空度)之方式,藉由真空幫浦246進行真空排氣(減壓排氣)。此時,處理室201內之壓力係藉由壓力感應器245所測定,根據所測定之壓力資訊回饋控制APC閥244。真空泵246係至少在後述成膜步驟結束為止之期間維持經常作動的狀態。(Pressure and temperature adjustment step: S2) The inside of the processing chamber 201 , that is, the space where the wafer 200 exists, is evacuated (decompressed exhaust) by the vacuum pump 246 so that the required pressure (vacuum degree) is achieved. At this time, the pressure in the processing chamber 201 is measured by the pressure sensor 245, and the APC valve 244 is fed back and controlled according to the measured pressure information. The vacuum pump 246 is kept in a state of constant operation at least until the film forming step described later is completed.

又,以使處理室201內之晶圓200成為所需溫度之方式,藉由加熱器207加熱。此時,依處理室201內成為所需溫度分佈之方式,根據溫度感應器263所檢測出之溫度資訊,回饋控制對加熱器207的通電程度。藉由加熱器207進行之處理室201內的加熱係至少在後述成膜步驟結束為止之期間持續進行。其中,在成膜步驟為於室溫以下之溫度條件下進行時,亦可不藉由加熱器207進行處理室201內之加熱。又,在進行僅於此種溫度下之處理時,則不需要加熱器207,亦可不將加熱器207設置於基板處理裝置。此時,可使基板處理裝置之構成簡單化。 接著,開始利用旋轉機構267進行晶舟217及晶圓200之旋轉。利用旋轉機構267進行之晶舟217及晶圓200的旋轉係至少在成膜步驟結束為止之期間持續進行。Furthermore, the wafer 200 in the processing chamber 201 is heated by the heater 207 so that the desired temperature is obtained. At this time, according to the desired temperature distribution in the processing chamber 201 , according to the temperature information detected by the temperature sensor 263 , the degree of energization to the heater 207 is feedback-controlled. The heating in the processing chamber 201 by the heater 207 is continued at least until the film forming step described later is completed. Here, when the film forming step is performed at a temperature below room temperature, the heating in the processing chamber 201 may not be performed by the heater 207 . In addition, when performing processing only at such a temperature, the heater 207 is not required, and the heater 207 may not be provided in the substrate processing apparatus. In this case, the configuration of the substrate processing apparatus can be simplified. Next, the rotation of the boat 217 and the wafer 200 is started by the rotation mechanism 267 . The rotation of the wafer boat 217 and the wafer 200 by the rotation mechanism 267 is continued at least until the film formation step is completed.

(原料氣體供給步驟:S3、S4) 於步驟S3,對處理室201內之晶圓200供給DCS氣體。打開閥243a,於氣體供給管232a內流通DCS氣體。DCS氣體係藉由MFC241a進行流量調整,經由噴嘴249a由氣體供給孔250a供給至處理室201內,並由排氣管231被排氣。在此同時,打開閥243c,於氣體供給管232c內流通N2 氣體。N2 氣體係藉由MFC241c進行流量調整,與DCS氣體一起供給至處理室201內,並由排氣管231被排氣。(Raw material gas supply step: S3, S4) In step S3, DCS gas is supplied to the wafer 200 in the processing chamber 201. The valve 243a was opened, and the DCS gas was circulated in the gas supply pipe 232a. The flow rate of the DCS gas system is adjusted by the MFC 241 a , supplied into the processing chamber 201 from the gas supply hole 250 a through the nozzle 249 a , and exhausted from the exhaust pipe 231 . At the same time, the valve 243c was opened, and N 2 gas was circulated in the gas supply pipe 232c. The flow rate of the N 2 gas system is adjusted by the MFC 241 c , is supplied into the processing chamber 201 together with the DCS gas, and is exhausted through the exhaust pipe 231 .

又,為了抑制DCS氣體侵入至配管249b內,打開閥243d,於氣體供給管232d內流通N2 氣體。N2 氣體係經由氣體供給管232b、配管249b供給至處理室201內,並由排氣管231被排氣。Moreover, in order to suppress the intrusion of DCS gas into the piping 249b, the valve 243d was opened, and N 2 gas was circulated in the gas supply pipe 232d. The N 2 gas system is supplied into the processing chamber 201 via the gas supply pipe 232 b and the piping 249 b , and is exhausted by the exhaust pipe 231 .

由MFC241a所控制之DCS氣體的供給流量,係設為例如1sccm以上、6000sccm以下,較佳為3000sccm以上、5000sccm以下之範圍內的流量。藉由MFC241c、241d所控制之N2 氣體的供給流量,係分別設為例如100sccm以上、10000sccm以下之範圍內的流量。處理室201內之壓力係設為例如1Pa以上、2666Pa以下,較佳為665Pa以上、1333Pa以下之範圍內的壓力。晶圓200曝露於DCS氣體的時間,設為係例如每1周期為20秒左右之時間。又,晶圓200曝露於DCS氣體的時間係視膜厚而異。The supply flow rate of the DCS gas controlled by the MFC241a is, for example, 1 sccm or more and 6000 sccm or less, preferably a flow rate in the range of 3000 sccm or more and 5000 sccm or less. The supply flow rate of the N 2 gas controlled by the MFCs 241c and 241d is, for example, a flow rate within a range of 100 sccm or more and 10000 sccm or less, respectively. The pressure in the processing chamber 201 is, for example, 1 Pa or more and 2666 Pa or less, preferably 665 Pa or more and 1333 Pa or less. The time during which the wafer 200 is exposed to the DCS gas is, for example, about 20 seconds per cycle. In addition, the time during which the wafer 200 is exposed to the DCS gas varies depending on the film thickness.

加熱器207之溫度係設定為使晶圓200之溫度成為例如0℃以上且700℃以下、較佳為室溫(25℃)以上且550℃、更佳為40℃以上且500℃以下之範圍內的溫度。如本實施形態,藉由將晶圓200之溫度設為700℃以下、進而550℃以下、進而500℃以下,可使施加至晶圓200的熱量減低,可良好地進行晶圓200所接受之熱履歷的控制。The temperature of the heater 207 is set so that the temperature of the wafer 200 is, for example, 0° C. or higher and 700° C. or lower, preferably room temperature (25° C.) or higher and 550° C., more preferably 40° C. or higher and 500° C. or lower. temperature inside. As in the present embodiment, by setting the temperature of the wafer 200 to be 700° C. or lower, further 550° C. or lower, and further 500° C. or lower, the amount of heat applied to the wafer 200 can be reduced, and the wafer 200 can be satisfactorily received. Control of thermal history.

藉由於上述條件下對晶圓200供給DCS氣體,於晶圓200(表面之基底膜)上,形成含Si層。含Si層係除了Si層之外,可含有Cl或H。含Si層係藉由於晶圓200之最表面使DCS物理吸附,或使DCS經部分分解之物質化學吸附,或DCS發生熱分解而使Si堆積等所形成。亦即,含Si層可為DCS或DCS經部分分解之物質的吸附層(物理吸附層或化學吸附層),亦可為Si之堆積層(Si層)。By supplying DCS gas to the wafer 200 under the above conditions, a Si-containing layer is formed on the wafer 200 (base film on the surface). The Si-containing layer may contain Cl or H in addition to the Si layer. The Si-containing layer is formed by physical adsorption of DCS on the outermost surface of the wafer 200 , chemical adsorption of a partially decomposed substance of DCS, or thermal decomposition of DCS to deposit Si. That is, the Si-containing layer may be an adsorption layer (physical adsorption layer or chemical adsorption layer) of DCS or a substance in which DCS is partially decomposed, or may be an accumulation layer (Si layer) of Si.

形成含Si層後,關閉閥243a,停止對處理室201內的DCS氣體供給。此時,維持APC閥244打開,藉由真空泵246將處理室201內進行真空排氣,將殘留於處理室201內之未反應或用於形成含Si層後之DCS氣體或反應副產物等自處理室201內排除(S4)。又,維持將閥243c、243d開啟,維持將N2 氣體供給至處理室201內。N2 氣體係作用為沖洗氣體。又,亦可省略此步驟S4。After the Si-containing layer is formed, the valve 243 a is closed, and the supply of the DCS gas to the processing chamber 201 is stopped. At this time, the APC valve 244 is kept open, the processing chamber 201 is evacuated by the vacuum pump 246, and the unreacted DCS gas or reaction by-products remaining in the processing chamber 201 or used for forming the Si-containing layer are removed from the processing chamber 201. The inside of the processing chamber 201 is removed (S4). In addition, the valves 243c and 243d are kept open, and the N 2 gas is kept supplied into the processing chamber 201 . N 2 gas system acts as a flushing gas. In addition, this step S4 may be omitted.

作為原料氣體,係除了DCS氣體之外,可適合使用肆二甲胺基矽烷(Si[N(CH3 )2 ]4 ,簡稱:4DMAS)氣體、參二甲胺基矽烷(Si[N(CH3 )2 ]3 H,簡稱:3DMAS)氣體、雙二甲胺基矽烷(Si[N(CH3 )2 ]2 H2 ,簡稱:BDMAS)氣體、雙二乙胺基矽烷(Si[N(C2 H5 )2 ]2 H2 ,簡稱:BDEAS)氣體、雙第三丁基胺基矽烷(SiH2 [NH(C4 H9 )]2 ,簡稱:BTBAS)氣體、二甲胺基矽烷(DMAS)氣體、二乙胺基矽烷(DEAS)氣體、二丙胺基矽烷(DPAS)氣體、二異丙胺基矽烷(DIPAS)氣體、丁胺基矽烷(BAS)氣體、六甲基二矽氮烷(HMDS)氣體等各種胺基矽烷原料氣體,或單氯矽烷(SiH3 Cl,簡稱MCS)氣體、三氯矽烷(SiHCl3 ,簡稱:TCS)氣體、四氯矽烷(SiCl4 ,簡稱:STC)氣體、六氯二矽烷(Si2 Cl6 ,簡稱:HCDS)氣體、八氯三矽烷(Si3 Cl8 ,簡稱:OCTS)氣體等之無機系鹵矽烷原料氣體,或 單矽烷(SiH4 ,簡稱:MS)氣體、二矽烷(Si2 H6 ,簡稱:DS)氣體、三矽烷(Si3 H8 ,簡稱:TS)氣體等之不含鹵基之無機系矽烷原料氣體。As the raw material gas, in addition to DCS gas, tetra-dimethylaminosilane (Si[N(CH 3 ) 2 ] 4 , abbreviated as 4DMAS) gas, tetra-dimethylaminosilane (Si[N(CH 3 ) 2 ] 4 gas, 3 ) 2 ] 3 H, abbreviation: 3DMAS) gas, bis-dimethylaminosilane (Si[N(CH 3 ) 2 ] 2 H 2 , abbreviation: BDMAS) gas, bis-diethylamino silane (Si[N( C 2 H 5 ) 2 ] 2 H 2 , abbreviation: BDEAS) gas, bis-tert-butylaminosilane (SiH 2 [NH(C 4 H 9 )] 2 , abbreviation: BTBAS) gas, dimethylamino silane (DMAS) gas, diethylaminosilane (DEAS) gas, dipropylaminosilane (DPAS) gas, diisopropylaminosilane (DIPAS) gas, butylaminosilane (BAS) gas, hexamethyldisilazane (HMDS) gas and other various amino silane raw materials, or monochlorosilane (SiH 3 Cl, referred to as MCS) gas, trichlorosilane (SiHCl 3 , referred to as: TCS) gas, tetrachlorosilane (SiCl 4 , referred to as: STC) Gas, hexachlorodisilane (Si 2 Cl 6 , abbreviated: HCDS) gas, octachlorotrisilane (Si 3 Cl 8 , abbreviated: OCTS) gas and other inorganic halosilane raw materials, or monosilane (SiH 4 , abbreviated as: OCTS) gas : MS) gas, disilane (Si 2 H 6 , abbreviated: DS) gas, trisilane (Si 3 H 8 , abbreviated: TS) gas and other inorganic silane raw material gases that do not contain halogen groups.

作為惰性氣體,除了N2 氣體之外,可使用Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。As the inert gas, in addition to N 2 gas, rare gases such as Ar gas, He gas, Ne gas, and Xe gas can be used.

(反應氣體供給步驟:S5、S6) 成膜處理結束後,對處理室201內之晶圓200供給作為反應氣體之經電漿激發的NH3 氣體(S5)。(Reactive Gas Supply Step: S5, S6) After the film formation process is completed, plasma-excited NH 3 gas is supplied as a reactive gas to the wafer 200 in the processing chamber 201 ( S5 ).

於此步驟,係依與步驟S3中之閥243a、243c、243d之開關控制相同的手續,進行閥243b~243d之開關控制。NH3 氣體係藉由MFC241b進行流量調整,經由配管249b供給至緩衝室237c內。此時,對外部電極300供給高頻電力。供給至緩衝室237c內的NH3 氣體被激發為電漿狀態(進行電漿化而活性化),作為活性種(NH3 *)供給至處理室201內,並由排氣管231被排氣。In this step, the on-off control of the valves 243b to 243d is performed according to the same procedure as the on-off control of the valves 243a, 243c, and 243d in step S3. The flow rate of the NH 3 gas system is adjusted by the MFC 241b, and is supplied into the buffer chamber 237c through the piping 249b. At this time, high-frequency power is supplied to the external electrode 300 . The NH 3 gas supplied into the buffer chamber 237 c is excited into a plasma state (is activated by plasmaization), is supplied into the processing chamber 201 as an active species (NH 3 *), and is exhausted from the exhaust pipe 231 .

由MFC241b所控制之NH3 氣體的供給流量,係設為例如100sccm以上、10000sccm以下,較佳為1000sccm以上、2000sccm以下之範圍內的流量。施加於外部電極300之高頻電力係設為例如50W以上、600W以下之範圍內的電力。處理室201內之壓力係設為例如1Pa以上、500Pa以下之範圍內的壓力。藉由使用電漿,即使處理室201內之壓力為此種較低壓力帶,仍可使NH3 氣體活性化。將藉由使NH3 氣體進行電漿激發而得之活性種對晶圓200進行供給的時間、亦即氣體供給時間(照射時間)係設為例如1秒以上、180秒以下,較佳為1秒以上、60秒以下之範圍內的時間。其他處理條件係設為與上述S3相同的處理條件。 The supply flow rate of the NH 3 gas controlled by the MFC241b is, for example, 100 sccm or more and 10,000 sccm or less, preferably 1,000 sccm or more and 2,000 sccm or less. The high-frequency electric power applied to the external electrode 300 is, for example, electric power in the range of 50 W or more and 600 W or less. The pressure in the processing chamber 201 is, for example, a pressure within a range of 1 Pa or more and 500 Pa or less. By using the plasma, the NH 3 gas can be activated even if the pressure in the processing chamber 201 is in such a lower pressure band. The time for supplying the active species obtained by plasma excitation of the NH 3 gas to the wafer 200 , that is, the gas supply time (irradiation time) is, for example, 1 second or more and 180 seconds or less, preferably 1 Time within the range of seconds or more and less than 60 seconds. The other processing conditions are the same as those of the above-mentioned S3.

藉由於上述條件下對晶圓200供給NH3 氣體,使形成於晶圓200上之含Si層被電漿氮化。此時,藉由經電漿激發之NH3 氣體之能量,使含Si層所具有之Si-Cl鍵、Si-H鍵被切斷。經切開了與Si間之鍵結的Cl、H將由含Si層脫離。然後,藉由Cl等脫離,成為具有未鍵結基(懸鍵)的含Si層中之Si係與NH3 氣體所含之N鍵結,形成Si-N鍵。藉由此反應進行,使含Si層變化(改質)為含有Si及N的層、亦即氮化矽層(SiN層)。 By supplying NH 3 gas to the wafer 200 under the above conditions, the Si-containing layer formed on the wafer 200 is plasma nitrided. At this time, the Si-Cl bond and the Si-H bond of the Si-containing layer are cut by the energy of the NH 3 gas excited by the plasma. The Cl and H that have broken the bond with Si will be released from the Si-containing layer. Then, by desorption of Cl or the like, the Si system in the Si-containing layer having an unbonded group (dangling bond) is bonded to N included in the NH 3 gas, thereby forming a Si-N bond. By this reaction, the Si-containing layer is changed (modified) into a layer containing Si and N, that is, a silicon nitride layer (SiN layer).

尚且,在使含Si層改質為SiN層時,需要使NH3 氣體電漿激發而供給。此係由於即使將NH3 氣體於非電漿環境下供給,於上述溫度帶,用於使含Si層氮化之必要能量不足,難以使Cl或H充分地由含Si層脫離、或難以使含Si層充分地氮化而使Si-N鍵結增加。Furthermore, when the Si-containing layer is reformed into a SiN layer, it is necessary to excite and supply NH 3 gas plasma. This is because, even if the NH 3 gas is supplied in a non-plasma environment, the necessary energy for nitriding the Si-containing layer is insufficient in the above-mentioned temperature range, and it is difficult to sufficiently desorb Cl or H from the Si-containing layer, or it is difficult to The Si-containing layer is sufficiently nitrided to increase Si-N bonding.

使含Si層變化為SiN層後,關閉閥243b,停止NH3 氣體之供給。又,停止對外部電極300的高頻電力之供給。然後,藉由與步驟S4相同的處理手續、處理條件,將殘留於處理室201內之NH3 氣體或反應副產物由處理室201內排除(S6)。又,亦可省略此步驟S6。After the Si-containing layer is changed to a SiN layer, the valve 243b is closed, and the supply of the NH 3 gas is stopped. In addition, the supply of high-frequency power to the external electrodes 300 is stopped. Then, NH 3 gas or reaction by-products remaining in the processing chamber 201 are removed from the processing chamber 201 by the same processing procedure and processing conditions as in step S4 ( S6 ). In addition, this step S6 may be omitted.

作為氮化劑、亦即被電漿激發之含N氣體,除了NH3 氣體之外,亦可使用二亞胺(N2 H2 )氣體、聯氨(N2 H4 )氣體、N3 H8 氣體等。As the nitriding agent, that is, the N-containing gas excited by the plasma, in addition to the NH 3 gas, diimine (N 2 H 2 ) gas, hydrazine (N 2 H 4 ) gas, N 3 H gas can also be used 8 Gas etc.

作為惰性氣體,除了N2 氣體之外,可使用例如步驟S4所例示之各種稀有氣體。As the inert gas, in addition to the N 2 gas, various rare gases such as those exemplified in step S4 can be used.

(實施既定次數:S7) 藉由將上述S3、S4、S5、S6依此順序非同時、亦即非同期地進行者設為1周期,將此周期進行既定次數(n次)、亦即1次以上(S7),可於晶圓200上形成既定組成及既定膜厚之SiN膜。上述周期較佳係重複複數次。亦即,較佳係使每周期所形成之SiN層之厚度小於所需膜厚,重複上述周期複數次直到藉由SiN層積層所形成之SiN膜之膜厚成為所需膜厚為止。(Predetermined number of implementations: S7) By setting the above-mentioned S3, S4, S5, and S6 to be performed non-simultaneously, that is, asynchronously in this order, as one cycle, and performing this cycle a predetermined number of times (n times), that is, one or more times (S7), it can be A SiN film with a predetermined composition and a predetermined thickness is formed on the wafer 200 . The above cycle is preferably repeated a plurality of times. That is, it is preferable that the thickness of the SiN layer formed in each cycle is smaller than the desired film thickness, and the cycle is repeated several times until the thickness of the SiN film formed by the SiN lamination layer becomes the desired film thickness.

(大氣壓恢復步驟:S8) 上述成膜處理結束後,分別由氣體供給管232c、232d將作為惰性氣體之N2 氣體供給至處理室201內,並由排氣管231排氣。藉此,以惰性氣體沖洗處理室201內,將殘留於處理室201內之氣體等由處理室201內去除(惰性氣體沖洗)。其後,將處理室201內之環境置換為惰性氣體(惰性氣體置換),處理室201內之壓力恢復為常壓(S8)。(Atmospheric pressure recovery step: S8) After the above-mentioned film forming process is completed, N 2 gas as an inert gas is supplied into the processing chamber 201 from the gas supply pipes 232 c and 232 d respectively, and the exhaust pipe 231 exhausts the gas. Thereby, the inside of the processing chamber 201 is flushed with the inert gas, and the gas etc. remaining in the processing chamber 201 are removed from the processing chamber 201 (inert gas flushing). After that, the environment in the processing chamber 201 is replaced with an inert gas (inert gas replacement), and the pressure in the processing chamber 201 is returned to normal pressure (S8).

(搬出步驟:S9) 其後,藉由晶舟升降器115使密封蓋219下降,使岐管209之下端開口,並將處理完畢之晶圓200依被晶舟217支持之狀態從岐管209之下端搬出至反應管203的外部(晶舟卸載)(S9)。晶舟卸載後,使擋門219s移動,將岐管209之下端開口經由O型環220c藉由擋門219s密封(擋門關閉)。處理完畢之晶圓200被搬出至反應管203之外部後,由晶舟217取出(晶圓卸除)。尚且,晶圓卸除後,亦可將空的晶舟217搬入至處理室201內。(moving out step: S9) Then, the sealing cover 219 is lowered by the boat lifter 115 to open the lower end of the manifold 209, and the processed wafer 200 is carried out from the lower end of the manifold 209 to the reaction tube in the state supported by the boat 217. 203 outside (wafer unloading) (S9). After the boat is unloaded, the shutter 219s is moved, and the lower end opening of the manifold 209 is sealed by the shutter 219s through the O-ring 220c (the shutter is closed). After the processed wafer 200 is carried out to the outside of the reaction tube 203 , it is taken out from the wafer boat 217 (wafer unloading). Furthermore, after the wafers are unloaded, the empty wafer boat 217 can also be carried into the processing chamber 201 .

(3)本實施形態之效果 根據本實施形態,可獲得以下所示1種或複數種效果。(3) Effects of the present embodiment According to the present embodiment, one or more of the following effects can be obtained.

(a)藉由將外部電極設置於與將處理氣體進行電漿化之電漿生成部所形成位置相對應的反應管之外周,可藉由此外部電極將供給至反應管內之處理氣體利用電漿進行活性化,將此經活性化之處理氣體供給至基板。(a) By disposing the external electrode on the outer periphery of the reaction tube corresponding to the position where the plasma generating portion for plasmaizing the process gas is formed, the process gas supplied into the reaction tube can be utilized by the external electrode The plasma is activated, and the activated process gas is supplied to the substrate.

(b)外部電極係設置於電漿生成部所形成之位置、且對電漿生成部供給氣體之氣體供給部除外的位置,藉此可防止氣體供給部內之電漿形成。(b) The external electrode is provided at the position where the plasma generating portion is formed and at a position excluding the gas supplying portion that supplies gas to the plasma generating portion, thereby preventing the formation of plasma in the gas supplying portion.

(c)沿著反應管之內壁設置緩衝室,於與緩衝室所設置之位置相對應的反應管之外周設置形成電漿的外部電極,藉由此外部電極將供給至緩衝室內之處理氣體以電漿進行活性化,將此經活性化之處理氣體供給至基板,藉此可於緩衝室內形成高效率之電漿,可對基板供給依高效率所形成的電漿活性種氣體。(c) A buffer chamber is arranged along the inner wall of the reaction tube, and an outer electrode for forming plasma is arranged on the outer periphery of the reaction tube corresponding to the position where the buffer chamber is arranged, and the process gas supplied into the buffer chamber is supplied by the outer electrode through the outer electrode. By activating with plasma and supplying the activated process gas to the substrate, high-efficiency plasma can be formed in the buffer chamber, and the high-efficiency plasma-active species gas can be supplied to the substrate.

(d)藉由使將經活性化之處理氣體供給至基板的處理氣體供給口朝向基板中央、於基板水平方向複數設置,可增加經電漿化之氣體對基板中央的供給量。(d) The supply amount of plasmatized gas to the center of the substrate can be increased by arranging a plurality of process gas supply ports for supplying the activated process gas to the substrate toward the center of the substrate and in the horizontal direction of the substrate.

(e)於形成緩衝室之緩衝構造中,設有區隔板,藉由此區隔板區隔為處理氣體導入區與作為緩衝室之電漿區,可將處理氣體導入區與電漿區分離。(e) In the buffer structure forming the buffer chamber, a partition plate is provided, and by this partition plate, the processing gas introduction area and the plasma area serving as the buffer chamber are partitioned, so that the processing gas introduction area and the plasma area can be separated. separation.

(f)藉由於區隔板設置由處理氣體導入區對電漿區供給氣體的氣體供給孔,可依處理氣體導入區與電漿區分離之狀態,將處理氣體供給至電漿區。(f) Since the partition plate is provided with gas supply holes for supplying gas from the processing gas introduction area to the plasma area, the processing gas can be supplied to the plasma area according to the separation state of the processing gas introduction area and the plasma area.

(g)於區隔板係設有對電漿區供給處理氣體的複數孔,由處理氣體導入區之下部供給處理氣體,並由複數孔對電漿區供給處理氣體,藉此可使緩衝室內之氣體濃度均勻化。(g) The partition plate is provided with a plurality of holes for supplying the processing gas to the plasma region, the processing gas is supplied from the lower part of the processing gas introduction region, and the processing gas is supplied to the plasma region through the plurality of holes, so that the buffer chamber can be The gas concentration is homogenized.

(h)藉由於與處理氣體導入區所設置之位置相對應的反應管之外周未設置外部電極,可防止處理氣體導入區內之電漿形成。(h) Since no external electrode is provided on the outer periphery of the reaction tube corresponding to the position where the processing gas introduction area is provided, the formation of plasma in the processing gas introduction area can be prevented.

(i)藉由於與電漿區所設置之位置相對應的反應管之外周設置外部電極,可於電漿區內形成電漿。(i) Plasma can be formed in the plasma region by arranging the outer electrodes on the outer periphery of the reaction tube corresponding to the position where the plasma region is arranged.

(j)緩衝構造係在由處理室側之內壁之上部涵括至下部的部分,沿著基板之積載方向設置,並設有對處理室內供給經電漿化之氣體的氣體供給口,藉此可使處理室內之氣體濃度均勻化。(j) The buffer structure is provided in the part from the upper part to the lower part of the inner wall on the side of the processing chamber, and is provided along the stacking direction of the substrates. This can homogenize the gas concentration in the processing chamber.

(k)藉由於俯視下,包夾著原料氣供給噴嘴設置緩衝室,並於與各緩衝室所設置之位置相對應的反應管之外周設置形成電漿的外部電極,可擴大電漿區。(k) Plasma region can be enlarged by arranging buffer chambers sandwiching the feed gas supply nozzle in plan view, and arranging plasma-forming external electrodes on the outer periphery of the reaction tube corresponding to the positions where each buffer chamber is installed.

以上具體說明了本發明實施形態。然而,本發明並不限定於上述實施形態,在不脫離其要旨之範圍內可進行各種變更。The embodiments of the present invention have been specifically described above. However, the present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the gist of the present invention.

例如於上述實施形態中,說明了於供給了原料後再供給反應氣體的例子。本發明並不限定於此種態樣,原料、反應氣體之供給順序亦可為相反。亦即,亦可於供給了反應氣體後再供給原料。藉由改變供給順序,可改變所形成之膜的膜質或組成比。For example, in the above-mentioned embodiment, the example in which the reaction gas is supplied after the supply of the raw material has been described. The present invention is not limited to this aspect, and the supply order of the raw materials and the reaction gas may be reversed. That is, you may supply a raw material after supplying a reaction gas. By changing the supply sequence, the film quality or composition ratio of the formed film can be changed.

上述實施形態等中,係針對於晶圓200上形成SiN膜的例子進行了說明。本發明並不限定於此種態樣,亦可適合應用於在晶圓200上形成氧化矽膜(SiO膜)、氧碳化矽膜(SiOC膜)、氧碳氮化矽膜(SiOCN膜)、氧氮化矽膜(SiON膜)等之Si系氧化膜的情形,或於晶圓200上形成碳氮化矽膜(SiCN膜)、硼氮化矽膜(SiBN膜)、硼碳氮化矽膜(SiBCN膜)等之Si系氮化膜。此等情況下,作為反應氣體,除了含O氣體之外,可使用C3 H6 等含C氣體、或NH3 等含N氣體、或BCl3 等含B氣體。In the above-described embodiments and the like, the example in which the SiN film is formed on the wafer 200 has been described. The present invention is not limited to this aspect, and can also be suitably applied to the formation of a silicon oxide film (SiO film), a silicon oxycarbide film (SiOC film), a silicon oxycarbonitride film (SiOCN film), In the case of a Si-based oxide film such as a silicon oxynitride film (SiON film), or a silicon carbonitride film (SiCN film), a silicon boron nitride film (SiBN film), or a silicon boron nitride film (SiBN film) is formed on the wafer 200 Si-based nitride film such as film (SiBCN film). In these cases, in addition to O-containing gas, C-containing gas such as C 3 H 6 , N-containing gas such as NH 3 , or B-containing gas such as BCl 3 can be used as the reaction gas.

又,本發明係可適合應用於在晶圓200上形成含有鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鈮(Nb)、鋁(Al)、鉬(Mo)、鎢(W)等金屬元素的氧化膜或氮化膜、亦即金屬系氧化膜或金屬系氮化膜的情形。亦即,本發明係可適合應用於在晶圓200上形成TiO膜、TiN膜、TiOC膜、TiOCN膜、TiON膜、TiBN膜、TiBCN膜、ZrO膜、ZrN膜、ZrOC膜、ZrOCN膜、ZrON膜、ZrBN膜、ZrBCN膜、HfO膜、HfN膜、HfOC膜、HfOCN膜、HfON膜、HfBN膜、HfBCN膜、TaO膜、TaOC膜、TaOCN膜、TaON膜、TaBN膜、TaBCN膜、NbO膜、NbN膜、NbOC膜、NbOCN膜、NbON膜、NbBN膜、NbBCN膜、AlO膜、AlN膜、AlOC膜、AlOCN膜、AlON膜、AlBN膜、AlBCN膜、MoO膜、MoN膜、MoOC膜、MoOCN膜、MoON膜、MoBN膜、MoBCN膜、WO膜、WN膜、WOC膜、WOCN膜、WON膜、MWBN膜、WBCN膜等的情形。In addition, the present invention can be suitably applied to the formation on the wafer 200 containing titanium (Ti), zirconium (Zr), hafnium (Hf), tantalum (Ta), niobium (Nb), aluminum (Al), molybdenum (Mo) , in the case of an oxide film or a nitride film of a metal element such as tungsten (W), that is, a metal-based oxide film or a metal-based nitride film. That is, the present invention can be suitably applied to the formation of a TiO film, a TiN film, a TiOC film, a TiOCN film, a TiON film, a TiBN film, a TiBCN film, a ZrO film, a ZrN film, a ZrOC film, a ZrOCN film, and a ZrON film on the wafer 200 . film, ZrBN film, ZrBCN film, HfO film, HfN film, HfOC film, HfOCN film, HfON film, HfBN film, HfBCN film, TaO film, TaOC film, TaOCN film, TaON film, TaBN film, TaBCN film, NbO film, NbN film, NbOC film, NbOCN film, NbON film, NbBN film, NbBCN film, AlO film, AlN film, AlOC film, AlOCN film, AlON film, AlBN film, AlBCN film, MoO film, MoN film, MoOC film, MoOCN film , MoON film, MoBN film, MoBCN film, WO film, WN film, WOC film, WOCN film, WON film, MWBN film, WBCN film, etc.

於此等情況下,例如作為原料氣體,可使用肆(二甲胺基)鈦(Ti[N(CH3 )2 ]4 ,簡稱TDMAT)氣體、肆(乙基甲胺基)鉿(Hf[N((C2 H5 )(CH3 )]4 ,簡稱TEMAH)氣體、肆(乙基甲胺基)鉿(Zr[N((C2 H5 )(CH3 )]4 ,簡稱TEMAZ)氣體、三甲基鋁(Al(CH3 )3 ,簡稱TMA)氣體、四氯化鈦(TiCl4 )氣體、四氯化鉿(HfCl4 )氣體等。作為反應氣體可使用上述反應氣體。In these cases, for example, as the raw material gas, tetra(dimethylamino)titanium (Ti[N(CH 3 ) 2 ] 4 , abbreviated as TDMAT) gas, tetra(ethylmethylamino) hafnium (Hf[N(CH 3 ) 2 ] 4 , N((C 2 H 5 )(CH 3 )] 4 , referred to as TEMAH) gas, tetra(ethylmethylamino) hafnium (Zr[N((C 2 H 5 )(CH 3 )] 4 , referred to as TEMAZ) Gas, trimethyl aluminum (Al(CH 3 ) 3 , abbreviated as TMA) gas, titanium tetrachloride (TiCl 4 ) gas, hafnium tetrachloride (HfCl 4 ) gas, etc. The above-mentioned reactive gas can be used as the reactive gas.

亦即,本發明可適合應用於形成含有半金屬元素之半金屬系膜或含有金屬元素之金屬系膜的情形。此等成膜處理之處理手續、處理條件可設為與上述實施形態或變形例所示成膜處理相同的處理手續、處理條件。於此等情況下,可獲得與上述實施形態或變形例相同的效果。That is, the present invention can be suitably applied to the case of forming a semi-metallic film containing a semi-metal element or a metal-based film containing a metal element. The processing procedure and processing conditions of these film forming treatments can be the same processing procedures and processing conditions as those of the film forming processing shown in the above-mentioned embodiment or modification. In these cases, the same effects as those of the above-described embodiment or modification can be obtained.

成膜處理所使用之配方,較佳係配合處理內容而個別準備,經由電信通路或外部記憶裝置123事先儲存於記憶裝置121c內。然後,較佳係於開始各種處理時,CPU121a由儲存於記憶裝置121c內之複數配方中,配合處理內容適當選擇適合的配方。藉此,可藉由1台基板處理裝置而通用地且再現性佳地形成各種膜種類、組成比、膜質、膜厚的薄膜。又,可減低操作員的負擔、避免操作錯誤,並可迅速地開始各種處理。The recipe used in the film forming process is preferably prepared individually according to the content of the process, and is stored in the memory device 121 c in advance through the telecommunication channel or the external memory device 123 . Then, preferably, when various processes are started, the CPU 121a appropriately selects a suitable recipe according to the processing content from the plurality of recipes stored in the memory device 121c. Thereby, a thin film of various film types, composition ratios, film qualities, and film thicknesses can be formed universally and with high reproducibility by one substrate processing apparatus. In addition, the operator's burden can be reduced, operation errors can be avoided, and various processes can be started quickly.

上述配方並不限定於新穎作成的情況,例如亦可藉由將已安裝於基板處理裝置之既存配方變更而準備。於變更配方的情況,可將變更後之配方經由電信通路或記錄有該配方之記錄媒體,安裝至基板處理裝置。又,亦可操作既存基板處理裝置所具備之輸入裝置122,對基板處理裝置中已安裝之既存配方進行直接變更。The above-mentioned recipe is not limited to the case of novel creation, and can be prepared by, for example, changing an existing recipe already installed in a substrate processing apparatus. In the case of changing the recipe, the changed recipe can be installed in the substrate processing apparatus via a telecommunication channel or a recording medium on which the recipe is recorded. In addition, the input device 122 included in the existing substrate processing apparatus may be operated to directly change the existing recipe already installed in the substrate processing apparatus.

115:晶舟升降器 115s:擋門開關機構 121:控制器 121a:CPU 121b:RAM 121c:記憶裝置 121d:I/O埠 121e:內部匯流排 122:輸出入裝置 123:外部記憶裝置 200:晶圓(基板) 201:處理室 202:處理爐 203:反應管 207:加熱器 209:岐管 217:晶舟(基板支撐具) 218:隔熱板 219:密封蓋 219s:擋門 220a~220c:O型環 231:排氣管 232a~232d:氣體供給管 237:緩衝構造 237a:區隔板 237b:氣體導入區 237c:緩衝室 237d:氣體供給孔 241a~241d:質量流量控制器(MFC) 243a~243d:閥 244:APC閥 245:壓力感應器 246:真空泵 249a:噴嘴 249b:配管 250a:氣體供給孔 255:旋轉軸 263:溫度感應器 267:旋轉機構 272:整合器 273:高頻電源 300:外部電極 302,304:氣體供給口 306:電漿活性種115: Crystal boat lifter 115s: door stop switch mechanism 121: Controller 121a:CPU 121b:RAM 121c: Memory Devices 121d: I/O port 121e: Internal busbar 122: Input and output device 123: External memory device 200: Wafer (substrate) 201: Processing Room 202: Processing furnace 203: reaction tube 207: Heater 209: Manifold 217: Crystal boat (substrate support) 218: Insulation Board 219: sealing cover 219s: blocking the door 220a~220c: O-ring 231: exhaust pipe 232a~232d: Gas supply pipe 237: Buffer Construction 237a: Zone Separator 237b: Gas introduction area 237c: Buffer Chamber 237d: Gas supply hole 241a~241d: Mass Flow Controller (MFC) 243a~243d: Valve 244: APC valve 245: Pressure Sensor 246: Vacuum Pump 249a: Nozzle 249b: Piping 250a: Gas supply hole 255: Rotary axis 263: Temperature sensor 267: Rotary Mechanism 272: Integrator 273: High frequency power supply 300: External electrode 302,304: Gas supply port 306: Plasma Active Species

圖1係本發明實施形態中適合使用之基板處理裝置之縱型處理爐的概略構成圖,以縱剖面圖顯示處理爐部分的圖。 圖2係本發明實施形態中適合使用之基板處理裝置之縱型處理爐的概略構成圖,以圖1之A-A線剖面圖顯示處理爐部分的圖。 圖3(a)係用於說明本發明實施形態中適合使用之基板處理裝置之緩衝構造的橫剖面放大圖;(b)係用於說明本發明實施形態中適合使用之基板處理裝置之緩衝構造的概略圖。 圖4係本發明實施形態中適合使用之基板處理裝置之控制器的概略構成圖,以方塊圖顯示控制器之控制系統的圖。 圖5係表示本發明實施形態之基板處理步驟的流程圖。FIG. 1 is a schematic configuration diagram of a vertical processing furnace of a substrate processing apparatus suitable for use in an embodiment of the present invention, and is a diagram showing a part of the processing furnace in a vertical cross-sectional view. 2 is a schematic configuration diagram of a vertical processing furnace of a substrate processing apparatus suitable for use in the embodiment of the present invention, and is a diagram showing a part of the processing furnace in a cross-sectional view taken along the line A-A of FIG. 1 . 3(a) is an enlarged cross-sectional view for illustrating a buffer structure of a substrate processing apparatus suitable for use in an embodiment of the present invention; (b) is an enlarged view for illustrating a buffer structure for a substrate processing apparatus suitable for use in an embodiment of the present invention sketch map. 4 is a schematic configuration diagram of a controller of a substrate processing apparatus suitable for use in the embodiment of the present invention, and is a block diagram showing a control system of the controller. FIG. 5 is a flow chart showing a substrate processing procedure according to the embodiment of the present invention.

200:晶圓(基板) 200: Wafer (substrate)

201:處理室 201: Processing Room

203:反應管 203: reaction tube

231:排氣管 231: exhaust pipe

237:緩衝構造 237: Buffer Construction

237a:區隔板 237a: Zone Separator

237b:氣體導入區 237b: Gas introduction area

237c:緩衝室 237c: Buffer Chamber

237d:氣體供給孔 237d: Gas supply hole

249a:噴嘴 249a: Nozzle

250a:氣體供給孔 250a: Gas supply hole

300:外部電極 300: External electrode

302,304:氣體供給口 302,304: Gas supply port

306:電漿活性種 306: Plasma Active Species

Claims (18)

一種基板處理裝置,係具有: 對基板進行處理之處理室; 將複數之上述基板於垂直方向上多段保持的基板支撐具;與 電漿生成部,其設於上述處理室內,具有將氣體進行電漿化之緩衝構造、與外部電極,該外部電極係設於與設有該緩衝構造之位置對應之上述處理室之外側並生成電漿。A substrate processing device is provided with: A processing chamber for processing substrates; A substrate supporter for holding a plurality of the above-mentioned substrates in multiple stages in a vertical direction; and A plasma generating unit is provided in the processing chamber, and has a buffer structure for plasmaizing gas, and an external electrode, which is provided outside the processing chamber corresponding to the position where the buffer structure is provided, and generates a plasma plasma. 如請求項1之基板處理裝置,其中,上述外部電極係由至少1個之連接於高頻電源的第1外部電極、與至少1個之接地為基準電位的第2外部電極所構成。The substrate processing apparatus of claim 1, wherein the external electrodes are composed of at least one first external electrode connected to a high-frequency power supply and at least one second external electrode grounded as a reference potential. 如請求項2之基板處理裝置,其中,上述第1外部電極與上述第2外部電極係設於上述處理室之外側。The substrate processing apparatus according to claim 2, wherein the first external electrode and the second external electrode are provided outside the processing chamber. 如請求項2之基板處理裝置,其中,上述第1外部電極與上述第2外部電極係於上述處理室之外側依等間隔配置。The substrate processing apparatus according to claim 2, wherein the first external electrode and the second external electrode are arranged at equal intervals outside the processing chamber. 如請求項2之基板處理裝置,其中,上述第1外部電極與上述第2外部電極係由薄板所構成。The substrate processing apparatus according to claim 2, wherein the first external electrode and the second external electrode are formed of thin plates. 如請求項5之基板處理裝置,其中,上述第1外部電極與上述第2外部電極係由具有矩形形狀之上述薄板所構成,並配置於上述垂直方向。The substrate processing apparatus according to claim 5, wherein the first external electrode and the second external electrode are formed of the thin plate having a rectangular shape, and are arranged in the vertical direction. 如請求項2之基板處理裝置,其中,具備對上述基板進行加熱之加熱裝置; 上述第1外部電極與上述第2外部電極係設於上述處理室與上述加熱裝置之間。The substrate processing apparatus according to claim 2, further comprising a heating device for heating the substrate; The first external electrode and the second external electrode are provided between the processing chamber and the heating device. 如請求項2之基板處理裝置,其中,上述緩衝構造係具備供給上述氣體之氣體供給部; 上述第1外部電極與上述第2外部電極係設置於上述緩衝構造所設置之位置、且上述氣體供給部除外之位置。The substrate processing apparatus according to claim 2, wherein the buffer structure is provided with a gas supply part for supplying the gas; The said 1st external electrode and the said 2nd external electrode are provided in the position where the said buffer structure is provided, and the position except the said gas supply part. 如請求項8之基板處理裝置,其中,於上述緩衝構造中,設置緩衝室作為將上述氣體進行電漿化的區。The substrate processing apparatus according to claim 8, wherein in the buffer structure, a buffer chamber is provided as a region for plasmaizing the gas. 如請求項8之基板處理裝置,其中,於上述緩衝構造中設有區隔板,藉由該區隔板區隔為上述氣體供給部與上述緩衝室。The substrate processing apparatus of claim 8, wherein a partition plate is provided in the buffer structure, and the gas supply portion and the buffer chamber are partitioned by the partition plate. 如請求項10之基板處理裝置,其中,於上述區隔板,設置由上述氣體供給部對上述緩衝室供給上述氣體的氣體供給孔。The substrate processing apparatus according to claim 10, wherein the partition plate is provided with a gas supply hole for supplying the gas to the buffer chamber from the gas supply portion. 如請求項10之基板處理裝置,其中,於上述區隔板,使上述氣體供給孔於上述垂直方向上複數設置。The substrate processing apparatus according to claim 10, wherein in the partition plate, the gas supply holes are provided in plural in the vertical direction. 如請求項1之基板處理裝置,其中,上述緩衝構造係在由上述處理室之內壁之上部涵括至下部的部分,沿著上述垂直方向而設置,並設有對上述處理室內供給經電漿化之上述氣體的氣體供給口。The substrate processing apparatus according to claim 1, wherein the buffer structure is provided along the vertical direction in the portion from the upper part to the lower part of the inner wall of the processing chamber, and is provided with a power supply to the processing chamber. A gas supply port for the above-mentioned gas to be slurried. 如請求項13之基板處理裝置,其中,上述氣體供給口係朝上述基板之中央而於上述基板之水平方向複數設置。The substrate processing apparatus according to claim 13, wherein the gas supply ports are provided in plural numbers in the horizontal direction of the substrate toward the center of the substrate. 如請求項1之基板處理裝置,其中,具備供給原料氣體之原料氣體供給部、與複數之上述電漿生成部; 於俯視下,使複數之上述電漿生成部包夾著通過上述處理室之中心與上述原料氣體供給部的直線而配置。The substrate processing apparatus according to claim 1, further comprising a source gas supply unit for supplying source gas, and a plurality of the above-mentioned plasma generation units; In a plan view, the plurality of the plasma generating units are arranged so as to sandwich a straight line passing through the center of the processing chamber and the source gas supply unit. 如請求項1之基板處理裝置,其中,具備對氣體進行排氣之排氣部、與複數之上述電漿生成部;於俯視下,使複數之上述電漿生成部包夾著通過上述處理室之中心與上述排氣部的直線而配置。The substrate processing apparatus according to claim 1, further comprising an exhaust unit for exhausting gas, and a plurality of the plasma generation units; and the plurality of plasma generation units pass through the processing chamber in a plan view. The center and the straight line of the above-mentioned exhaust part are arranged. 一種半導體裝置之製造方法,係具有於基板處理裝置中進行的下述步驟;該基板處理裝置具備:對基板進行處理之處理室;將複數之上述基板於垂直方向上多段保持的基板支撐具;與電漿生成部,其設於上述處理室內,具有將氣體進行電漿化之緩衝構造、與外部電極,該外部電極係設於與設有該緩衝構造之位置對應之上述處理室之外側並生成電漿; 該步驟係: 將上述基板搬入至上述處理室的步驟; 將上述氣體進行電漿化的步驟;與 對上述基板,供給經電漿化之上述氣體的步驟。A method for manufacturing a semiconductor device, comprising the following steps performed in a substrate processing apparatus; the substrate processing apparatus is provided with: a processing chamber for processing a substrate; a substrate supporter for holding a plurality of the above-mentioned substrates in multiple stages in a vertical direction; and a plasma generating unit, which is provided in the processing chamber, and has a buffer structure for plasmaizing gas, and an external electrode, which is provided on the outside of the processing chamber corresponding to the position where the buffer structure is provided. generate plasma; This step is: the step of carrying the above-mentioned substrate into the above-mentioned processing chamber; the step of subjecting the above gas to plasma; and The step of supplying the plasma-formed gas to the substrate. 一種記錄媒體,係電腦可讀取者,其記錄了藉由電腦使基板處理裝置實行下述手續的程式; 該基板處理裝置係具備:對基板進行處理之處理室;將複數之上述基板於垂直方向上多段保持的基板支撐具;與電漿生成部,其設於上述處理室內,具有將氣體進行電漿化之緩衝構造、與外部電極,該外部電極係設於與設有該緩衝構造之位置對應之上述處理室之外側並生成電漿; 該手續係: 將上述基板搬入至上述處理室的手續; 將上述氣體進行電漿化的手續;與 對上述基板,供給經電漿化之上述氣體的手續。A recording medium that is readable by a computer and records a program for causing a substrate processing apparatus to perform the following procedures by a computer; The substrate processing apparatus includes: a processing chamber for processing a substrate; a substrate supporter for holding a plurality of the substrates in multiple stages in the vertical direction; a buffer structure formed by chemical conversion, and an external electrode, the external electrode is arranged on the outside of the processing chamber corresponding to the position where the buffer structure is provided, and generates plasma; The procedure is: Procedures for carrying the above-mentioned substrate into the above-mentioned processing chamber; procedures for plasmaizing the above gas; and A procedure of supplying the above-mentioned gas that has been plasmatized to the above-mentioned substrate.
TW110106849A 2020-03-09 2021-02-26 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium TWI785510B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/JP2020/009978 2020-03-09
PCT/JP2020/009978 WO2021181450A1 (en) 2020-03-09 2020-03-09 Substrate treatment device, production method for semiconductor device, and program

Publications (2)

Publication Number Publication Date
TW202145838A true TW202145838A (en) 2021-12-01
TWI785510B TWI785510B (en) 2022-12-01

Family

ID=77671255

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110106849A TWI785510B (en) 2020-03-09 2021-02-26 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium

Country Status (2)

Country Link
TW (1) TWI785510B (en)
WO (1) WO2021181450A1 (en)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3957549B2 (en) * 2002-04-05 2007-08-15 株式会社日立国際電気 Substrate processing equipment
KR100829327B1 (en) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and reaction tube
JP4020306B2 (en) * 2002-10-07 2007-12-12 株式会社日立国際電気 Substrate processing equipment
JP4857849B2 (en) * 2006-03-24 2012-01-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5136574B2 (en) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5743488B2 (en) * 2010-10-26 2015-07-01 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
JPWO2018163399A1 (en) * 2017-03-10 2019-11-07 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program

Also Published As

Publication number Publication date
TWI785510B (en) 2022-12-01
WO2021181450A1 (en) 2021-09-16

Similar Documents

Publication Publication Date Title
US11469083B2 (en) Plasma generating device, substrate processing apparatus, and method of manufacturing semiconductor device
JP6086942B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
TWI789573B (en) Manufacturing method of semiconductor device, substrate processing device, and recording medium
WO2020053960A1 (en) Substrate-processing device, method for manufacturing semiconductor device, and program
WO2019181603A1 (en) Substrate treatment device, method for manufacturing semiconductor device, and program
TWI785510B (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
TWI798819B (en) Substrate processing apparatus, method and program for manufacturing semiconductor device
JP7431210B2 (en) Substrate processing equipment, plasma generation equipment, semiconductor device manufacturing method, plasma generation method and program
US11961715B2 (en) Substrate processing apparatus, substrate retainer and method of manufacturing semiconductor device
WO2022201242A1 (en) Electrodes, substrate treatment device, method for manufacturing semiconductor device, and program
TW202218075A (en) Substrate-processing device, method for manufacturing semiconductor device, program, auxiliary plate, and substrate holder
JP2022118471A (en) Substrate processing device, electrode, and manufacturing method of semiconductor device
JP2023140468A (en) Electrode, substrate processing device, and semiconductor device manufacturing method
TW202118894A (en) Substrate processing device, plasma generation device, semiconductor device production method, and program