TW202145513A - 記憶體元件 - Google Patents
記憶體元件 Download PDFInfo
- Publication number
- TW202145513A TW202145513A TW110118387A TW110118387A TW202145513A TW 202145513 A TW202145513 A TW 202145513A TW 110118387 A TW110118387 A TW 110118387A TW 110118387 A TW110118387 A TW 110118387A TW 202145513 A TW202145513 A TW 202145513A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- dielectric
- ferroelectric
- conductive
- layers
- Prior art date
Links
- 239000000758 substrate Substances 0.000 claims abstract description 27
- 239000002131 composite material Substances 0.000 claims abstract description 23
- 230000000149 penetrating effect Effects 0.000 claims abstract description 8
- 239000010410 layer Substances 0.000 description 572
- 230000015654 memory Effects 0.000 description 98
- 239000003989 dielectric material Substances 0.000 description 85
- 238000000034 method Methods 0.000 description 70
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 64
- 239000000463 material Substances 0.000 description 58
- 230000008569 process Effects 0.000 description 46
- 229910052757 nitrogen Inorganic materials 0.000 description 33
- 238000002955 isolation Methods 0.000 description 28
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 23
- 239000004065 semiconductor Substances 0.000 description 23
- 238000005530 etching Methods 0.000 description 14
- 238000000151 deposition Methods 0.000 description 13
- 229910052735 hafnium Inorganic materials 0.000 description 13
- 229910052751 metal Inorganic materials 0.000 description 13
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 12
- 239000002184 metal Substances 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 239000004020 conductor Substances 0.000 description 10
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 10
- 239000005360 phosphosilicate glass Substances 0.000 description 10
- 230000010287 polarization Effects 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 9
- 150000001875 compounds Chemical class 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 229910052814 silicon oxide Inorganic materials 0.000 description 8
- 239000005388 borosilicate glass Substances 0.000 description 7
- 239000002019 doping agent Substances 0.000 description 7
- 230000001965 increasing effect Effects 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- -1 hafnium Chemical class 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- 229910004129 HfSiO Inorganic materials 0.000 description 5
- 230000004888 barrier function Effects 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 230000005669 field effect Effects 0.000 description 5
- 239000002356 single layer Substances 0.000 description 5
- 229910052746 lanthanum Inorganic materials 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 229910052727 yttrium Inorganic materials 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- 229910010413 TiO 2 Inorganic materials 0.000 description 3
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 3
- MIQVEZFSDIJTMW-UHFFFAOYSA-N aluminum hafnium(4+) oxygen(2-) Chemical compound [O-2].[Al+3].[Hf+4] MIQVEZFSDIJTMW-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000005350 fused silica glass Substances 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- 239000011810 insulating material Substances 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 229910052684 Cerium Inorganic materials 0.000 description 2
- 229910052688 Gadolinium Inorganic materials 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- UQSXHKLRYXJYBZ-UHFFFAOYSA-N Iron oxide Chemical compound [Fe]=O UQSXHKLRYXJYBZ-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- DBOSVWZVMLOAEU-UHFFFAOYSA-N [O-2].[Hf+4].[La+3] Chemical compound [O-2].[Hf+4].[La+3] DBOSVWZVMLOAEU-UHFFFAOYSA-N 0.000 description 2
- JQNCKQFKHZHUKA-UHFFFAOYSA-N [O-2].[Hf+4].[Zr+4].[Sr+2].[O-2].[O-2].[O-2].[O-2] Chemical compound [O-2].[Hf+4].[Zr+4].[Sr+2].[O-2].[O-2].[O-2].[O-2] JQNCKQFKHZHUKA-UHFFFAOYSA-N 0.000 description 2
- GXYAFCWSJZGTKK-UHFFFAOYSA-N [O-2].[Zr+4].[Hf+4].[Ce+3] Chemical compound [O-2].[Zr+4].[Hf+4].[Ce+3] GXYAFCWSJZGTKK-UHFFFAOYSA-N 0.000 description 2
- OBBWUOUWFVYJHI-UHFFFAOYSA-N [Zr+4].[Hf+4].[O-2].[Y+3] Chemical compound [Zr+4].[Hf+4].[O-2].[Y+3] OBBWUOUWFVYJHI-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 2
- BZCBKHYEIHSSEA-UHFFFAOYSA-N cerium(3+) hafnium(4+) oxygen(2-) Chemical compound [O-2].[Ce+3].[Hf+4] BZCBKHYEIHSSEA-UHFFFAOYSA-N 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- VQCBHWLJZDBHOS-UHFFFAOYSA-N erbium(iii) oxide Chemical compound O=[Er]O[Er]=O VQCBHWLJZDBHOS-UHFFFAOYSA-N 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910000476 molybdenum oxide Inorganic materials 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 229910052712 strontium Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- 229910013641 LiNbO 3 Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N ZrO Inorganic materials [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- 239000004964 aerogel Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 229910000416 bismuth oxide Inorganic materials 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 229910052793 cadmium Inorganic materials 0.000 description 1
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- TYIXMATWDRGMPF-UHFFFAOYSA-N dibismuth;oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[Bi+3].[Bi+3] TYIXMATWDRGMPF-UHFFFAOYSA-N 0.000 description 1
- NKZSPGSOXYXWQA-UHFFFAOYSA-N dioxido(oxo)titanium;lead(2+) Chemical compound [Pb+2].[O-][Ti]([O-])=O NKZSPGSOXYXWQA-UHFFFAOYSA-N 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- UPGIACGFGCRCEH-UHFFFAOYSA-N hafnium(4+) oxygen(2-) yttrium(3+) Chemical compound [O-2].[Y+3].[Hf+4] UPGIACGFGCRCEH-UHFFFAOYSA-N 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- ATFCOADKYSRZES-UHFFFAOYSA-N indium;oxotungsten Chemical compound [In].[W]=O ATFCOADKYSRZES-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000005527 interface trap Effects 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- QNZFKUWECYSYPS-UHFFFAOYSA-N lead zirconium Chemical compound [Zr].[Pb] QNZFKUWECYSYPS-UHFFFAOYSA-N 0.000 description 1
- GQYHUHYESMUTHG-UHFFFAOYSA-N lithium niobate Chemical compound [Li+].[O-][Nb](=O)=O GQYHUHYESMUTHG-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000002135 nanosheet Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- PQQKPALAQIIWST-UHFFFAOYSA-N oxomolybdenum Chemical compound [Mo]=O PQQKPALAQIIWST-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- JMOHEPRYPIIZQU-UHFFFAOYSA-N oxygen(2-);tantalum(2+) Chemical compound [O-2].[Ta+2] JMOHEPRYPIIZQU-UHFFFAOYSA-N 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- UKDIAJWKFXFVFG-UHFFFAOYSA-N potassium;oxido(dioxo)niobium Chemical compound [K+].[O-][Nb](=O)=O UKDIAJWKFXFVFG-UHFFFAOYSA-N 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- MUPJWXCPTRQOKY-UHFFFAOYSA-N sodium;niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[Na+].[Nb+5] MUPJWXCPTRQOKY-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 238000003949 trap density measurement Methods 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
- 239000011787 zinc oxide Substances 0.000 description 1
- ZVWKZXLXHLZXLS-UHFFFAOYSA-N zirconium nitride Chemical compound [Zr]#N ZVWKZXLXHLZXLS-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
- H10B51/20—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
- H01L29/4011—Multistep manufacturing processes for data storage electrodes
- H01L29/40111—Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/511—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
- H01L29/513—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/516—Insulating materials associated therewith with at least one ferroelectric layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/518—Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
- H10B51/10—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
- H10B51/30—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B51/00—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
- H10B51/40—Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Ceramic Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Semiconductor Memories (AREA)
Abstract
一種記憶體元件包括基底、層堆疊及多個複合柱結構。層堆疊設置在基底上。層堆疊包括交替堆疊的多個導電層及多個介電層。複合柱結構分別穿透過層堆疊。每一個複合柱結構包括介電柱;一對導電柱,穿透過介電柱且通過介電柱的一部分彼此電隔離;通道層,覆蓋介電柱的兩側及所述一對導電柱的兩側;鐵電層,設置在通道層與層堆疊之間;以及緩衝層,設置在通道層與鐵電層之間。
Description
本發明實施例是有關於一種記憶體元件。
半導體積體電路(integrated circuit,IC)行業已經歷了指數級增長。IC材料及設計的技術進步已催生出數代IC,其中每一代具有比上一代更小且更複雜的電路。在IC演進的過程中,在幾何大小(即,可使用製作製程產生的最小元件(或線路))已減小的同時,功能密度(即,每晶片面積內連元件的數目)一般來說已增大。此種按比例縮小的過程通常通過提高生產效率及降低相關聯的成本來提供益處。
此種按比例縮小也已增加IC處理及製造的複雜性,且為實現這些進步,IC製程及製造也需要類似的發展。舉例來說,已引入三維(three-dimensional,3D)記憶體元件來置換平面記憶體元件。然而,3D記憶體元件尚未在所有方面皆完全令人滿意,出現了應予以解決的附加問題。
本發明實施例提供一種記憶體元件包括基底、層堆疊及多個複合柱結構。層堆疊設置在基底上。層堆疊包括交替堆疊的多個導電層及多個介電層。複合柱結構分別穿透過層堆疊。每一個複合柱結構包括介電柱;一對導電柱,穿透過介電柱且通過介電柱的一部分彼此電隔離;通道層,覆蓋介電柱的兩側及一對導電柱的兩側;鐵電層,設置在通道層與層堆疊之間;以及緩衝層,設置在通道層與鐵電層之間。
以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本公開。當然,這些僅為實例且不旨在進行限制。舉例來說,在以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且還可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本公開可在各種實例中重複使用元件標號和/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例和/或配置之間的關係。
此外,為易於說明,本文中可使用例如「位於…之下(beneath)」、「位於…下方(below)」、「下部的(lower)」、「位於…上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所說明的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向之外還囊括元件在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
在各種非揮發性記憶體中,鐵電場效電晶體(ferroelectric field effect transistor,FeFET)是高密度、低功率應用的一個有前景候選。由於FeFET的場驅動式操作,FeFET具有例如非破壞性讀出、高程式設計/擦除速度及低功耗等優點。另外,FeFET因其高的可擴展性及高的互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)相容性而備受關注。為使密度更高,已提出3D垂直結構。最近已開發出3D垂直堆疊鐵電結構,且已演示所述3D垂直堆疊鐵電結構的存儲操作。一般來說,多晶矽用作通道材料。然而,多晶矽通道面臨著一些挑戰,例如極薄多晶矽通道的遷移率低且鐵電材料與多晶矽之間的介面層(interfacial layer,IL)的介電常數低。由於具有低介電常數的IL與鐵電材料的電容不匹配,因此在操作期間在IL上施加大電壓,此最終可能導致IL被擊穿,進而導致耐用性故障。另外,具有低介電常數的IL可能會進一步增強電荷捕獲,此會導致閾值電壓偏移問題,進而降低可靠性。
根據本發明的一個實施例,已提出具有氧化物半導體通道的FeFET。氧化物半導體通道由於其遷移率高且本體極薄而適合於達到快速存取速度。然而,實際上,鐵電材料與氧化物半導體通道之間仍存在薄的介面層(IL),從而發生電荷捕獲問題。即使鐵電材料與氧化物半導體通道之間不形成IL,鐵電材料與氧化物半導體通道之間的介面處仍可能會形成捕獲電荷的一些陷阱和/或缺陷,進而降低可靠性。鐵電材料與氧化物半導體通道之間的介面處的陷阱和/或缺陷可能來自未被佔據的氧空位(oxygen vacancy)、懸空鍵(dangling bond)等。
根據一些實施例,提出一種三維(3D)記憶體元件包括緩衝層設置在鐵電層與通道層之間。具有高介電常數的緩衝層能夠減少鐵電層與通道層之間的介面電荷捕獲,以相應地增強鐵電層的可切換性能,從而減小3D記憶體元件的操作電壓且增大3D記憶體元件的操作裕度。在此種情形中,相應地提高3D記憶體元件的可靠性及耐用性。
圖1是根據一些實施例的基底的剖視圖。圖2A到圖10A是根據第一實施例的形成三維(3D)記憶體元件的方法的俯視圖。圖2B到圖10B是沿著圖2A到圖10A的橫截面A-A的剖視圖。3D記憶體元件100是具有鐵電材料的3D記憶體元件,且可以是但不限於鐵電場效電晶體(FeFET)記憶體。
參考圖1,在半導體製造的前段製程(front-end-of-line,FEOL)處理中,在半導體基底102的元件區中形成多個電元件104,例如電晶體、電阻器、電容器、電感器、二極體等。舉例來說,電晶體可包括鰭式場效電晶體(fin field effect transistor,FinFET)、奈米結構電晶體(nanostructure transistor)、閘極全環繞式電晶體(gate-all-around transistor)(例如,奈米導線、奈米片等)、平面電晶體等。電晶體可通過先閘極製程(gate-first process)或後閘極製程(gate-last process)來形成。半導體基底102可以是塊狀基底(例如,經摻雜或未經摻雜的矽基底)或者是絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層。半導體基底102可包含其他半導體材料,例如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、氮化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。還可使用其他基底,例如多層基底或梯度基底。可使用半導體製造中已知或使用的任何適合的形成方法在半導體基底102中/上形成電元件104。
在形成電元件104之後,在半導體基底102之上形成內連結構以對電元件104進行連接,以形成功能電路。所述內連結構可包括多個介電層(例如106、108)及形成在介電層中的導電特徵105(例如,通孔、金屬線)。在一些實施例中,內連結構是在半導體製造的後段製程(back-end-of-line,BEOL)處理中形成。內連結構的形成在本技術中是已知的,因此在此不再贅述。為避免混亂且便於論述,在後文中的論述中,半導體基底102、電元件104及位於半導體基底102之上的內連結構被統稱為下伏結構101,且後續的圖中可省略圖1中所說明的下伏結構101的細節。
圖2A到圖10C繪示出根據第一實施例的用於形成3D記憶體元件100的BEOL處理中的附加處理步驟。現在參考圖2A及圖2B,在下伏結構101上形成層堆疊110。詳細來說,層堆疊110可包括交替堆疊在下伏結構101上的多個介電層112A、112B、112C及多個犧牲層114A、114B。儘管圖2B中僅說明三個介電層112A、112B、112C及兩個犧牲層114A、114B,但本公開的實施例並不僅限於此。在其他實施例中,可視需要調整介電層及犧牲層的數目。舉例來說,介電層的數目可大於4層,且犧牲層的數目可大於4層。在後文中,介電層112A、112B、112C被統稱為介電層112,且犧牲層114A、114B被統稱為犧牲層114。
在一些實施例中,介電層112可包含介電材料,例如氮化矽、氧化矽、氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)等或其組合。介電層112可通過化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)等來形成。在一些實施例中,介電層112(例如112A、112B、112C)具有相同的介電材料,例如氧化矽。然而,本公開的實施例並不僅限於此,在其他實施例中,介電層112(例如112A、112B、112C)具有不同的介電材料。
在一些實施例中,犧牲層114可包含介電材料,例如氮化矽、氧化矽、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)等或其組合。犧牲層114可通過CVD、ALD等形成。在一些實施例中,犧牲層114(例如114A、114B)具有相同的介電材料,例如氮化矽。然而,本公開的實施例並不僅限於此,在其他實施例中,犧牲層114(例如114A、114B)具有不同的介電材料。應注意,在本實施例中,犧牲層114與介電層112包含具有不同蝕刻選擇性的不同介電材料。舉例來說,犧牲層114由氮化矽形成,且介電層112由氧化矽形成。在此種情形中,可在後續的步驟中置換掉犧牲層114以形成導電層118(如圖10B所示)。
接下來,參考圖3A及圖3B,在層堆疊110中形成溝渠10以暴露出下伏結構101。也就是說,溝渠10穿透過層堆疊110,且下伏結構101外露於溝渠10的底部處。如圖3A的俯視圖中所示,溝渠10沿著Y方向延伸。另外,溝渠10暴露出介電層112的側壁及犧牲層114的側壁。注意,在本文中的論述中,層堆疊110的側壁包括所述層堆疊的所有構成層(例如112及114)的對應側壁。舉例來說,外露於溝渠10的層堆疊110的側壁包括外露於溝渠10的介電層112的側壁及犧牲層114的側壁。
在一些實施例中,通過非等向性蝕刻製程(例如,電漿蝕刻製程)形成溝渠10。可在層堆疊110上形成罩幕圖案,例如經圖案化的光阻。然後,可通過使用所述罩幕圖案作為蝕刻罩幕來實行非等向性蝕刻製程,以形成溝渠10。在完成非等向性蝕刻製程之後,可通過適合的移除製程(例如,灰化或剝離)來移除罩幕圖案(例如,經圖案化的光阻)。
參考圖4A及圖4B,首先形成鐵電層120以裝襯或覆蓋溝渠10的側壁。鐵電層120可具有通過在鐵電層120兩端上施加適當的電壓差而能夠在兩個不同的極化方向之間切換的材料。舉例來說,鐵電層120的極化可由於施加所述電壓差所得到的電場而改變。
在一些實施例中,鐵電層120可包含鐵電材料(例如摻雜有La、Y、Si或Ge的HZO、HSO、HfSiO、HfLaO、HfO2
、HfZrO2
、ZrO2
或HfO2
),且可通過物理氣相沉積(Physical Vapor Deposition,PVD)、CVD、ALD等來形成。在一些替代實施例中,鐵電層120可以是高介電常數(high-k)介電材料,例如鉿(Hf)系介電材料等。舉例來說,鐵電材料220是包含鉿的化合物,例如氧化鉿鋯(HfZnO)、氧化鉿鋁(HfAlO)、氧化鉿鑭(HfLaO)、氧化鉿鈰(HfCeO)、氧化鉿(HfO)、氧化鉿釓(HfGdO)、氧化鉿矽(HfSiO)、氧化鉿鋯鑭(HfZrLaO)、氧化鉿鋯釓(HfZrGdO)、氧化鉿鋯釔(HfZrYO)、氧化鉿鋯鈰(HfZrCeO)、氧化鉿鋯鍶(HfZrSrO)等。另外,包含鉿的化合物還可摻雜有一些摻雜劑,例如La、Y、Si、Ge、Ce、Gd、Sr等或其組合。通過在包含鉿的化合物中摻雜這些摻雜劑,可在鐵電層120中實現正交晶格結構(orthorhombic lattice structure)。在一些實施例中,具有正交晶格結構的包含鉿的化合物具有所期望的鐵電性質,以實現記憶體元件中的鐵電層的可切換性能。另外,通過包含摻雜劑,鐵電層120中的正交晶格結構可相對容易地實現(例如,在較低的溫度下),且鐵電層120可在BEOL製程的相對低的熱預算內形成(例如,在不會損壞前端製程(FEOL)特徵(例如,下伏結構101中的電元件)的溫度下)。
在一些實施例中,鐵電層120通過如下方式形成:沉積鐵電材料,所述鐵電材料共形地覆蓋溝渠10的底部及側壁且還覆蓋最頂部介電層112C的上表面;且然後實行非等向性蝕刻製程以移除在溝渠10的底部上及在最頂部介電層112C的上表面上的鐵電材料,如圖4B中所示。在此種情形中,鐵電層120可具有鄰近最頂部介電層112C的修圓或彎曲的頂表面。在一些實施例中,鐵電層120可具有平整的頂表面,如圖4B中所示。
接下來,如圖4A及圖4B中所示,在溝渠10中形成緩衝層121以覆蓋鐵電層120。緩衝層121可包含不捕獲電荷的高介電常數(high-k)介電材料,例如Al2
O3
、TiO2
、ZrO2
、La2
O3
、MgO、HfZrO、HfAlO、HfLaO、HfCeO、HfO、HfGdO、HfSiO等或其組合。緩衝層121可包含介電常數大於5(例如,k=10到30)的高k介電材料。在一些實施例中,緩衝層121的介電常數低於或等於鐵電層120的介電常數。在一些替代實施例中,緩衝層121的介電常數大於鐵電層120的介電常數。可使用其他材料。在一些實施例中,其他材料包括氧化鋇鈦(BaTiO3
)、氧化鉛鈦(PbTiO3
)、氧化鉛鋯(PbZrO3
)、氧化鋰鈮(LiNbO3
)、氧化鈉鈮(NaNbO3
)、氧化鉀鈮(KNbO3
)、氧化鉀鉭(KTaO3
)、氧化鉍鈧(BiScO3
)、氧化鉍鐵(BiFeO3
)、氧化鉿鉺(Hf1-x
Erx
O)、氧化鉿鑭(Hf1-x
Lax
O)、氧化鉿釔(Hf1-x
Yx
O)、氧化鉿釓(Hf1-x
Gdx
O)、氧化鉿鋁(Hf1-x
Alx
O)、氧化鉿鈦(Hf1-x
Tix
O)、氧化鉿鉭(Hf1-x
Tax
O)等。然而,本公開的實施例並不僅限於此,在其他實施例中,在操作中不捕獲電荷的其他適合的材料也作為緩衝層121的候選。在一些實施例中,形成緩衝層121的方法包括實行適合的沉積技術,例如CVD、電漿增強CVD(Plasma Enhanced CVD,PECVD)、金屬氧化物化學氣相沉積(metal oxide chemical vapor deposition,MOCVD)、ALD、分子束沉積(molecular beam deposition,MBD)等。
在一些替代實施例中,緩衝層121是摻雜氮的介電層,且緩衝層121的氮摻雜濃度是梯度分佈。舉例來說,緩衝層121是摻雜氮的Al2
O3
層,且緩衝層121的氮摻雜濃度沿著從通道層122到鐵電層120的方向逐漸增大。另一方面,緩衝層121可以是摻雜氮的Al2
O3
層,且緩衝層121的氮摻雜濃度沿著從通道層122到鐵電層120的方向逐漸減小。緩衝層121的氮摻雜濃度可小於15%,例如3%到10%。在一些替代實施例中,當緩衝層121是摻雜氮的Al2
O3
層時,可通過沉積Al2
O3
膜並在所述Al2
O3
膜中原位摻雜氮摻雜劑或者在沉積Al2
O3
膜之後實行氮化處理來形成緩衝層121。
在一些實施例中,緩衝層121通過如下方式形成:沉積緩衝材料,所述緩衝材料共形地覆蓋溝渠10的底部、鐵電層120且還覆蓋最頂部介電層112C的上表面;且然後實行非等向性蝕刻製程以移除在溝渠10的底部上及在最頂部介電層112C的上表面上的緩衝材料。在此種情形中,緩衝層121可具有修圓或彎曲的頂表面。在一些實施例中,緩衝層121可具有平整的頂表面,如圖4B中所示。
儘管圖4B中說明具有單層結構的緩衝層121,但本公開的實施例並不僅限於此。在其他實施例中,具有雙層結構、三層結構或多層結構的緩衝層可形成在鐵電層120上,且將在與圖14A到圖14D對應的以下段落中說明細節。在一些實施例中,緩衝層121具有2 nm到5 nm(例如,3 nm)的厚度。可應用其他厚度範圍(例如,大於5 nm或6 nm到10 nm)。在一些實施例中,緩衝層121被形成為完全非晶態(fully amorphous state)。在替代實施例中,緩衝層121被形成為部分晶體狀態(partially crystalline state);即,緩衝層121被形成為混合晶體-非晶態(mixed crystalline-amorphous state)且具有某種程度的結構次序。在又一些替代實施例中,緩衝層121被形成為完全晶體狀態(fully crystalline state)。
此後,在溝渠10中形成通道層122以覆蓋緩衝層121。在一些實施例中,通道層122通過如下方式形成:沉積通道材料,所述通道材料共形地覆蓋溝渠10的底部、緩衝層121的側壁且還覆蓋最頂部介電層112C的上表面;且然後實行非等向性蝕刻製程以移除在溝渠10的底部上及在最頂部介電層112C的上表面上的通道材料。在此種情形中,通道層122可具有修圓或彎曲的頂表面。在一些實施例中,通道層122可具有平整的頂表面,如圖4B中所示。
在一些實施例中,通道層122可包含通過適合的形成方法(例如PVD、CVD、ALD等)形成的金屬氧化物(或氧化物半導體),例如氧化銦鎵鋅(IGZO)。用於鐵電層120的其他適合的材料包括氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化鎢(WO)、氧化鉭(TaO)及氧化鉬(MoO)。在示例性實施例中,介電層112由SiO2
形成,犧牲層114由SiN形成,鐵電層120由HfO2
形成,緩衝層121由摻雜氮的Al2
O3
形成,而通道層122由IGZO形成。
應注意,緩衝層121形成在鐵電層120與通道層122之間,以減少介面電荷捕獲,從而增強3D記憶體元件100的可靠性。在此種情形中,當介面陷阱密度減少時,鐵電層120的可切換性能相應地增強,從而降低3D記憶體元件100的操作電壓且增加3D記憶體元件100的操作裕度。另外,緩衝層121具有高介電常數(例如k>5),此可提高3D記憶體元件100的耐用性,從而提升3D記憶體元件100的可靠性。
在形成通道層122之後,形成介電材料以填充在溝渠10中。所述介電材料可包括氮化矽、氧化矽、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)等或其組合,且可通過適合的沉積方法(例如CVD、ALD等)來形成。在形成介電材料之後,可實行平坦化製程(例如,化學機械平坦化(chemical mechanical planarization,CMP)製程),以從最頂部介電層112C的上表面移除介電材料的多餘部分。在此種情形中,介電材料在溝渠10中的剩餘部分形成介電柱124。
參考圖5A及圖5B,在介電柱124中形成開口12及14。開口12及14可穿透過介電柱124以暴露出下伏結構101。如圖5A中所示,介電柱124的一部分將開口12與開口14彼此隔開。在一些實施例中,開口12及14是通過非等向性蝕刻製程(例如,電漿蝕刻製程)形成。可在層堆疊110上形成罩幕圖案,例如經圖案化的光阻。然後,可通過使用罩幕圖案作為蝕刻罩幕來實行非等向性蝕刻製程以形成開口12及14。在完成非等向性蝕刻製程之後,可通過適合的移除製程(例如,灰化或剝離)移除罩幕圖案(例如,經圖案化的光阻)。在一些實施例中,開口12及14可在同一步驟中形成或者相繼地形成。
參考圖6A及圖6B,形成導電材料以填充在開口12及14中。在形成導電材料之後,可實行平坦化製程(例如,CMP製程),以從最頂部介電層112C的上表面移除導電材料的多餘部分。因此,導電材料在開口12及14中的剩餘部分分別形成導電柱123及125。在一些實施例中,導電柱123及125可由相同的源極/汲極(source/drain,S/D)材料(例如W、Ru、Ti、TiN、TaN等)形成。在此種情形中,導電柱123可被稱為源極金屬層,且導電柱125可被稱為汲極金屬層,反之亦然。
參考圖7A及圖7B,形成穿透過介電柱124的隔離結構127。具體來說,如圖7A中所示,隔離結構127進一步延伸以切斷通道層122,從而界定具有一對源極/汲極(S/D)區123及125的記憶單元。即,一個隔離結構127設置在所述一對S/D區123及125的一側處(即,靠近S/D區123),且另一隔離結構127設置在所述一對S/D區123及125的另一側處(即,靠近S/D區125)。從記憶體陣列的角度來看,隔離結構127設置在鄰近的成對S/D區123與S/D區125之間。
在一些實施例中,隔離結構127通過如下方式形成:蝕刻部分介電柱124及部分通道層122以形成暴露出下伏結構101的開口;在所述開口中形成絕緣材料;及實行平坦化製程(例如CMP製程)以從最頂部介電層112C的上表面移除所述絕緣材料的多餘部分。在一些實施例中,絕緣材料包括氧化矽、氮化矽、氮氧化矽、原矽酸四乙酯(tetraethylorthosilicate,TEOS)氧化物、未經摻雜的矽酸鹽玻璃或經摻雜的氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融的二氧化矽玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(PSG)、摻雜硼的矽玻璃(boron doped silicon glass,BSG)、低k介電材料、其他適合的介電材料或其組合。例示性低k介電材料包括FSG、摻雜碳的氧化矽、黑金剛石®(加州的聖克拉拉應用材料(Applied Materials of Santa Clara))、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶質氟化碳、聚對二甲苯(Parylene)、苯並環丁烯(BCB)、SiLK™(密西根米德蘭市的陶氏化學公司(Dow Chemical))、聚醯亞胺、其他低k介電材料或其組合。在本文中,在鄰近的記憶單元之間的隔離結構127中使用的低k介電材料能夠減小鄰近的記憶單元之間的串擾或耦合干擾,從而提升3D記憶體元件100的性能及可靠性。
在鄰近的記憶單元之間形成隔離結構127之後,隨後通過置換製程以將犧牲層114置換成導電層118,此將在圖8A到圖10A及圖8B到圖10B中詳細地闡述。
參考圖8A及圖8B,在層堆疊110中形成狹縫16。在所說明的實施例中,狹縫16穿透過層堆疊110且暴露出下伏結構101。在另一實施例中,狹縫16延伸穿過層堆疊110的一些層而不是所有層。舉例來說,狹縫16可延伸穿過所有犧牲層114且暴露出最底部介電層112A。在一些實施例中,可通過使用可接受的微影及蝕刻技術(例如,使用對層堆疊110具有選擇性的蝕刻製程(例如,蝕刻介電層112的材料及犧牲層114的材料的速率比蝕刻下伏結構101的材料的速率更快))來形成狹縫16。蝕刻可以是任何可接受的蝕刻製程,且在一些實施例中可與用於形成關於圖3A及圖3B所論述的溝渠10的蝕刻類似。
在一些實施例中,狹縫16設置在鐵電層120(或溝渠10)旁邊,且在圖8A的俯視圖中沿著Y方向延伸。然而,本公開的實施例並不僅限於此,在其他實施例中,在俯視圖中狹縫16可沿著X方向延伸。另外,狹縫16的寬度16w可小於溝渠10的寬度10w,以節約水準晶片面積。儘管圖8A的俯視圖中所說明的狹縫16的形狀是直線形狀,但本公開的實施例並不僅限於此。在替代實施例中,在俯視圖中狹縫16的形狀可以是彼此隔開且分佈在鄰近的記憶單元之間的多個點或島狀區。在本文中,狹縫的形狀及位置並不僅限於此,只要狹縫可用於後續的置換製程即可。
參考圖9A及圖9B,移除犧牲層114以在介電層112之間形成多個間隙18。在一些實施例中,用於移除犧牲層114的方法包括濕式蝕刻製程或等向性蝕刻製程。由於下伏結構101、介電層112及鐵電層120可相對於犧牲層114具有足夠的蝕刻選擇性,因此可在此濕式蝕刻製程或等向性蝕刻製程期間選擇性地移除犧牲層114。如圖9B中所示,在移除犧牲層114之後,當前間隙18暴露出介電層112的表面及鐵電層120的表面。另外,由於由鐵電層120、緩衝層121、通道層122、介電柱124及S/D區123、125構成的複合結構129連接到介電層112,因此複合結構129可為介電層112提供支撐,且防止介電層112在犧牲層114被移除之後塌陷。此外,環繞具有記憶體陣列的陣列區的週邊區還具有未被所述置換製程移除的犧牲層114的一些部分。因此,除複合結構129之外,週邊區中的犧牲層114的一些部分也提供進一步支撐以防止陣列區中的介電層112塌陷。
參考圖10A及圖10B,在鄰近的介電層112之間的間隙18中形成多個導電層118(包括118A、118B),以實現3D記憶體元件100。在一些實施例中,通過如下方式形成導電層118:沉積導電材料以填充在間隙18中,覆蓋最頂部介電層112C的上表面且覆蓋狹縫16的側壁;且移除導電材料的覆蓋最頂部介電層112C的上表面及狹縫16的側壁的一部分。導電材料的剩餘部分填充到間隙18中以形成導電層118。導電材料可包括銅、鎢、鈷、鋁、氮化鎢、釕、銀、金、銠、鉬、鎳、鎘、鋅、其合金、其組合等,且可通過適合的沉積方法(例如CVD、PVD、ALD、鍍覆等)來形成。在一些替代實施例中,可在導電層118與鄰近的介電層112之間形成阻障層,以防止導電層118的金屬元素擴散到鄰近的介電層112。阻障層還可提供增大導電層118與鄰近的介電層112之間的粘合性的功能,且在一些實例中可被稱為膠層。阻障層可包含金屬氮化物,例如氮化鈦、氮化鉭、氮化鉬、氮化鋯、氮化鉿等。在一些其他實施例中,阻障層與導電層118具有不同的導電材料。舉例來說,導電層118由鎢製成,且阻障層由氮化鈦製成。
圖10C繪示出沿著圖10B的橫截面B-B的平面圖。如圖10C中所示,圖10C中的虛線框繪示出3D記憶體元件100的記憶單元140。3D記憶體元件100可包括多個此種記憶單元。詳細來說,記憶單元140包括一對導電柱123及125、通道層122、緩衝層121、鐵電層120及導電層118。通道層122設置在導電柱123及125的同一側上。緩衝層121設置在通道層122上。鐵電層120設置在緩衝層121與導電層118之間。在此實施例中,導電層118可被稱為控制閘極(在後文中被稱為控制閘極118),且導電柱123及125可被稱為S/D區(在後文中被稱為S/D區123及125)。由於鐵電層120設置在控制閘極118與S/D區123及125之間,因此記憶單元140可被稱為鐵電場效電晶體(FeFET)記憶單元。
在一些實施例中,可通過記憶單元140的電晶體的控制閘極118及S/D區123及125來對記憶單元140進行程式設計(例如,寫入和/或讀取)。
為對特定的記憶單元(例如,記憶單元140)實行寫入操作,在對應於記憶單元140的鐵電層120的一部分上施加寫入電壓。舉例來說,可通過對記憶單元140的控制閘極118施加第一電壓且對S/D區123及125施加第二電壓來施加所述寫入電壓。第一電壓與第二電壓之間的電壓差設定(set)鐵電層120的極化方向。根據鐵電層120的極化方向,記憶單元140的對應電晶體的閾值電壓VT
可從低閾值電壓VL
切換到高閾值電壓VH
,或反之亦然。電晶體的閾值電壓值(VL
或VH
)可用於指示存儲在記憶單元中的位元「0」或「1」。
為對記憶單元140實行讀取操作,對電晶體(例如,在控制閘極118與S/D區123之間)施加讀取電壓,所述讀取電壓是介於低閾值電壓VL
與高閾值電壓VH
之間的電壓。根據鐵電層120的極化方向(或電晶體的閾值電壓),可接通或可不接通記憶單元140的電晶體。因此,當例如在S/D區123處施加電壓時,電流可經過或可不經過通道層122在S/D區123與S/D區125之間流動。因此,可檢測電流以確定存儲在記憶單元中的數位位元(digital bit)。
圖11A及圖11B是根據各種實施例的3D記憶體元件的平面圖。
參考圖11A,3D記憶體元件200類似於圖10C的3D記憶體元件100,但3D記憶體元件200的隔離結構227進一步延伸以切斷緩衝層121,以使得隔離結構227可與鐵電層120接觸。在此種情形中,3D記憶體元件200的隔離結構227可提供鄰近的記憶單元之間的更好隔離。
參考圖11B,3D記憶體元件300類似於圖10C的3D記憶體元件100,但3D記憶體元件300的隔離結構327進一步延伸以切斷緩衝層121及鐵電層120,以使得隔離結構327可與導電層118A接觸。在此種情形中,3D記憶體元件300的隔離結構327可提供鄰近的記憶單元之間的更好隔離。
圖12繪示出根據一些實施例的記憶體陣列400的一部分的實例。圖13是圖12的記憶體陣列400的電路圖。在一些實施例中,記憶體陣列400是反或(NOR)記憶體陣列或架構。在以下實施例中,以NOR記憶體陣列為例進行說明,但本公開的實施例並不僅限於此。
參考圖12,記憶體陣列400包括多個記憶單元140,多個記憶單元140可排列成列及行的網格。為避免混亂,除記憶單元140之外,未以虛線框標記其他記憶單元。在一些實施例中,不同行中的記憶單元140可排列成交錯的配置,如圖12中所示。然而,本公開的實施例並不僅限於此,在其他實施例中,不同行中的記憶單元140可排列成相同或對齊的配置。另外,上述實施例中詳細說明了記憶單元140的元件及配置,且因此本文中不再贅述。
在圖12的俯視圖中,導電層118及複合結構129沿著Y方向延伸,且沿著X方向交替排列。同一行中的複合結構129可包括被隔離結構127彼此隔開的多個複合柱結構。在一些實施例中,複合柱結構中的每一者包括一對導電柱123及125。記憶體陣列400還包括設置在記憶單元140上的多條源極線130及多條位元線132。在圖12的俯視圖中,源極線130及位元線132沿著X方向延伸,且沿著Y方向交替排列。詳細來說,源極線130中的一者電連接到奇數行或偶數行中的對應導電柱123,且位元線132中的一者電連接到偶數行或奇數行中的對應導電柱125。
從圖13的電路圖的角度來看,每一記憶單元140可包括具有鐵電層120及緩衝層121的電晶體(如圖12中所示)。在一些實施例中,圖12中所示的每一記憶單元140中的導電層118可用作圖13中所示的字元線WL。字元線WL沿著Z方向排列。每一字元線WL連接在同一XY平面中的在側向上鄰近的兩行記憶單元140的閘極端子G。另一方面,記憶體陣列400的同一水平列中的記憶單元140可共用共同的字元線。在一些實施例中,字元線WL在FEOL中通過下伏結構101(圖1)的內連結構中的導電特徵105電連接到電元件104(例如,電晶體的S/D區)。在此種情形中,電元件104可控制閘極端子G的切換。另外,圖12中所示的每一記憶單元140中的導電柱123連接到沿著垂直方向Z堆疊的記憶單元140的源極端子S,如圖13中所示。類似地,圖12中所示的每一記憶單元140中的導電柱125連接到沿著垂直方向Z堆疊的記憶單元140的汲極端子D,如圖13中所示。即,堆疊的記憶單元140的源極端子S通過導電柱123連接在一起,且堆疊的記憶單元140的汲極端子D通過導電柱125連接在一起。換句話說,記憶體陣列400的同一垂直行中的記憶單元140可共用共同的源極線及共同的位元線,以使記憶體陣列400的同一垂直行中的記憶單元140的通道並聯連接。因此,同一垂直行中的堆疊記憶單元140可被視為通過NOR配置連接,且3D記憶體陣列400可被稱為3D NOR記憶體陣列。
圖14A到圖14D是根據一些替代實施例的3D記憶體元件的剖視圖。
參考圖14A,3D記憶體元件500類似於圖10B的3D記憶體元件100,但圖10B中的緩衝層121置換成具有雙層結構的緩衝層521。具體來說,緩衝層521包括與鐵電層120接觸的第一介電材料521a及與通道層122接觸的第二介電材料521b。在一些實施例中,第一介電材料521a及第二介電材料521b具有不同的介電常數。在本實施例中,第一介電材料521a是具有第一氮摻雜濃度的摻雜氮的Al2
O3
層,第二介電材料521b是具有第二氮摻雜濃度的摻雜氮的Al2
O3
層,且第一氮摻雜濃度小於第二氮摻雜濃度。第一氮摻雜濃度可處於0%至5%的範圍內,且第二氮摻雜濃度可處於5%至7%的範圍內。舉例來說,第一介電材料521a是氮摻雜濃度為4%的Al2
O3
層,且第二介電材料521b是氮摻雜濃度為6%的Al2
O3
層。此外,第一介電材料521a可以是氮摻雜濃度為0%的未經摻雜Al2
O3
層或本徵Al2
O3
層,且第二介電材料521b可以是氮摻雜濃度為5%的Al2
O3
層。在一些替代實施例中,第一介電材料521a可以是ZrO2
層,且第二介電材料521b可以是TiO2
層。
參考圖14B,3D記憶體元件500a類似於圖14A的3D記憶體元件500,但圖14A中的第一介電材料521a及第二介電材料521b彼此交換以形成圖14B的緩衝層521’。即,緩衝層521’包含與鐵電層120接觸的第二介電材料521b及與通道層122接觸的第一介電材料521a。上述實施例中詳細說明了第一介電材料521a及第二介電材料521b的材料及配置,且因此本文中不再贅述。
參考圖14C,3D記憶體元件600類似於圖10B的3D記憶體元件100,但圖10B中的緩衝層121由具有三層結構的緩衝層621置換。具體來說,緩衝層621包含與鐵電層120接觸的第一介電材料621a、與通道層122接觸的第三介電材料621c及位於第一介電材料621a與第三介電材料621c之間的第二介電材料621b。在一些實施例中,第一介電材料621a、第二介電材料621b及第三介電材料621c具有不同的介電常數。在本實施例中,第一介電材料621a是具有第一氮摻雜濃度的摻雜氮的Al2
O3
層,第二介電材料621b是具有第二氮摻雜濃度的摻雜氮的Al2
O3
層,第三介電材料621c是具有第三氮摻雜濃度的摻雜氮的Al2
O3
層,其中第一氮摻雜濃度小於第二氮摻雜濃度,且第二氮摻雜濃度小於第三氮摻雜濃度。第一氮摻雜濃度可處於0%到5%的範圍內,第二氮摻雜濃度可處於5%到7%的範圍內,且第三氮摻雜濃度可處於7%到10%的範圍內。舉例來說,第一介電材料621a是氮摻雜濃度為0%的未經摻雜Al2
O3
層或本徵Al2
O3
層,第二介電材料621b是氮摻雜濃度為5%的Al2
O3
層,且第三介電材料621c是氮摻雜濃度為10%的Al2
O3
層。在一些替代實施例中,第一介電材料621a可以是Al2
O3
層,第二介電材料621b可以是ZrO2
層,且第三介電材料621c可以是TiO2
層。
參考圖14D,3D記憶體元件600a類似於圖14C的3D記憶體元件600,但圖14C中的第一介電材料621a及第三介電材料621c彼此交換以形成圖14D的緩衝層621’。即,緩衝層621’包含與鐵電層120接觸的第三介電材料621c、與通道層122接觸的第一介電材料621a及位於第一介電材料621a與第三介電材料621c之間的第二介電材料621b。上述實施例中詳細說明了第一介電材料621a、第二介電材料621b及第三介電材料621c的材料及配置,且因此本文中不再贅述。
圖15到圖21A是根據第二實施例的形成3D記憶體元件的方法的剖視圖。圖16B是圖16A的3D記憶體元件的俯視圖。圖21B是沿著圖21A的橫截面C-C的平面圖。在一些實施例中,3D記憶體元件是反及(NAND)記憶體元件等。在以下實施例中,以NAND記憶體元件為例進行說明,但本公開的實施例並不僅限於此。
參考圖15,在下伏結構101上形成層堆疊210。上述實施例中詳細說明了下伏結構101的材料及配置,且因此本文中不再贅述。詳細來說,層堆疊210可包括交替堆疊在下伏結構101上的多個介電層212A、212B、212C、212D及多個犧牲層214A、214B、214C。儘管圖15中僅說明四個介電層212A、212B、212C、212D及三個犧牲層214A、214B、214C,但本公開的實施例並不僅限於此。在其他實施例中,可視需要調整介電層及犧牲層的數目。舉例來說,介電層的數目可以是8層、16層、32層或多於32層,且犧牲層的數目可以是8層、16層、32層或多於32層。在後文中,介電層212A、212B、212C、212D被統稱為介電層212,且犧牲層214A、214B、214C被統稱為犧牲層214。介電層212及犧牲層214的材料及形成方法類似於介電層112及犧牲層114的材料及形成方法,且在上述實施例中詳細說明,且因此本文中不再贅述。
參考圖16A及圖16B,在層堆疊210中形成開口20以暴露出下伏結構101。即,開口20穿透過層堆疊210,且下伏結構101外露於開口20的底部處。另外,開口20暴露出介電層212的側壁及犧牲層214的側壁。注意,儘管圖16A及圖16B中僅說明一個開口20,但本公開的實施例並不僅限於此。在其他實施例中,可形成穿透過層堆疊210的一個或多個開口。此外,開口20的形狀並不僅限於圓形。即,開口20的形狀可以是橢圓、矩形、多邊形或其組合。
參考圖17,形成鐵電材料220以共形地覆蓋開口20的底部及側壁,且還覆蓋最頂部介電層212D的上表面。鐵電材料220可具有通過在鐵電材料220兩端上施加適當的電壓差而能夠在兩個不同的極化方向之間切換的材料。舉例來說,鐵電材料220的極化可由於施加所述電壓差所得到的電場而發生改變。
在一些實施例中,鐵電材料220可包括摻雜有La、Y、Si或Ge的HZO、HSO、HfSiO、HfLaO、HfO2
、HfZrO2
、ZrO2
或HfO2
,且可通過PVD、CVD、ALD等形成。在一些替代實施例中,鐵電材料220可以是高k介電材料,例如鉿(Hf)系介電材料等。舉例來說,鐵電材料220是包含鉿的化合物,例如氧化鉿鋯(HfZnO)、氧化鉿鋁(HfAlO)、氧化鉿鑭(HfLaO)、氧化鉿鈰(HfCeO)、氧化鉿(HfO)、氧化鉿釓(HfGdO)、氧化鉿矽(HfSiO)、氧化鉿鋯鑭(HfZrLaO)、氧化鉿鋯釓(HfZrGdO)、氧化鉿鋯釔(HfZrYO)、氧化鉿鋯鈰(HfZrCeO)、氧化鉿鋯鍶(HfZrSrO)等。另外,包含鉿的化合物還可摻雜有一些摻雜劑,例如La、Y、Si、Ge、Ce、Gd、Sr等或其組合。通過在包含鉿的化合物中摻雜這些摻雜劑,可在鐵電材料220中實現正交晶格結構。在一些實施例中,具有正交晶格結構的包含鉿的化合物具有所期望的鐵電性質,以實現記憶體元件中的鐵電層的可切換性能。另外,通過包含摻雜劑,鐵電材料220中的正交晶格結構可相對容易地實現(例如,在較低的溫度下),且鐵電材料220可在BEOL製程的相對低的熱預算內形成(例如,在不會損壞前端製程(FEOL)特徵(例如,下伏結構101中的電元件)的溫度下)。
參考圖18A及圖18B,實行非等向性蝕刻製程以移除在開口20的底部上及在最頂部介電層212D的上表面上的鐵電材料220。在此種情形中,形成鐵電層220a以覆蓋開口20的側壁,且鐵電層220a可具有平整的頂表面220t1,如圖18A中所示。在一些替代實施例中,鐵電層220b可具有鄰近最頂部介電層212D的修圓或彎曲的頂表面220t2,如圖18B中所示。
以下製程中以圖18A的結構為例進行說明。參考圖19,在開口20中形成緩衝層221以覆蓋鐵電層220a。緩衝層221的材料及形成方法類似於緩衝層121的材料及形成方法,且在上述實施例中詳細說明,且因此本文中不再贅述。另外,緩衝層221可包括單層結構(如圖19中所示)、雙層結構(如圖14A及圖14B中所示)、三層結構(如圖14C及圖14D中所示)或多層結構。
參考圖20,在開口20中形成通道層222以覆蓋緩衝層121且還覆蓋開口20的底部。接下來,在開口20中的通道層222上形成介電柱224。在一些實施例中,通道層222及介電柱224通過如下方式形成:沉積通道材料,所述通道材料共形地覆蓋開口20的底部、緩衝層221的側壁且還覆蓋最頂部介電層212D的上表面;將介電材料填充在開口20中;實行平坦化製程(例如,CMP製程)以從最頂部介電層212D的上表面移除介電材料的多餘部分及通道材料的多餘部分。在此種情形中,通道層222在圖20的剖視圖中是U形的,以包裹介電柱224的側壁及底表面。通道層222及介電柱224的材料類似於通道層122及介電柱124的材料,且在上述實施例中詳細說明,且因此本文中不再贅述。也就是說,下伏結構101上的上覆結構可嵌置在BEOL的內連結構中。在此實施例中,通道層222可設置在內連結構中的任何兩條金屬線之間。舉例來說,通道層222的底部222b可設置在內連結構中的金屬n(Mn)上或連接到金屬n(Mn),且通道層222的頂部222t可設置在內連結構中的金屬n+1(Mn+1)下方或連接到金屬n+1(Mn+1)。
參考圖21A及圖21B,通過置換製程以將犧牲層214置換成導電層218(如圖20中所示),已在圖8A到圖10A及圖8B到圖10B中詳細闡述步驟,且因此本文中不再贅述。在實行置換製程之後,在鄰近的介電層212之間的間隙中形成導電層218(包括218A、218B、218C),以實現3D記憶體元件700。具體來說,3D記憶體元件700可包括設置在下伏結構101上的層堆疊210’、穿透過層堆疊210’的介電柱224、包裹介電柱224的通道層222、設置在通道層222與層堆疊210’之間的鐵電層220a以及設置在鐵電層220a與通道層222之間的緩衝層221。在圖21B的俯視圖中,通道層222包裹(例如圍繞)介電柱224,緩衝層221包裹通道層222,鐵電層220a包裹緩衝層221,且導電層218包裹鐵電層220a。
如圖21A中所示,圖21A中的虛線框繪示出3D記憶體元件700的記憶單元740。3D記憶體元件700可包括多個此種記憶單元。詳細來說,記憶單元740包括通道層222、緩衝層221、鐵電層220a及導電層218。緩衝層221設置在通道層222與鐵電層220a之間。鐵電層220a設置在緩衝層221與導電層218之間。在此實施例中,導電層218可被稱為控制閘極(在後文中被稱為控制閘極218)。通道層222具有頂部222t及與頂部222t相對的底部222b。通道層222的頂部222t可電連接到位元線,且通道層222的底部222b可電連接到源極線,或反之亦然。即,下伏結構101上的上覆結構可嵌置在BEOL的內連結構中。在此實施例中,通道層222可設置在內連結構中的任何兩條金屬線之間。舉例來說,通道層222的底部222b可設置在內連結構中的金屬n(Mn)上或連接到金屬n(Mn),且通道層222的頂部222t可設置在內連結構中的金屬n+1(Mn+1)下方或連接到金屬n+1(Mn+1)。由於鐵電層220a設置在控制閘極218與通道層222之間,因此記憶單元740可被稱為鐵電場效電晶體(FeFET)記憶單元。
在一些實施例中,可通過記憶單元740的電晶體的控制閘極218及通道層222來對記憶單元740進行程式設計(例如,寫入和/或讀取)。
為對特定的記憶單元(例如,記憶單元740)實行寫入操作,在對應於記憶單元740的鐵電層220a一部分上施加寫入電壓。舉例來說,可通過對記憶單元740的控制閘極218施加第一電壓且對與通道層222的頂部222t連接的位元線及與通道層222的底部222b連接的源極線施加第二電壓來施加所述寫入電壓。第一電壓與第二電壓之間的電壓差設定鐵電層220a的極化方向。根據鐵電層220a的極化方向,記憶單元740的對應電晶體的閾值電壓VT
可從低閾值電壓VL
切換到高閾值電壓VH
,或反之亦然。電晶體的閾值電壓值(VL
或VH
)可用於指示存儲在記憶單元中的位元「0」或「1」。
為對記憶單元740實行讀取操作,對電晶體(例如,在控制閘極218與和通道層222的底部222b連接的源極線之間)施加讀取電壓,所述讀取電壓是介於低閾值電壓VL
與高閾值電壓VH
之間的電壓。根據鐵電層220a的極化方向(或電晶體的閾值電壓),可接通或可不接通記憶單元740的電晶體。因此,當施加電壓時,電流可流過通道層222或可不流過通道層222。因此,可檢測電流以確定存儲在記憶單元中的數位位元。
圖22是根據第三實施例的3D記憶體元件的剖視圖。
參考圖22,3D記憶體元件800類似於圖21A的3D記憶體元件700,但圖21A中的U形通道層222被置換成分別位於介電柱224的側壁上的一對個別通道層322。在一些實施例中,通道層322通過如下方式形成:沉積通道材料,所述通道材料共形地覆蓋開口20的底部、緩衝層221的側壁且還覆蓋最頂部介電層212D的上表面;且然後實行非等向性蝕刻製程以移除在開口20的底部上及在最頂部介電層212D的上表面上的通道材料。通道層322的材料類似於通道層222的材料,且在上述實施例中詳細說明,且因此本文中不再贅述。在形成通道層322之後,在開口中形成介電柱224和/或其他隔離結構,以電隔離通道層322a與通道層322b。由於圖22的連續通道層222被劃分成兩個分離的獨立通道層322a及322b,因此3D記憶體元件800中的記憶單元的數目是3D記憶體元件700的記憶單元的數目的兩倍。圖22中的虛線框840A及840B示出形成在與圖21A中的記憶單元740對應的區中的兩個記憶單元。
圖23是根據第四實施例的3D記憶體元件的剖視圖。
參考圖23,3D記憶體元件900類似於圖22的3D記憶體元件800,但圖22中的連續鐵電層220a被置換成多個鐵電層或多個鐵電區段320A、320B及320C(被統稱為鐵電層320)。鐵電層320分別嵌置在鄰近的介電層212之間且與對應的導電層218接觸。在一些實施例中,鐵電層320中的一者及對應的導電層218處於實質同一水平高度處。在本文中,當元件被闡述為「處於實質上同一水平高度處」時,所述元件在同一層中形成在實質上相同的高度處或具有由同一層嵌置的相同位置。在一些實施例中,處於實質上同一水平高度處的元件的頂部實質上共面。舉例來說,如圖23中所示,鐵電層320A及對應的導電層218A在同一層中具有相同的高度,且鐵電層320A的頂表面或底表面與對應的導電層218A的頂表面或底表面實質上共面。
應注意,在實施例中,分離且個別的鐵電層320能夠減小鄰近的記憶單元之間的串擾或耦合干擾,從而增大3D記憶體元件900的性能及可靠性。
在一些實施例中,通過在圖15到圖19中所說明的前述製程步驟中增加附加處理步驟來形成鐵電層320。詳細來說,通過開口20在側向上蝕刻圖16A中的犧牲層214的一些部分,以使得在鄰近的介電層212之間形成多個空間。在此種情形中,犧牲層214的側壁發生凹陷,且犧牲層214的側壁不與介電層212的側壁對齊。接下來,形成鐵電材料以填充在鄰近的介電層212之間的空間中。在一些實施例中,鐵電材料不僅填充起鄰近的介電層212之間的空間,而且還覆蓋介電層212的側壁、最頂部介電層212D的上表面及開口20的底部。此後,移除鐵電材料的覆蓋介電層212的側壁、最頂部介電層212D的上表面及開口20的底部的多餘部分,以分別形成分離及獨立的鐵電層320。然後,形成緩衝層221以覆蓋介電層212及鐵電層320,如圖23中所示。
儘管圖23中所說明的通道層322沿著介電柱224的側壁呈I形,但本公開的實施例並不僅限於此。在其他實施例中,圖23的通道層可以是U形的以包裹介電柱224的側壁及底部,如圖21A中所示。另外,分離且獨立的鐵電層320可適用於圖10B中所說明的3D記憶體元件100的架構。此外,具有圖23的單層結構的緩衝層221可被置換成具有雙層結構(如圖14A及圖14B中所示)、三層結構(如圖14C及圖14D中所示)或多層結構的替代緩衝層。
圖24是根據第五實施例的3D記憶體元件的剖視圖。
參考圖24,3D記憶體元件1000類似於圖23的3D記憶體元件900,但圖23中的連續緩衝層221被置換成多個緩衝層或多個緩衝區段321A、321B及321C(被統稱為緩衝層321)。緩衝層321分別嵌置在鄰近的介電層212之間且與對應的鐵電層320接觸。在一些實施例中,緩衝層321中的一者及對應的導電層218及鐵電層320處於實質上同一水平高度處。即,如圖24中所示,緩衝層321A、對應的鐵電層320A及對應的導電層218A在同一層中具有相同的高度,且緩衝層321A的頂表面或底表面、對應的鐵電層320A的頂表面或底表面及對應的導電層218A的頂表面或底表面實質上共面。
緩衝層321的製程步驟類似於鐵電層320的製程步驟,且在上述實施例中詳細說明,且因此本文中不再贅述。在形成緩衝層321之後,形成通道層322以覆蓋介電層212及緩衝層321,如圖24中所示。儘管圖24中所說明的通道層322沿著介電柱224的側壁呈I形,但本公開的實施例並不僅限於此。在其他實施例中,圖24的通道層可以是U形的以包裹介電柱224的側壁及底部,如圖21A中所示。另外,分離且獨立的鐵電層320與緩衝層321可適用於圖10B中所說明的3D記憶體元件100的架構。此外,具有圖24的單層結構的緩衝層321可被置換成具有雙層結構(如圖14A及圖14B中所示)、三層結構(如圖14C及圖14D中所示)或多層結構的替代緩衝層。
圖25繪示出根據一些實施例的形成3D記憶體元件的方法的流程圖1200。雖然所公開的方法1200在本文中被說明及闡述為一系列動作或事件,但將瞭解,這些動作或事件的所說明次序不應被解釋具有限制意義。舉例來說,一些動作可以不同的次序發生和/或與除本文中所說明和/或所述的動作或事件之外的其他動作或事件同時發生。另外,並非所有所說明的動作皆是實施本文中所述的一個或多個方面或實施例所需的。此外,本文中所繪示的動作中的一者或多者可在一個或多個單獨的動作和/或階段中施行。圖25可說明圖2A到圖10B所公開的方法的一些實施例。
參考圖25,在方框1210處,在基底上形成層堆疊,其中所述層堆疊包括交替堆疊的多個介電層及多個犧牲層。圖2A及圖2B示出對應於與方框1210對應的一些實施例的俯視圖及剖視圖。
在方框1220處,在層堆疊中形成溝渠以穿透過層堆疊。圖3A及圖3B說明對應於與方框1220對應的一些實施例的俯視圖及剖視圖。
在方框1230處,形成鐵電層以裝襯在溝渠的側壁上。在方框1240處,在溝渠中形成緩衝層以覆蓋鐵電層。在方框1250處,在溝渠中形成通道層以覆蓋緩衝層。在方框1260處,使用介電材料填充溝渠以形成介電柱。圖4A及圖4B說明對應於與方框1230到方框1260對應的一些實施例的俯視圖及剖視圖。
在方框1270處,形成嵌置在介電柱中的一對導電柱。圖5A到圖6A及圖5B到圖6B說明對應於與方框1270對應的一些實施例的俯視圖及剖視圖。
在方框1280處,實行置換製程以將多個犧牲層置換成多個導電層。圖8A到圖10A及圖8B到圖10B說明對應於與方框1280對應的一些實施例的俯視圖及剖視圖。
根據實施例,一種記憶體元件包括基底、層堆疊及多個複合柱結構。所述層堆疊設置在所述基底上。所述層堆疊包括交替堆疊的多個導電層及多個介電層。所述複合柱結構分別穿透過所述層堆疊。每一個複合柱結構包括介電柱;一對導電柱,穿透過所述介電柱且通過所述介電柱的一部分彼此電隔離;通道層,覆蓋所述介電柱的兩側及所述一對導電柱的兩側;鐵電層,設置在所述通道層與所述層堆疊之間;以及緩衝層,設置在所述通道層與所述鐵電層之間。
在一些實施例中,所述緩衝層包含具有大於5的介電常數的介電材料。在一些實施例中,所述緩衝層包括單層結構、雙層結構、三層結構或多層結構。在一些實施例中,所述緩衝層至少包括:第一介電材料,與所述鐵電層接觸;以及第二介電材料,與所述通道層接觸,其中所述第一介電材料與所述第二介電材料具有不同的介電常數。在一些實施例中,所述緩衝層是摻雜氮的介電層,且所述緩衝層的氮摻雜濃度是梯度分佈。在一些實施例中,所述緩衝層的所述氮摻雜濃度沿著從所述鐵電層到所述通道層的方向逐漸增大。在一些實施例中,所述緩衝層的所述氮摻雜濃度沿著從所述鐵電層到所述通道層的方向逐漸減小。在一些實施例中,所述的記憶體元件,還包括多個隔離結構,所述多個隔離結構分別穿透過所述層堆疊且分別設置在所述多個複合柱結構之間以對所述多個複合柱結構進行電隔離,其中所述多個複合柱結構中的一者與位於所述多個複合柱結構中的所述一者的一側處的對應導電層構成記憶單元。在一些實施例中,在Y方向上交替排列的多個記憶單元共用同一字元線,且在Z方向上交替排列的多個記憶單元共用同一位元線及同一源極線。
根據實施例,一種記憶體元件包括:層堆疊,設置在基底上,其中所述層堆疊包括交替堆疊的多個導電層及多個介電層;介電柱,穿透過所述層堆疊;通道層,環繞所述介電柱;鐵電層,設置在所述通道層與所述層堆疊之間;以及緩衝層,設置在所述鐵電層與所述通道層之間。
在一些實施例中,所述通道層還延伸以覆蓋所述介電柱的底表面,使得所述通道層的橫截面為U形的。在一些實施例中,所述鐵電層嵌置在兩個相鄰的介電層之間並與對應的導電層接觸。在一些實施例中,所述鐵電層及所述緩衝層二者皆嵌置在兩個相鄰的介電層之間,並所述鐵電層、所述緩衝層及對應的導電層位於同一水平高度處。在一些實施例中,所述緩衝層至少包括:第一介電材料,與所述鐵電層接觸;以及第二介電材料,與所述通道層接觸,其中所述第一介電材料與所述第二介電材料具有不同的介電常數。
根據實施例,一種形成記憶體元件的方法包括:在基底上形成層堆疊,其中所述層堆疊包括交替堆疊的多個介電層及多個犧牲層;在所述層堆疊中形成溝渠以穿透過所述層堆疊;將鐵電層裝襯在所述溝渠的側壁上;在所述溝渠中形成緩衝層以覆蓋所述鐵電層;在所述溝渠中形成通道層以覆蓋所述緩衝層;使用介電材料填充所述溝渠以形成介電柱;形成嵌置在所述介電柱中的一對導電柱;以及實行置換製程,以將所述多個犧牲層置換成多個導電層。
在一些實施例中,所述多個介電層與所述多個犧牲層包含具有不同的蝕刻選擇性的材料。在一些實施例中,所述形成所述一對導電柱包括:在所述介電柱中形成彼此隔開的第一開口與第二開口,其中所述第一開口及所述第二開口暴露出部分所述基底;以及使用導電材料填充所述第一開口及所述第二開口。在一些實施例中,所述的方法,還包括:在所述介電柱中形成多個隔離結構,其中所述多個隔離結構延伸以切斷所述通道層,從而與所述緩衝層接觸。在一些實施例中,所述的方法,還包括:在所述介電柱中形成多個隔離結構,其中所述多個隔離結構延伸以切斷所述通道層及所述緩衝層,從而與所述鐵電層接觸。在一些實施例中,所述的方法,還包括:在所述介電柱中形成多個隔離結構,其中所述多個隔離結構延伸以切斷所述通道層、所述緩衝層及所述鐵電層,從而與所述多個導電層中的對應的導電層接觸。
以上概述了若干實施例的特徵,以使所屬領域的技術人員可更好地理解本公開的各個方面。所屬領域的技術人員應理解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或達成與本文中所介紹的實施例相同的優點。所屬領域的技術人員還應認識到,這些等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下在本文中作出各種改變、代替及變更。
10:溝渠
10w、16w:寬度
12、14、20:開口
16:狹縫
18:間隙
100、200、300、500、500a、600、600a、700、800、900、1000:三維(3D)記憶體元件
101:下伏結構
102:半導體基底
104:電組件
105:導電特徵
106、108、112、112A、112B、112C、212、212A、212B、212C、212D:介電層
110:層堆疊
114、114A、114B、214、214A、214B、214C:犧牲層
118、118A、118B、218、218A、218B、218C:導電層
120、320:鐵電層
121、321、521、521’、621、621’:緩衝層
122、322、322a、322b:通道層
123、125:導電柱
124、224:介電柱
127、327:隔離結構
129:複合結構
130:源極線
132:位元線
140、740:記憶單元
210、210’:層堆疊
220:鐵電材料
220a、220b:鐵電層
220t1、220t2:頂表面
221:緩衝層
222:通道層
222b:底部
222t:頂部
320A、320B、320C:鐵電層
321A、321B、321C:緩衝層
400:記憶體陣列
521a、621a:第一介電材料
521b、621b:第二介電材料
621c:第三介電材料
840A、840B:虛線框
1200:方法
1210、1220、1230、1240、1250、1260、1270、1280:方框
A-A、B-B、C-C:橫截面
WL:字元線
X、Y、Z:方向
結合附圖閱讀以下詳細說明,會最佳地理解本公開的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1是根據一些實施例的基底的剖視圖。
圖2A到圖10A是根據第一實施例的形成三維(3D)記憶體元件的方法的俯視圖。
圖2B到圖10B是沿著圖2A到圖10A的橫截面A-A的剖視圖。
圖10C是沿著圖10B的橫截面B-B的平面圖。
圖11A及圖11B是根據各種實施例的3D記憶體元件的平面圖。
圖12是根據一些實施例的記憶體陣列的俯視圖。
圖13是圖12的記憶體陣列的電路圖。
圖14A到圖14D是根據一些替代實施例的3D記憶體元件的剖視圖。
圖15到圖21A是根據第二實施例的形成3D記憶體元件的方法的剖視圖。
圖16B是圖16A的3D記憶體元件的俯視圖。
圖21B是圖21A的3D記憶體元件的俯視圖。
圖22是根據第三實施例的3D記憶體元件的剖視圖。
圖23是根據第四實施例的3D記憶體元件的剖視圖。
圖24是根據第五實施例的3D記憶體元件的剖視圖。
圖25說明根據一些實施例的形成3D記憶體元件的方法的流程圖。
100:三維(3D)記憶體元件
118、118A:導電層
120:鐵電層
121:緩衝層
122:通道層
123、125:導電柱
124:介電柱
127:隔離結構
140:記憶單元
Claims (1)
- 一種記憶體元件,包括: 層堆疊,設置在基底上,其中所述層堆疊包括交替堆疊的多個導電層與多個介電層;以及 多個複合柱結構,分別穿透過所述層堆疊,其中每一個複合柱結構包括: 介電柱; 一對導電柱,穿透過所述介電柱且通過所述介電柱的一部分彼此電隔離; 通道層,覆蓋所述介電柱的兩側及所述一對導電柱的兩側; 鐵電層,設置在所述通道層與所述層堆疊之間;以及 緩衝層,設置在所述通道層與所述鐵電層之間。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063031574P | 2020-05-29 | 2020-05-29 | |
US63/031,574 | 2020-05-29 | ||
US17/156,645 | 2021-01-25 | ||
US17/156,645 US11637126B2 (en) | 2020-05-29 | 2021-01-25 | Memory device and method of forming the same |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202145513A true TW202145513A (zh) | 2021-12-01 |
Family
ID=78065151
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110118387A TW202145513A (zh) | 2020-05-29 | 2021-05-21 | 記憶體元件 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20230209835A1 (zh) |
CN (1) | CN113517300A (zh) |
TW (1) | TW202145513A (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP4040488A1 (en) * | 2021-02-08 | 2022-08-10 | Imec VZW | Ferroelectric device based on hafnium zirconate |
-
2021
- 2021-05-21 TW TW110118387A patent/TW202145513A/zh unknown
- 2021-05-26 CN CN202110577115.7A patent/CN113517300A/zh active Pending
-
2023
- 2023-02-17 US US18/170,557 patent/US20230209835A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
CN113517300A (zh) | 2021-10-19 |
US20230209835A1 (en) | 2023-06-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11637126B2 (en) | Memory device and method of forming the same | |
US11729986B2 (en) | Ferroelectric memory device and method of forming the same | |
US11729988B2 (en) | Memory device comprising conductive pillars and method of forming the same | |
US11849587B2 (en) | Three-dimensional memory device and manufacturing method thereof | |
US11647635B2 (en) | Ferroelectric memory device and method of forming the same | |
US11695073B2 (en) | Memory array gate structures | |
US11404091B2 (en) | Memory array word line routing | |
US20220367515A1 (en) | Ferroelectric memory device and method of forming the same | |
US11647636B2 (en) | Memory devices | |
US11696444B2 (en) | Semiconductor device and method of fabrication thereof | |
US20240206185A1 (en) | Ferroelectric memory device and method of forming the same | |
US20230209835A1 (en) | Memory array | |
US20220416085A1 (en) | Memory Array Gate Structures | |
TW202218136A (zh) | 鐵電隨機存取記憶體元件及其形成方法 | |
US20230328997A1 (en) | Ferroelectric memory device and method of forming the same | |
JP7383089B2 (ja) | 半導体メモリ構造、および、その形成方法 | |
US20240081078A1 (en) | Memory device and method of forming the same |