TW202138795A - 基於網路的晶圓檢測 - Google Patents

基於網路的晶圓檢測 Download PDF

Info

Publication number
TW202138795A
TW202138795A TW109145403A TW109145403A TW202138795A TW 202138795 A TW202138795 A TW 202138795A TW 109145403 A TW109145403 A TW 109145403A TW 109145403 A TW109145403 A TW 109145403A TW 202138795 A TW202138795 A TW 202138795A
Authority
TW
Taiwan
Prior art keywords
defect
defects
layout
data
netlist
Prior art date
Application number
TW109145403A
Other languages
English (en)
Other versions
TWI846998B (zh
Inventor
安庫許 巴拉堤 歐貝瑞
拉傑許 拉梅許 薩哈尼
Original Assignee
美商賽諾西斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商賽諾西斯公司 filed Critical 美商賽諾西斯公司
Publication of TW202138795A publication Critical patent/TW202138795A/zh
Application granted granted Critical
Publication of TWI846998B publication Critical patent/TWI846998B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/323Translation or migration, e.g. logic to logic, hardware description language [HDL] translation or netlist translation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種缺陷圖可藉由合併包含一積體電路(IC)之副本之多個晶粒上之位置處的缺陷來形成。可判定與該缺陷圖中之該等缺陷重疊之佈局形狀或網路。接下來,可判定該等佈局形狀或網路之間之連接性。接著可基於該等佈局形狀或網路之間之該連接性將該等缺陷分組為缺陷群組,其中各缺陷群組包括與彼此電連接之佈局形狀或網路重疊之缺陷。

Description

基於網路的晶圓檢測
本發明係關於積體電路(IC)設計及製造。更明確言之,本發明係關於基於網路的晶圓檢測。
當基於一IC設計製造一IC時,可使用晶圓檢測來偵測IC中之缺陷(若有)。所偵測缺陷可分類為真實缺陷或假警報。一真實缺陷係導致IC出現故障或不滿足所要效能目標之缺陷,而一假警報係可忽略之缺陷,此係因為其不以任何有意義之方式影響IC之功能性或效能。假警報率係假警報之數目與所偵測缺陷之總數目之比。期望具有一低的假警報率。
隨著裝置幾何尺寸持續縮小,所偵測缺陷之總數目及假警報率急劇增加。若所偵測缺陷之數目大及假警報率高,則晶圓檢測可需要大量時間及資源。此外,真實缺陷可能遺漏,此可導致較低良率及較高製造成本。
本文中所描述之一些實施例可藉由合併包含一IC之副本之多個晶粒上之位置處的缺陷來形成一缺陷圖。接下來,該等實施例可判定與該缺陷圖中之該等缺陷重疊之佈局形狀。該等實施例可接著判定該等佈局形狀之間之連接性。明確言之,該等佈局形狀之間之該連接性可藉由跨該IC之一或多個層跟蹤該等佈局形狀之間之電連接性來判定。接下來,該等實施例可基於該等佈局形狀之間之該連接性將該等缺陷分組為缺陷群組,其中各缺陷群組包括與彼此電連接之佈局形狀重疊的缺陷。
一些實施例可形成一資料庫,該資料庫使得一IC設計之佈局資料、網表資料或佈局資料及網表資料兩者能夠基於包含該IC之一晶粒中之一缺陷的位置來查找。在此等實施例中,對於缺陷圖中之各缺陷,資料庫可用於基於該缺陷之位置查找佈局資料、網表資料或佈局資料及網表資料兩者。該等實施例可接著基於相關聯之佈局資料、網表資料或佈局資料及網表資料兩者將該等缺陷分組為缺陷群組。
一些實施例可基於該等缺陷群組中之缺陷之計數、該等缺陷之屬性、與該等缺陷相關聯之佈局資料,及/或與該等缺陷相關聯之網表資料來優先化及/或選擇缺陷群組。
明確言之,一些實施例可選擇包含超過一臨限值數目個缺陷的缺陷群組。一些實施例可選擇具有具大於一臨限值尺寸之一尺寸之至少一個缺陷的缺陷群組。一些實施例可選擇與關鍵網路相關聯之缺陷群組。一些實施例可選擇與具有小於一臨限值寬度之一寬度之網路相關聯之缺陷群組。
相關申請案
本申請案主張2019年12月23日申請之美國臨時申請案第62/952,758號之權利,出於所有目的,該案之全部內容以引用之方式併入本文中。
本發明之態樣係關於基於網路的晶圓檢測。在晶圓檢測期間,所偵測及需要處理之缺陷數目已顯著增加。此外,假警報率亦已增加。
在本發明中,術語「缺陷」可指代在一晶圓上之一印刷圖案與一設計意圖之間之一偏差(其大於一容限量),且該偏差藉由一晶圓檢測工具偵測。在半導體製造期間,一晶圓經歷多個處理階段。一或多個處理階段後之結果可使用晶圓檢測工具檢測。晶圓檢測工具可藉由使用光學或電子束技術擷取晶圓之一影像,且接著使用影像處理技術以偵測缺陷。在晶圓檢測期間,若一印刷形狀與對應設計意圖(其描述期望印刷在晶圓上之形狀)之間之所觀測偏差大於一容限,則可偵測到一缺陷。舉例而言,若在設計意圖中兩個佈局形狀之間本應存在一空間,且晶圓檢測工具偵測到在對應印刷形狀之間不存在空間,則晶圓檢測工具可指示在預期空間之位置處存在一缺陷。
缺陷之數目及假警報率之增加係歸因於縮小的幾何結構及晶圓檢測工具之物理限制。舉例而言,在N22製程科技節點下,假警報率通常小於50%,但對於N5製程科技節點,已發現假警報率大於90%。分析此一高數目個缺陷且將真實缺陷與假警報分離可非常耗時且容易出錯。在高假警報率的情況下,存在遺漏真實及重要缺陷的高概率。不同半導體製造步驟處之光學晶圓檢測誤差可導致一缺陷晶圓通過檢測,此可導致低良率及較高製造成本。
本文中所揭示之實施例提供用於使用與缺陷相關聯之佈局及網表資料以識別具有高可能性為真實缺陷之缺陷的技術及系統。經識別缺陷(其等可係缺陷之總數目之一小分率)可接著被進一步分析以確認缺陷係真實的,且判定修復缺陷之一解決方案(例如,改變佈局)。本文中所揭示實施例之優點包含但不限於:(1)提供用於使用一IC設計之各種佈局及基於網路之性質以區分真實缺陷與假警報之一系統,及(2)準確地將真實缺陷與假警報分離,藉此顯著減少晶圓檢測所需的時間及資源量。
圖1繪示根據本文中所描述之一些實施例之用於優先化及/或選擇缺陷之一程序。
程序可藉由形成一資料庫開始,該資料庫使得一IC設計之佈局及/或網表資料能夠基於包含該IC之一晶粒中之一缺陷的位置來查找(在102處)。一IC設計之一高階描述可轉換為一網表,且隨後轉換為一佈局。該佈局可接著用於形成在製造期間使用之光微影遮罩。術語「網表」可指代實施IC設計之所要功能性之一單元網路(例如,AND閘、OR閘、MUX等)。術語「單元」可表示在製造IC設計時形成的一IC之一實際部分。一網表可指代網路之一清單(或集合),其中各「網路」可指代電連接單元之輸入及輸出的路由形狀之組。術語「佈局」可指代期望製造之形狀。明確言之,一佈局中之形狀可表示實施IC中之電晶體及電連接之物理結構。
圖2繪示根據本文中所揭示之一些實施例之一連接性產生器。
連接性產生器206 (其可係藉由一處理器執行之一軟體模組)可接收佈局資料202及/或網表資料204。接下來,連接性產生器206可判定佈局資料202中之形狀之間之連接性,及/或從網表資料204中提取連接性資訊。連接性產生器206可將形狀及/或網路之間之連接性資訊,及形狀及/或網路之位置儲存於資料庫208中,使得資料庫208可用於基於一缺陷之一位置來執行佈局形狀及/或網路之查找。
接下來,參考圖1,該程序可藉由合併來自包含IC之副本之多個晶粒的缺陷來形成一缺陷圖(在104處)。一半導體製造程序可用於在一晶圓上形成一IC之多個副本,且IC之各副本可稱為一「晶粒」。各晶粒可包含由隨機或系統程序導致之缺陷。歸因於製造期間使用之物理及/或化學程序之隨機變化,可出現隨機缺陷。系統性缺陷可由IC設計之未在晶圓上產生所要形狀之一區域中之形狀之一特定配置導致。期望識別系統性缺陷,使得可修改IC設計中之佈局形狀(例如,藉由使用諸如光學近接校正及/或輔助特徵放置之解析度增強技術)以修復系統性缺陷。
圖3繪示根據本文中所揭示之一些實施例之一缺陷圖。
多個晶粒(例如,晶粒302、304及306)可包含基於一IC設計製造的一IC之副本。各晶粒可包含零個或更多個缺陷,例如,缺陷308。本文中所揭示之一些實施例可合併多個晶粒上之缺陷以形成缺陷圖310,使得缺陷圖310包含來自所有晶粒之缺陷。
接下來,參考圖1,對於缺陷圖中之各缺陷,程序可使用資料庫基於缺陷之位置來查找佈局及/或網表資料(在106處)。該程序可接著基於相關聯之佈局及/或網表資料將缺陷分組為缺陷群組(在108處)。
圖4繪示根據本文中所揭示之一些實施例之分組缺陷。
對於缺陷圖310中之各缺陷,本文中所揭示之實施例可使用缺陷之位置來從資料庫208查找相關聯之佈局及/或網表資料。舉例而言,缺陷402包含三個相異缺陷(其等可能已出現在相同或不同晶粒上)。當使用資料庫208查找此三個缺陷之各者之位置時,可藉由資料庫查找操作返回相同網路(網路404)。因此,本文中所揭示之實施例可將三個缺陷402與網路404相關聯,且實施例可形成包含該三個缺陷402之缺陷群組406。
以下實例繪示佈局資料可如何藉由連接性產生器206處理,且資料庫208可如何用於分組缺陷。假設佈局資料202包含以下資訊:(1)金屬形狀S1連接至通孔V1,及(2)通孔V1連接至金屬形狀S2。基於此資訊,連接性產生器206可跟蹤通過通孔V1之佈局形狀S1與S2之間之連接性,且判定佈局形狀S1及S2係同一網路之部分,此係因為其等彼此電連接。連接性產生器206可將此連接性資訊儲存於資料庫208中。假設在位置(X1,Y1) (其在金屬形狀S1之邊界內)處偵測到缺陷D1,且在位置(X2,Y2) (其在金屬形狀S2之邊界內)處偵測到缺陷D2。本文中所揭示之實施例可查找對應於位置(X1,Y1)及(X2,Y2)之形狀,且使用儲存於資料庫208中之金屬形狀S1及S2之間之連接性資訊來判定缺陷D1及D2與同一網路相關聯。因此,本文中所揭示之實施例可將缺陷D1及D2分組至同一缺陷群組中。在一些實施例中,可「隨需」或「即時」跟蹤不同佈局形狀之間之連接性,即,在已識別與一組缺陷重疊之佈局形狀後,可判定佈局形狀之間之電連接性用於將缺陷分組。
參考圖1,該程序可接著基於缺陷群組中之缺陷之計數、缺陷之屬性,及/或與缺陷群組中之缺陷相關聯之佈局及/或網表資料優先化及/或選擇缺陷群組(在110處)。一旦已形成資料庫208,該程序便可使用規則來形成缺陷群組,且基於與缺陷相關聯之佈局及/或網表資料優先化及/或選擇缺陷群組。接著可藉由使用分析工具(諸如一掃描電子顯微鏡(SEM)工具)來檢視所選擇缺陷群組或較高優先權缺陷群組。
在一些實施例中,網路之關鍵性可用於選擇及/或優先化缺陷群組。舉例而言,若一組缺陷與一關鍵網路相關聯,則接著該等缺陷可被指派比與非關鍵網路相關聯之其他缺陷更高之一優先權。術語「關鍵網路」可指代其時序餘裕(timing slack)接近於零之一網路。
圖5繪示根據本文中所揭示之一些實施例之選擇缺陷。
缺陷圖500可包含缺陷502、504及506。一些缺陷可定位於一佈局形狀上,而其他缺陷可能不定位於任何佈局形狀上,即,此等缺陷可定位於佈局形狀之間之空間區域中。舉例而言,缺陷形狀502係定位於佈局形狀508上,但缺陷形狀504及506係不定位於任何佈局形狀上。本文中所揭示之一些實施例可選擇定位於對應於IC之一功能部分之一佈局形狀上的缺陷,而忽略定位於IC之非功能部分(例如,佈局形狀之間之空間區域)上的缺陷。如圖5所展示,所選擇缺陷510定位於佈局形狀上,且缺陷504及506已被濾除。
在其他實施例中,該程序可濾除與一給定標準或若干給定標準所匹配之缺陷,即,該程序可選擇與一給定標準或若干給定標準不匹配之缺陷。舉例而言,在另一實施例中,該程序可選擇不定位於任何佈局形狀上之缺陷504及506,且濾除其他缺陷。
一些實施例可容許一使用者指定規則以選擇及/或優先化缺陷或缺陷群組。舉例而言,一使用者可決定優先化含有三個以上缺陷之群組(或更一般而言,使用者可優先化含有超過一臨限值數目個缺陷之群組)。類似地,一使用者可定義遮罩(或過濾)發送至檢視工具之缺陷群組之一規則。舉例而言,一使用者可定義濾除定位於一填充層上之缺陷之一規則,該填充層可指代IC設計佈局中之包含非功能結構之一層。用於過濾缺陷群組之此等使用者定義規則可藉由縮短檢視程序來顯著改良檢測效率。
一些實施例可容許一使用者定義涉及多個網路、尺寸、缺陷之空間特性之高級規則,且形成過濾器之多個組合,且形成用於指派優先權之複雜規則。明確言之,在一些實施例中,由基於網路之檢測工具所使用之規則可係「選擇(SELECT)」及「過濾(FILTER)」。此等規則可基於規則中所指定之標準應用於缺陷。系統可使用預設的一組標準,且若一使用者提供一組標準,則使用者指定標準可更動控制預設標準。一「選擇(SELECT)」規則可返回與選擇規則中所指定之標準或若干標準相匹配之缺陷或缺陷群組。一「過濾(FILTER)」規則可返回與過濾規則中所指定之標準或若干標準不匹配之缺陷或缺陷群組。選擇及過濾規則可使用邏輯運算子組合,及/或可被鍊接(即,可應用一序列之選擇及過濾規則)。以下論述可用於選擇及/或過濾缺陷及/或缺陷群組之規則的一些實例。
一般而言,規則可基於包含但不限於缺陷群組中之缺陷之計數、缺陷之屬性(例如,一缺陷之尺寸、寬度及/或IC設計層)及/或與缺陷群組中之缺陷相關聯之佈局及/或網表資料之標準來優先化及/或選擇缺陷及/或缺陷群組。基於缺陷群組中之缺陷之計數選擇一缺陷群組之一實例係「SELECT COUNT>1」,其選擇其等中具有超過一個缺陷之缺陷群組。使用缺陷屬性之一規則之一實例係「SELECT DEFECT_SIZE > 0.1微米」,其選擇其等尺寸大於0.1微米之缺陷。基於與缺陷相關聯之佈局及/或網表資料選擇缺陷之一規則係「FILTER NET=NULL」,其中「NET=NULL」指令指示基於網路的檢測工具濾除與網路不重疊之所有缺陷(及因此「指派的」網路係「NULL」)。另一規則可選擇定位於通過多個IC設計層之網路上之缺陷。又另一規則可選擇定位於其等寬度小於一給定臨限值之佈局形狀上之缺陷,例如,「SELECT NET_WIDTH < 2微米」。規則之此等實例僅用於繪示目的,且非意欲限制本發明之範疇。
光學晶圓檢測工具可包含校準誤差,且量測可具有誤差容限。此外,在製造期間使用之物理及化學程序可形成印刷形狀之變化。一些實施例可使用近接框來考量此等誤差及變化。明確言之,一些實施例可在各缺陷周圍放置一近接框,且基於近接框是否與佈局形狀或網路之位置重疊來判定一缺陷是否與佈局形狀或網路重疊。
圖6繪示根據本文中所揭示之一些實施例之使用近接框選擇缺陷。
已在圖6中之各缺陷周圍放置一近接框。舉例而言,已將近接框602放置於缺陷506周圍。在此等實施例中,該程序可選擇其等近接框與一佈局形狀重疊之缺陷。舉例而言,缺陷504周圍之近接框與佈局形狀508重疊,且因此可藉由該程序來選擇。使用近接框之一規則之一實例可係「FILTER NET=NULL PBOX=0.1微米」,其中指令「PBOX=0.1微米」指示基於網路的檢測工具在缺陷周圍使用一0.1微米近接框以判定缺陷是否與一佈局形狀或網路重疊。
一旦已藉由圖1所繪示之程序優先化或選擇一組缺陷,便可接著使用一掃描電子顯微鏡(SEM)工具來檢視及/或分析所選擇或所優先化缺陷。
圖7繪示根據本文中所揭示之一些實施例對所選擇缺陷之檢視及分析。
本文中所揭示之實施例可基於與缺陷504相關聯之佈局資料及/或網表資料選擇缺陷504,且可藉由使用SEM工具704進一步分析缺陷504周圍之區域。分析可指示,歸因於此區域中之一系統性問題,佈局形狀係短接在一起706,此與IC設計不一致。明確言之,額外分析可揭露應用於佈局之此區域之解析度增強技術不足以正確地印刷佈局形狀。因此,可修改佈局之特定區域以修復該問題。
圖8繪示根據本文中所描述之一些實施例之用於一積體電路之設計、驗證及製作之一實例流程800。
EDA程序812 (縮寫「EDA」係指「電子設計自動化」)可用於轉換及驗證表示積體電路之設計資料及指令。此等程序之各者可結構化及實現為多個模組或操作。
流程800可從用由一設計師提供之資訊形成一產品構思810開始,該資訊藉由使用EDA程序812而轉換及驗證。當完成設計時,將設計下線(taped-out) 834,其係將積體電路之原圖(即,幾何圖案)發送至一製作廠以製造遮罩組,接著使用該遮罩組以製造積體電路。在下線之後,製作836一半導體晶粒且執行封裝及組裝838以產生所製造IC晶片840。
一電路或電子結構之規範可在低階電晶體材料佈局至高階描述語言之範圍中。可使用一高階抽象化以使用一硬體描述語言(「HDL」) (諸如VHDL、Verilog、SystemVerilog、SystemC、MyHDL或OpenVera)設計電路及系統。可將HDL描述轉換為一邏輯級暫存器傳輸層級(「RTL」)描述、一閘級描述、一佈局級描述或一遮罩級描述。各較低抽象層級(其係一較不抽象描述)將更多細節添加至設計描述中。較低抽象層級(其係較不抽象描述)可藉由一電腦產生、自一設計程式庫導出或藉由另一設計自動化程序形成。用於指定更詳細描述之在一較低層級之抽象語言下之一規範語言之一實例係SPICE (其代表「以積體電路為重點之模擬程式」)。各抽象層級下之描述含有足以由該層之對應工具(例如,一正式驗證工具)使用之細節。
在系統設計814期間,指定待製造之一積體電路之功能性。可針對諸如功率消耗、效能、面積(實體及/或程式碼行)及成本降低等之所要特性最佳化設計。可在此階段發生設計至不同類型之模組或組件之分區。
在邏輯設計及功能驗證816期間,以一或多個描述語言指定電路中之模組或組件且針對功能準確性檢查規範。例如,可驗證電路之組件以產生匹配經設計之電路或系統之規範之要求之輸出。功能驗證可使用模擬器及其他程式,諸如測試台產生器、靜態HDL檢查器及正式驗證器。在一些實施例中,使用稱為「仿真器」或「原型系統」之組件之特殊系統以加速功能驗證。
在測試之合成及設計818期間,將HDL程式碼轉換為一網表。在一些實施例中,一網表可係一圖表結構,其中圖表結構之邊緣表示一電路之組件且其中圖表結構之節點表示組件如何互連。HDL程式碼及網表兩者係可由一EDA產品使用以驗證積體電路在經製造時根據指定設計執行之階層式製品。可針對一目標半導體製造技術最佳化網表。另外,可測試成品積體電路以驗證積體電路滿足規範之要求。
在網表驗證820期間,針對與時序約束之相符性且針對與HDL程式碼之對應性檢查網表。在設計規劃822期間,針對時序及頂層佈線建構且分析積體電路之一整體平面佈置圖。
在佈局或實體實施824期間,發生實體放置(諸如電晶體或電容器之電路組件之定位)及佈線(電路組件藉由多個導體之連接),且可執行自一程式庫選擇胞元以啟用特定邏輯功能。如本文中使用,術語「胞元」可指定提供一布林(Boolean)邏輯功能(例如,AND、OR、NOT、XOR)或一儲存功能(諸如一正反器或鎖存器)之一組電晶體、其他組件及互連。如本文中使用,一電路「區塊」可係指兩個或兩個以上胞元。一胞元及一電路區塊兩者可稱為一模組或組件且兩者作為實體結構啟用及在模擬中啟用。針對選定胞元(基於「標準胞元」)指定參數(諸如尺寸)且使其等可在一資料庫中存取以供EDA產品使用。
在分析及提取826期間,在允許佈局設計之細化之佈局層級驗證電路功能。在實體驗證828期間,檢查佈局設計以確保製造約束(諸如DRC約束、電約束、微影約束)正確且電路功能匹配HDL設計規範。在解析度增強830期間,轉換佈局之幾何形狀以改良製造電路設計之方式。
在下線期間,形成資料以(在視需要應用微影增強之後)用於微影遮罩之產生。在遮罩資料準備832期間,使用「下線」資料以產生用於產產生品積體電路之微影遮罩。
可使用一電腦系統(諸如圖9之電腦系統900)之一儲存子系統以儲存藉由本文中描述之一些或全部EDA產品使用之程式及資料結構,及用於開發程式庫之胞元及使用程式庫之實體及邏輯設計之產品。
圖9繪示一電腦系統900之一例示性機器,在其內可執行用於導致機器執行本文中所論述之方法之任何一或多者之一指令集。
在替代實施方案中,機器可連接(例如,網路連結)至一LAN、一內部網路、一外部網路及/或網際網路中之其他機器。該機器可在用戶端-伺服器網路環境中以一伺服器或一用戶端機器之身份操作,在一同級間(或分佈式)網路環境中作為一同級機器操作,或在一雲端運算基礎設施或環境中作為一伺服器或一用戶端機器操作。
機器可為一個人電腦(PC)、一平板PC、一機上盒(STB)、一個人數位助理(PDA)、一蜂巢式電話、一網路設備、一伺服器、一網路路由器、一交換器或橋接器,或能夠執行指定藉由該機器採取之行動之一指令集(循序或以其他方式)之任何機器。此外,雖然繪示一單個機器,但術語「機器」亦應被視為包含個別或聯合執行一(或多個)指令集以執行本文中所論述之方法之任何一或多者之機器之任何集合。
實例性電腦系統900包含一處理裝置902、一主記憶體904 (例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),諸如同步DRAM (SDRAM))、一靜態記憶體906 (例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)及一資料儲存裝置918,其等經由一匯流排930彼此通信。
處理裝置902表示一或多個處理器,諸如一微處理器、一中央處理單元或類似者。更特定言之,處理裝置可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字(VLIW)微處理器,或實施其他指令集之一處理器,或實施指令集之一組合之處理器。處理裝置902亦可為一或多個專用處理裝置,諸如一特定應用積體電路(ASIC)、一場可程式化閘陣列(FPGA)、一數位信號處理器(DSP)、網路處理器或類似者。處理裝置902可經組態以執行用於執行本文中所描述之操作及步驟之指令926。
電腦系統900可進一步包含經由網路920通信之一網路介面裝置908。電腦系統900亦可包含一視訊顯示單元910 (例如,一液晶顯示器(LCD)或一陰極射線管(CRT))、一字母數字輸入裝置912 (例如,一鍵盤)、一游標控制裝置914 (例如,一滑鼠)、一圖形處理單元922、一信號產生裝置916 (例如,一揚聲器)、圖形處理單元922、視訊處理單元928及音訊處理單元932。
資料儲存裝置918可包含其上儲存體現本文中所描述之方法或功能之任何一或多者之一或多個指令集926或軟體之一機器可讀儲存媒體924 (亦被稱為一非暫時性電腦可讀媒體)。指令926亦可完全或至少部分駐留於主記憶體904內及/或在指令926由電腦系統900執行期間駐留於處理裝置902內,主記憶體904及處理裝置902亦構成機器可讀儲存媒體。
在一些實施方案中,指令926包含實施對應於本發明之功能性之指令。雖然機器可讀儲存媒體924在一實例性實施方案中被展示為一單個媒體,但術語「機器可讀儲存媒體」應被視為包含儲存一或多個指令集之一單個媒體或多個媒體(例如,一集中式或分佈式資料庫,及/或相關聯快取區及伺服器)。術語「機器可讀儲存媒體」亦應被視為包含能夠儲存或編碼藉由機器執行且導致機器及處理裝置902執行本發明之方法之任何一或多者之一指令集之任何媒體。術語「機器可讀儲存媒體」應相應地被視為包含(但不限於)固態記憶體、光學媒體及磁性媒體。
已依據對一電腦記憶體內之資料位元之操作之演算法及符號表示呈現前文詳細描述之一些部分。此等演算法描述及表示係由熟習資料處理技術者用於更有效地向其他熟習此項技術者傳達其等工作之主旨之方式。一演算法可為導致一所要結果之一操作序列。該等操作係需要實體操縱物理量之操作。此等量可採用能夠被儲存、組合、比較及以其他方式操縱之電信號或磁信號之形式。此等信號可被稱為位元、值、元件、符號、字元、項、數字或類似者。
然而,應牢記,所有此等及類似術語應與適當物理量相關聯且僅為應用至此等量之方便標記。除非明確說明,否則如自本發明明白,應瞭解,貫穿描述之特定術語係指將表示為電腦系統之暫存器及記憶體內之物理(電子)量之資料操縱且轉換成類似地表示為電腦系統記憶體或暫存器或其他此等資訊儲存裝置內之物理量之其他資料之電腦系統或類似電子運算裝置之動作及程序。
本發明亦係關於用於執行本文中之操作之一設備。此設備可專門為預期目的而建構,或其可包含藉由儲存於電腦中之一電腦程式選擇性啟動或重新組態之一電腦。此一電腦程式可儲存於一電腦可讀儲存媒體中,諸如(但不限於)任何類型之碟片(包含軟碟、光碟、CD-ROM及磁光碟)、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、EPROM、EEPROM、磁卡或光卡,或適於儲存電子指令之任何類型之媒體,上述各者耦合至一電腦系統匯流排。
本文中呈現之演算法及顯示並非固有地與任何特定電腦或其他設備有關。各種其他系統可根據本文中之教示搭配程式使用,或其可證實建構一更專門設備來執行方法係方便的。另外,本發明並非參考任何特定程式設計語言描述。將瞭解,各種程式設計語言可用於實施如本文中所描述之本發明之教示。
本發明可被提供為可包含其上儲存有指令之一機器可讀媒體之一電腦程式產品或軟體,該等指令可用於程式化一電腦系統(或其他電子裝置)以執行根據本發明之一程序。一機器可讀媒體包含用於儲存呈可藉由一機器(例如,一電腦)讀取之一形式之資訊之任何機構。例如,一機器可讀(例如,電腦可讀)媒體包含一機器(例如,一電腦)可讀儲存媒體,諸如一唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置等。
在前文揭示內容中,本發明之實施方案已參考其之特定實例性實施方案進行描述。將顯而易見的是,可在不脫離如在以下發明申請專利範圍中所闡述之本發明之實施方案之範疇之情況下對本發明進行各種修改。在本發明以單數時態指代一些元件之情況下,在圖中可描繪一個以上元件且用相同數字標記相同元件。因此,本發明及圖式應被視為具闡釋性意義而非限制性意義。
102:步驟 104:步驟 106:步驟 108:步驟 110:步驟 202:佈局資料 204:網表資料 206:連接性產生器 208:資料庫 302:晶粒 304:晶粒 306:晶粒 308:晶粒 310:缺陷圖 402:缺陷 404:網路 406:缺陷群組 500:缺陷圖 502:缺陷 504:缺陷 506:缺陷 508:佈局形狀 510:所選擇缺陷 602:近接框 604:所選擇缺陷 704:掃描電子顯微鏡(SEM)工具 706:佈局形狀短接在一起 800:流程 810:產品構思 812: 電子設計自動化(EDA)程序 814:系統設計 816:邏輯設計及功能驗證 818:測試之合成及設計 820:網表驗證 822:設計規劃 824:實體實施 826:分析及提取 828:實體驗證 830:解析度增強 832:遮罩資料準備 834:下線 836:製作 838:封裝及組裝 840:所製造積體電路(IC)晶片 900:電腦系統 902:處理裝置 904:主記憶體 906:靜態記憶體 908:網路介面裝置 910:視訊顯示單元 912:字母數字輸入裝置 914:游標控制裝置 916:信號產生裝置 918:資料儲存裝置 920:網路 922:圖形處理單元 924:機器可讀儲存媒體 926:指令 928:視訊處理單元 930:匯流排 932:音訊處理單元
可基於以下給出之詳細描述及隨附圖式暸解本發明。圖式係用於闡釋性目的,且不限制本發明之範疇。此外,圖式不一定按比例繪製。
圖1繪示根據本文中所描述之一些實施例之用於優先化及/或選擇缺陷之一程序。
圖2繪示根據本文中所揭示之一些實施例之一連接性產生器。
圖3繪示根據本文中所揭示之一些實施例之一缺陷圖。
圖4繪示根據本文中所揭示之一些實施例之分組缺陷。
圖5繪示根據本文中所揭示之一些實施例之選擇缺陷。
圖6繪示根據本文中所揭示之一些實施例之使用近接框(proximity box)選擇缺陷。
圖7繪示根據本文中所揭示之一些實施例對所選擇缺陷之檢視及分析。
圖8繪示根據本文中所描述之一些實施例之用於一積體電路的設計、驗證及製作之一實例流程。
圖9繪示一電腦系統之一實例機器,在其內可執行用於使機器執行本文所論述之方法的任何一或多者之一指令集。
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟

Claims (20)

  1. 一種方法,其包括: 藉由合併包含積體電路(IC)之副本之多個晶粒上之位置處的缺陷來形成一缺陷圖; 判定與該缺陷圖中之該等缺陷重疊之佈局形狀; 判定該等佈局形狀之間之連接性;及 基於該等佈局形狀之間之該連接性將該等缺陷分組為缺陷群組,其中各缺陷群組包括與彼此電連接之佈局形狀重疊的缺陷。
  2. 如請求項1之方法,其中該判定該等佈局形狀之間之該連接性包括跨該IC之一或多個層跟蹤該等佈局形狀之間之電連接性。
  3. 如請求項1之方法,其包括選擇包含超過一臨限值數目個缺陷的缺陷群組。
  4. 如請求項1之方法,其包括基於該等缺陷之屬性選擇缺陷群組。
  5. 如請求項4之方法,其中該基於該等缺陷之該等屬性選擇該等缺陷群組包括選擇具有具大於一臨限值尺寸之一尺寸之至少一個缺陷的缺陷群組。
  6. 如請求項1之方法,其包括基於相關聯之佈局資料、網表資料或佈局資料及網表資料兩者選擇缺陷群組。
  7. 如請求項6之方法,其中該基於該相關聯之佈局資料、網表資料或佈局資料及網表資料兩者來選擇該等缺陷群組包括選擇與關鍵網路相關聯之缺陷群組。
  8. 如請求項6之方法,其中該基於該相關聯之佈局資料、網表資料或佈局資料及網表資料兩者來選擇該等缺陷群組包括選擇與具有小於一臨限值寬度之一寬度之網路相關聯之缺陷群組。
  9. 一種系統,其包括: 一記憶體,其儲存指令;及 一處理器,其與該記憶體耦合且用以執行該等指令,該等指令在被執行時使該處理器: 藉由合併包含一積體電路(IC)之副本之多個晶粒的缺陷來形成一缺陷圖; 判定與該缺陷圖中之該等缺陷重疊之佈局形狀; 判定該等佈局形狀之間之連接性;及 基於該等佈局形狀之間之該連接性將該等缺陷分組為缺陷群組,其中各缺陷群組包括與彼此電連接之佈局形狀重疊的缺陷。
  10. 如請求項9之系統,其中該等指令在被執行時使該處理器基於該等缺陷群組中之缺陷之一計數選擇缺陷群組。
  11. 如請求項10之系統,其中該基於該等缺陷群組中之缺陷之該計數選擇該等缺陷群組包括選擇包含超過一臨限值數目個缺陷之該等缺陷群組。
  12. 如請求項9之系統,其中該等指令在被執行時使該處理器基於該等缺陷之屬性選擇缺陷群組。
  13. 如請求項12之系統,其中該基於該等缺陷之該等屬性選擇該等缺陷群組包括選擇具有具大於一臨限值尺寸之一尺寸之至少一個缺陷的缺陷群組。
  14. 如請求項9之系統,其中該等指令在被執行時使該處理器基於相關聯之佈局資料、網表資料或佈局資料及網表資料兩者選擇缺陷群組。
  15. 如請求項14之系統,其中該基於該相關聯之佈局資料、網表資料或佈局資料及網表資料兩者來選擇該等缺陷群組包括選擇與關鍵網路相關聯之缺陷群組。
  16. 如請求項14之系統,其中該基於該相關聯之佈局資料、網表資料或佈局資料及網表資料兩者來選擇該等缺陷群組包括選擇與具有小於一臨限值寬度之一寬度之網路相關聯之缺陷群組。
  17. 一種非暫時性電腦可讀媒體,其包括所存儲之指令,該等指令在由一處理器執行時,使該處理器: 形成一資料庫,該資料庫使得一積體電路(IC)設計之佈局資料、網表資料或佈局資料及網表資料兩者能夠基於包含該IC之一晶粒中之一缺陷的位置來查找; 藉由合併來自包含該IC之副本之多個晶粒的缺陷來形成一缺陷圖; 對於該缺陷圖中之各缺陷,使用該資料庫基於該缺陷之該位置查找佈局資料、網表資料或佈局資料及網表資料兩者;及 基於該相關聯之佈局資料、網表資料或佈局資料及網表資料兩者將該等缺陷分組為缺陷群組。
  18. 如請求項17之非暫時性電腦可讀媒體,其中該等指令在被執行時使該處理器基於該等缺陷群組中之缺陷之一計數向該等缺陷群組指派優先權,且按該等所指派之優先權之順序對該等缺陷群組執行重複插入。
  19. 如請求項17之非暫時性電腦可讀媒體,其中該等指令在被執行時使該處理器基於該等缺陷之屬性向該等缺陷群組指派優先權,且按該等所指派之優先權之順序對該等缺陷群組執行重複插入。
  20. 如請求項17之非暫時性電腦可讀媒體,其中該等指令在被執行時使該處理器基於該相關聯之佈局資料、網表資料或佈局資料及網表資料兩者向該等缺陷群組指派優先權,且按該等所指派之優先權之順序對該等缺陷群組執行重複插入。
TW109145403A 2019-12-23 2020-12-22 基於網路的晶圓檢測方法、系統及非暫時性電腦可讀媒體 TWI846998B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962952758P 2019-12-23 2019-12-23
US62/952,758 2019-12-23
US17/125,128 US11763059B2 (en) 2019-12-23 2020-12-17 Net-based wafer inspection
US17/125,128 2020-12-17

Publications (2)

Publication Number Publication Date
TW202138795A true TW202138795A (zh) 2021-10-16
TWI846998B TWI846998B (zh) 2024-07-01

Family

ID=

Also Published As

Publication number Publication date
KR20220113409A (ko) 2022-08-12
CN114730354A (zh) 2022-07-08
EP4081927A1 (en) 2022-11-02
US11763059B2 (en) 2023-09-19
WO2021133636A1 (en) 2021-07-01
US20210192116A1 (en) 2021-06-24

Similar Documents

Publication Publication Date Title
US8468482B1 (en) Modeling and simulating the impact of imperfectly patterned via arrays on integrated circuits
US9519732B1 (en) Methods, systems, and articles of manufacture for implementing pattern-based design enabled manufacturing of electronic circuit designs
US11922106B2 (en) Memory efficient scalable distributed static timing analysis using structure based self-aligned parallel partitioning
US11994979B2 (en) Smart regression test selection for software development
US11379649B2 (en) Advanced cell-aware fault model for yield analysis and physical failure analysis
US20220075920A1 (en) Automated Debug of Falsified Power-Aware Formal Properties using Static Checker Results
US11694016B2 (en) Fast topology bus router for interconnect planning
US11853680B2 (en) Incremental routing based pin assignment
US11763059B2 (en) Net-based wafer inspection
US11861286B2 (en) Segregating defects based on computer-aided design (CAD) identifiers associated with the defects
US20220382943A1 (en) Identifying association of safety related ports to their safety mechanisms through structural analysis
US20210390244A1 (en) System and Method for Synchronizing Net Text Across Hierarchical Levels
US11334698B2 (en) Cell-aware defect characterization by considering inter-cell timing
CN114520504A (zh) 用于检查牺牲器件上的静电放电效应的自动化方法
US11100270B1 (en) Pattern based die connector assignment using machine learning image recognition
EP4256458A1 (en) Modelling timing behavior using augmented sensitivity data for physical parameters
CN113204932A (zh) 用于良率分析和物理故障分析的先进单元感知故障模型
US11573873B1 (en) Adaptive cell-aware test model for circuit diagnosis
US20240070367A1 (en) Selection and placement of safety mechanisms
US11972191B2 (en) System and method for providing enhanced net pruning
US12014127B2 (en) Transforming a logical netlist into a hierarchical parasitic netlist
US11341310B1 (en) Layout-versus-schematic (LVS) debugging and error diagnosis via type of graph matching
US20220382955A1 (en) Constraint file-based novel framework for net-based checking technique
CN116187253A (zh) 使用图案匹配的布局与原理图比对(lvs)器件提取
KR20220122643A (ko) 방출 현미경 이미지들과 조합된 cad 데이터를 활용하는 방출 스팟들 사이의 상관 관계