TW202135286A - Semiconductor device and electronic device - Google Patents

Semiconductor device and electronic device Download PDF

Info

Publication number
TW202135286A
TW202135286A TW109129691A TW109129691A TW202135286A TW 202135286 A TW202135286 A TW 202135286A TW 109129691 A TW109129691 A TW 109129691A TW 109129691 A TW109129691 A TW 109129691A TW 202135286 A TW202135286 A TW 202135286A
Authority
TW
Taiwan
Prior art keywords
semiconductor
transistor
addition
insulator
memory
Prior art date
Application number
TW109129691A
Other languages
Chinese (zh)
Inventor
山崎舜平
木村肇
國武寛司
Original Assignee
日商半導體能源研究所股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商半導體能源研究所股份有限公司 filed Critical 日商半導體能源研究所股份有限公司
Publication of TW202135286A publication Critical patent/TW202135286A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Abstract

A novel semiconductor device is provided. A component extending in a first direction, and a first conductor and a second conductor extending in a second direction are provided. The component includes a third conductor, a first insulator, a first semiconductor, and a second insulator. In a first intersection portion of the component and the first conductor, the first insulator, the first semiconductor, the second insulator, a second semiconductor, and a third insulator are provided concentrically. In a second intersection portion of the component and the second conductor, the first insulator, the first semiconductor, the second insulator, a fourth conductor, and a fourth insulator are provided concentrically around the third conductor.

Description

半導體裝置及電子裝置Semiconductor device and electronic device

本發明的一個實施方式係關於一種半導體裝置及電子裝置。One embodiment of the present invention relates to a semiconductor device and an electronic device.

本發明的一個實施方式不限定於上述技術領域。本說明書等所公開的發明的技術領域係關於一種物體、方法或製造方法。此外,本發明的一個實施方式係關於一種製程(process)、機器(machine)、產品(manufacture)或者組合物(composition of matter)。因此,明確而言,作為本說明書所公開的本發明的一個實施方式的技術領域的例子可以舉出半導體裝置、顯示裝置、液晶顯示裝置、發光裝置、蓄電裝置、攝像裝置、記憶體裝置、信號處理裝置、處理器、電子裝置、系統、它們的驅動方法、它們的製造方法或它們的檢查方法。One embodiment of the present invention is not limited to the above-mentioned technical field. The technical field of the invention disclosed in this specification and the like relates to an object, method, or manufacturing method. In addition, an embodiment of the present invention relates to a process, machine, manufacturing or composition of matter. Therefore, specifically, as examples of the technical field of one embodiment of the present invention disclosed in this specification, semiconductor devices, display devices, liquid crystal display devices, light-emitting devices, power storage devices, imaging devices, memory devices, signal Processing devices, processors, electronic devices, systems, their driving methods, their manufacturing methods, or their inspection methods.

近年來,將中央處理器(CPU)、圖形處理器(GPU)、記憶體裝置、感測器等電子構件用於個人電腦、智慧手機、數位相機等各種電子裝置,並且在微型化及低功耗等各種方面上改良該電子構件。In recent years, electronic components such as central processing units (CPU), graphics processing units (GPU), memory devices, and sensors have been used in various electronic devices such as personal computers, smartphones, and digital cameras. The electronic component is improved in various aspects such as consumption.

尤其是,上述電子裝置等所利用的資料量增加,因此有記憶容量較大的記憶體裝置的需求。作為增加記憶容量的方法,例如在專利文獻1及專利文獻2中公開了作為其通道形成區域使用金屬氧化物的三維結構的NAND記憶元件。In particular, the amount of data used by the above-mentioned electronic devices has increased, so there is a demand for memory devices with larger memory capacity. As a method of increasing the memory capacity, for example, Patent Document 1 and Patent Document 2 disclose a NAND memory element having a three-dimensional structure using a metal oxide as its channel formation region.

[專利文獻1] PCT國際申請公開第2019/3060號公報 [專利文獻2] 日本專利申請公開第2018-207038號公報[Patent Document 1] PCT International Application Publication No. 2019/3060 [Patent Document 2] Japanese Patent Application Publication No. 2018-207038

本發明的一個實施方式的目的之一是提供一種可靠性高的記憶體裝置。此外,本發明的一個實施方式的目的之一是提供一種記憶容量大的記憶體裝置。此外,本發明的一個實施方式的目的之一是提供一種新穎的記憶體裝置。此外,本發明的一個實施方式的目的之一是提供一種可靠性高的半導體裝置。此外,本發明的一個實施方式的目的之一是提供一種記憶容量大的半導體裝置。此外,本發明的一個實施方式的目的之一是提供一種新穎的半導體裝置。One of the objectives of an embodiment of the present invention is to provide a memory device with high reliability. In addition, one of the objectives of an embodiment of the present invention is to provide a memory device with a large memory capacity. In addition, one of the objectives of an embodiment of the present invention is to provide a novel memory device. In addition, one of the objects of one embodiment of the present invention is to provide a highly reliable semiconductor device. In addition, one of the objectives of an embodiment of the present invention is to provide a semiconductor device with a large memory capacity. In addition, one of the objects of an embodiment of the present invention is to provide a novel semiconductor device.

注意,本發明的一個實施方式的目的不侷限於上述目的。上述目的並不妨礙其他目的的存在。其他目的是指將在下面的記載中描述的上述以外的目的。本領域技術人員可以從說明書或圖式等的記載中導出並適當衍生上述以外的目的。本發明的一個實施方式實現上述目的及其他目的中的至少一個目的。此外,本發明的一個實施方式不一定需要實現所有的上述目的及其他目的。Note that the purpose of one embodiment of the present invention is not limited to the above-mentioned purpose. The above purpose does not prevent the existence of other purposes. The other purpose refers to the purpose other than the above described in the following description. Those skilled in the art can derive and appropriately derive purposes other than the above from descriptions in the specification or drawings. An embodiment of the present invention achieves at least one of the above-mentioned objects and other objects. In addition, an embodiment of the present invention does not necessarily need to achieve all the above-mentioned objects and other objects.

本發明的一個實施方式是一種半導體裝置,該半導體裝置包括運算處理裝置及記憶體裝置,運算處理裝置和記憶體裝置包括彼此重疊的區域,記憶體裝置包括多個記憶單元,多個記憶單元的每一個包括氧化物半導體,並且記憶體裝置為NAND型並進行作為RAM的工作。One embodiment of the present invention is a semiconductor device that includes an arithmetic processing device and a memory device. The arithmetic processing device and the memory device include areas that overlap each other. The memory device includes a plurality of memory cells. Each includes an oxide semiconductor, and the memory device is a NAND type and functions as a RAM.

本發明的一個實施方式是一種半導體裝置,該半導體裝置包括在第一方向上延伸的結構體、在第二方向上延伸的第一導電體及第二導電體,結構體包括在第一方向上延伸的第三導電體、與第三導電體相鄰的第一絕緣體、與第一絕緣體相鄰的第一半導體以及與第一半導體相鄰的第二絕緣體,在結構體與第一導電體交叉的第一交叉部,在結構體與第一導電體之間包括與第二絕緣體相鄰的第二半導體及與第二半導體相鄰的第三絕緣體,在結構體與第二導電體交叉的第二交叉部,結構體包括與第二絕緣體相鄰的第四導電體及與第四導電體相鄰的第四絕緣體,在第一交叉部,第一絕緣體、第一半導體、第二絕緣體、第二半導體以及第三絕緣體圍繞第三導電體設置為同心狀,在第二交叉部,第一絕緣體、第一半導體、第二絕緣體、第四導電體以及第四絕緣體圍繞第三導電體設置為同心狀。One embodiment of the present invention is a semiconductor device that includes a structure extending in a first direction, a first electrical conductor and a second electrical conductor extending in a second direction, and the structure includes a structure extending in the first direction. The extended third conductive body, the first insulator adjacent to the third conductive body, the first semiconductor adjacent to the first insulator, and the second insulator adjacent to the first semiconductor are crossed between the structure and the first conductive body The first intersection between the structure and the first conductor includes a second semiconductor adjacent to the second insulator and a third insulator adjacent to the second semiconductor, at the first intersection of the structure and the second conductor Two intersections, the structure includes a fourth conductor adjacent to the second insulator and a fourth insulator adjacent to the fourth conductor. At the first intersection, the first insulator, the first semiconductor, the second insulator, and the second insulator The two semiconductors and the third insulator are arranged concentrically around the third electric conductor. At the second intersection, the first insulator, the first semiconductor, the second insulator, the fourth electric conductor, and the fourth insulator are arranged concentrically around the third electric conductor. shape.

第一方向與第二方向正交。此外,第一交叉部用作資料寫入用電晶體,第二交叉部用作資料讀出用電晶體及電容器。The first direction is orthogonal to the second direction. In addition, the first intersection is used as a transistor for data writing, and the second intersection is used as a transistor and capacitor for data reading.

第一交叉部可以用作電晶體。此外,第二交叉部可以用作電晶體及電容器。上述半導體裝置例如可以用作NAND型記憶體裝置。The first intersection can be used as a transistor. In addition, the second intersection can be used as a transistor and a capacitor. The above-mentioned semiconductor device can be used as, for example, a NAND-type memory device.

第一半導體及第二半導體中的至少一個較佳為氧化物半導體。氧化物半導體較佳為包含銦和鋅中的至少一個。At least one of the first semiconductor and the second semiconductor is preferably an oxide semiconductor. The oxide semiconductor preferably contains at least one of indium and zinc.

此外,本發明的另一個實施方式是一種電子裝置,該電子裝置包括操作開關、電池以及顯示部中的至少一個和上述半導體裝置。In addition, another embodiment of the present invention is an electronic device including at least one of an operation switch, a battery, and a display portion, and the above-mentioned semiconductor device.

根據本發明的一個實施方式,可以提供一種可靠性高的記憶體裝置。此外,可以提供一種記憶容量大的記憶體裝置。此外,可以提供一種新穎的記憶體裝置。此外,可以提供一種可靠性高的半導體裝置。此外,可以提供一種記憶容量大的半導體裝置。此外,可以提供一種新穎的半導體裝置。According to an embodiment of the present invention, a memory device with high reliability can be provided. In addition, a memory device with a large memory capacity can be provided. In addition, a novel memory device can be provided. In addition, a highly reliable semiconductor device can be provided. In addition, a semiconductor device with a large memory capacity can be provided. In addition, a novel semiconductor device can be provided.

注意,本發明的一個實施方式的效果不侷限於上述效果。上述效果並不妨礙其他效果的存在。其他效果是指將在下面的記載中描述的上述以外的效果。本領域技術人員可以從說明書或圖式等的記載中導出並適當衍生上述以外的效果。此外,本發明的一個實施方式具有上述效果及其他效果中的至少一個效果。因此,本發明的一個實施方式根據情況而有時沒有上述效果。Note that the effects of one embodiment of the present invention are not limited to the above-mentioned effects. The above effects do not prevent the existence of other effects. The other effects refer to effects other than the above described in the following description. Those skilled in the art can derive and appropriately derive effects other than those described above from descriptions in the specification or drawings. In addition, one embodiment of the present invention has at least one of the above-mentioned effects and other effects. Therefore, one embodiment of the present invention may not have the above-mentioned effects depending on the situation.

在本說明書等中,半導體裝置是指利用半導體特性的裝置以及包括半導體元件(電晶體、二極體、光電二極體等)的電路及包括該電路的裝置等。此外,半導體裝置是指能夠利用半導體特性而發揮作用的所有裝置。例如,作為半導體裝置的例子,有積體電路、具備積體電路的晶片、封裝中容納有晶片的電子構件。此外,記憶體裝置、顯示裝置、發光裝置、照明設備以及電子裝置等本身是半導體裝置,或者有時包括半導體裝置。In this specification and the like, semiconductor devices refer to devices that utilize semiconductor characteristics, circuits including semiconductor elements (transistors, diodes, photodiodes, etc.), devices including such circuits, and the like. In addition, a semiconductor device refers to all devices that can function using semiconductor characteristics. For example, as examples of semiconductor devices, there are integrated circuits, chips provided with integrated circuits, and electronic components in which the chips are accommodated in packages. In addition, memory devices, display devices, light-emitting devices, lighting equipment, and electronic devices are themselves semiconductor devices, or sometimes include semiconductor devices.

此外,在本說明書等中,當記載為“X與Y連接”時,表示在本說明書等中公開了如下情況:X與Y電連接的情況;X與Y在功能上連接的情況;以及X與Y直接連接的情況。因此,不侷限於圖式或文中所示的連接關係,例如其他的連接關係也在圖式或文中所記載的範圍內記載。X和Y都是物件(例如,裝置、元件、電路、佈線、電極、端子、導電膜、層等)。In addition, in this specification and the like, when it is described as "X and Y are connected", it means that the following cases are disclosed in this specification and the like: the case where X and Y are electrically connected; the case where X and Y are functionally connected; and X When directly connected to Y. Therefore, it is not limited to the connection relationship shown in the drawings or the text. For example, other connection relationships are also described within the scope of the drawings or the text. Both X and Y are objects (for example, devices, elements, circuits, wirings, electrodes, terminals, conductive films, layers, etc.).

作為X和Y電連接的情況的一個例子,可以在X和Y之間連接一個以上的能夠電連接X和Y的元件(例如開關、電晶體、電容器、電感器、電阻器、二極體、顯示器件、發光器件、負載等)。此外,開關具有控制開啟或關閉的功能。換言之,藉由使開關處於導通狀態(開啟狀態)或非導通狀態(關閉狀態)來控制是否使電流流過。As an example of the case where X and Y are electrically connected, one or more elements (such as switches, transistors, capacitors, inductors, resistors, diodes, Display devices, light-emitting devices, loads, etc.). In addition, the switch has the function of controlling on or off. In other words, by making the switch in a conducting state (open state) or a non-conducting state (closed state), it is controlled whether to allow current to flow.

作為X與Y在功能上連接的情況的一個例子,例如可以在X與Y之間連接有一個以上的能夠在功能上連接X與Y的電路(例如,邏輯電路(反相器、NAND電路、NOR電路等)、信號轉換電路(數位類比轉換電路、類比數位轉換電路、伽瑪校正電路等)、電位位準轉換電路(電源電路(升壓電路、降壓電路等)、改變信號的電位位準的位準轉移電路等)、電壓源、電流源、切換電路、放大電路(能夠增大信號振幅或電流量等的電路、運算放大器、差動放大電路、源極隨耦電路、緩衝電路等)、信號產生電路、記憶體電路、控制電路等)。注意,例如,即使在X與Y之間夾有其他電路,當從X輸出的信號傳送到Y時,就可以說X與Y在功能上是連接著的。As an example of a case where X and Y are functionally connected, for example, one or more circuits capable of functionally connecting X and Y (for example, logic circuits (inverters, NAND circuits, NOR circuit, etc.), signal conversion circuit (digital-to-analog conversion circuit, analog-to-digital conversion circuit, gamma correction circuit, etc.), potential level conversion circuit (power supply circuit (boost circuit, step-down circuit, etc.), change signal potential level Level transfer circuits, etc.), voltage sources, current sources, switching circuits, amplifier circuits (circuits that can increase signal amplitude or current, etc., operational amplifiers, differential amplifier circuits, source follower circuits, buffer circuits, etc.) ), signal generating circuit, memory circuit, control circuit, etc.). Note that, for example, even if there are other circuits between X and Y, when the signal output from X is transmitted to Y, it can be said that X and Y are functionally connected.

此外,當明確地記載為“X與Y電連接”時,包括如下情況:X與Y電連接的情況(換言之,以中間夾有其他元件或其他電路的方式連接X與Y的情況);以及X與Y直接連接的情況(換言之,以中間不夾有其他元件或其他電路的方式連接X與Y的情況)。In addition, when it is clearly stated as "X and Y are electrically connected", the following cases are included: the case where X and Y are electrically connected (in other words, the case where X and Y are connected with other elements or other circuits in between); and When X and Y are directly connected (in other words, when X and Y are connected without other components or other circuits in between).

例如,可以表現為“X、Y、電晶體的源極(或第一端子等)與電晶體的汲極(或第二端子等)互相電連接,X、電晶體的源極(或第一端子等)、電晶體的汲極(或第二端子等)與Y依次電連接”。或者,可以表現為“電晶體的源極(或第一端子等)與X電連接,電晶體的汲極(或第二端子等)與Y電連接,X、電晶體的源極(或第一端子等)、電晶體的汲極(或第二端子等)與Y依次電連接”。或者,可以表達為“X藉由電晶體的源極(或第一端子等)及電晶體的汲極(或第二端子等)與Y電連接,X、電晶體的源極(或第一端子等)、電晶體的汲極(或第二端子等)、Y依次設置”。藉由使用與這種例子相同的顯示方法規定電路結構中的連接順序,可以區分電晶體的源極(或第一端子等)與汲極(或第二端子等)而決定技術範圍。注意,這種顯示方法是一個例子,不侷限於上述顯示方法。在此,X和Y為物件(例如,裝置、元件、電路、佈線、電極、端子、導電膜、層等)。For example, it can be expressed as "X, Y, the source (or first terminal, etc.) of the transistor and the drain (or second terminal, etc.) of the transistor are electrically connected to each other, X, the source (or first terminal, etc.) of the transistor The terminal, etc.), the drain (or the second terminal, etc.) of the transistor are electrically connected to Y in turn". Or, it can be expressed as "the source (or first terminal, etc.) of the transistor is electrically connected to X, the drain (or second terminal, etc.) of the transistor is electrically connected to Y, and X, the source (or first terminal, etc.) of the transistor are electrically connected to Y. One terminal, etc.), the drain (or second terminal, etc.) of the transistor are electrically connected to Y in turn". Or, it can be expressed as "X is electrically connected to Y through the source (or first terminal, etc.) of the transistor and the drain (or second terminal, etc.) of the transistor, and X, the source (or first terminal, etc.) of the transistor Terminal, etc.), the drain of the transistor (or the second terminal, etc.), and Y are set in sequence". By using the same display method as this example to specify the connection sequence in the circuit structure, the source (or first terminal, etc.) and drain (or second terminal, etc.) of the transistor can be distinguished to determine the technical scope. Note that this display method is an example and is not limited to the above display method. Here, X and Y are objects (for example, devices, elements, circuits, wirings, electrodes, terminals, conductive films, layers, etc.).

此外,即使在電路圖上獨立的組件彼此電連接,也有時一個組件兼有多個組件的功能。例如,在佈線的一部分用作電極時,一個導電膜兼有佈線和電極的兩個組件的功能。因此,本說明書中的“電連接”的範疇內還包括這種一個導電膜兼有多個組件的功能的情況。In addition, even if independent components are electrically connected to each other on the circuit diagram, one component sometimes has the functions of multiple components. For example, when a part of the wiring is used as an electrode, one conductive film has the functions of two components of the wiring and the electrode. Therefore, the category of "electrical connection" in this specification also includes the case where a single conductive film has the function of a plurality of components.

在本說明書等中,“電阻元件”例如包括具有高於0Ω的電阻值的電路元件、佈線等。因此,在本說明書等中,“電阻元件”包括具有電阻值的佈線、電流流過源極和汲極之間的電晶體、二極體、線圈等。因此,“電阻元件”也可以稱為“電阻”、“負載”、“具有電阻值的區域”等,與此相反,“電阻”、“負載”、“具有電阻值的區域”也可以稱為“電阻元件”等。作為電阻值,例如較佳為1mΩ以上且10Ω以下,更佳為5mΩ以上且5Ω以下,進一步較佳為10mΩ以上且1Ω以下。此外,例如也可以為1Ω以上且1×109 Ω以下。In this specification and the like, the "resistive element" includes, for example, circuit elements, wirings, and the like having a resistance value higher than 0Ω. Therefore, in this specification and the like, the "resistive element" includes a wiring having a resistance value, a transistor, a diode, a coil, and the like through which a current flows between a source and a drain. Therefore, "resistance element" can also be called "resistance", "load", "area with resistance value", etc., on the contrary, "resistance", "load", "area with resistance value" can also be called "Resistive element" and so on. The resistance value is, for example, preferably 1 mΩ or more and 10 Ω or less, more preferably 5 mΩ or more and 5 Ω or less, and still more preferably 10 mΩ or more and 1 Ω or less. In addition, for example, it may be 1 Ω or more and 1×10 9 Ω or less.

在本說明書等中,“電容器”例如包括具有高於0F的靜電電容值的電路元件、具有靜電電容值的佈線的區域、寄生電容、電晶體的閘極電容等。因此,在本說明書等中,“電容器”除包括具有一對電極及在該電極之間的介電體的電路元件外還包括產生在佈線和佈線之間的寄生電容、產生在電晶體的源極和汲極中的一個與閘極之間閘極電容等。“電容器”、“寄生電容”、“閘極電容”等也可以稱為“電容”等,與此相反,“電容”也可以稱為“電容器”、“寄生電容”、“閘極電容”等。此外,“電容”的“一對電極”也可以稱為“一對導電體”、“一對導電區域”、“一對區域”等。靜電電容值例如可以為0.05fF以上且10pF以下。此外,例如,還可以為1pF以上且10μF以下。In this specification and the like, the “capacitor” includes, for example, a circuit element having an electrostatic capacitance value higher than 0F, a wiring area having an electrostatic capacitance value, parasitic capacitance, gate capacitance of a transistor, and the like. Therefore, in this specification and the like, "capacitor" includes, in addition to a circuit element having a pair of electrodes and a dielectric between the electrodes, it also includes parasitic capacitance generated between wiring and wiring, and a source generated in a transistor. The gate capacitance between one of the electrode and the drain electrode and the gate electrode, etc. "Capacitor", "parasitic capacitance", "gate capacitance", etc. can also be called "capacitance", etc., on the contrary, "capacitance" can also be called "capacitor", "parasitic capacitance", "gate capacitance", etc. . In addition, the "pair of electrodes" of the "capacitor" may also be referred to as "a pair of conductors", "a pair of conductive regions", "a pair of regions", and the like. The capacitance value can be, for example, 0.05 fF or more and 10 pF or less. In addition, for example, it may be 1 pF or more and 10 μF or less.

在本說明書等中,電晶體包括閘極、源極以及汲極這三個端子。閘極用作控制電晶體的導通狀態的控制端子。用作源極或汲極的兩個端子是電晶體的輸入輸出端子。根據電晶體的導電型(n通道型、p通道型)及對電晶體的三個端子施加的電位的高低,兩個輸入輸出端子中的一方用作源極而另一方用作汲極。因此,在本說明書等中,源極和汲極可以相互調換。在本說明書等中,在說明電晶體的連接關係時,使用“源極和汲極中的一個”(第一電極或第一端子)、“源極和汲極中的另一個”(第二電極或第二端子)的表述。此外,根據電晶體的結構,有時除了上述三個端子以外還包括背閘極。在此情況下,在本說明書等中,有時將電晶體的閘極和背閘極中的一個稱為第一閘極,將電晶體的閘極和背閘極的另一個稱為第二閘極。並且,在相同電晶體中,有時可以將“閘極”與“背閘極”相互調換。此外,在電晶體包括三個以上的閘極時,在本說明書等中,有時將各閘極稱為第一閘極、第二閘極、第三閘極等。In this specification and the like, the transistor includes three terminals of a gate, a source, and a drain. The gate is used as a control terminal to control the conduction state of the transistor. The two terminals used as source or drain are the input and output terminals of the transistor. According to the conductivity type (n-channel type, p-channel type) of the transistor and the level of the potential applied to the three terminals of the transistor, one of the two input and output terminals is used as a source and the other is used as a drain. Therefore, in this specification and the like, the source and the drain can be interchanged. In this specification, etc., when describing the connection relationship of the transistors, "one of the source and drain" (first electrode or first terminal), "the other of the source and drain" (second Electrode or second terminal). In addition, depending on the structure of the transistor, a back gate may be included in addition to the above three terminals. In this case, in this specification, etc., one of the gate and back gate of the transistor is sometimes referred to as the first gate, and the other of the gate and back gate of the transistor is sometimes referred to as the second. Gate. And, in the same transistor, sometimes the "gate" and "back gate" can be interchanged. In addition, when the transistor includes three or more gates, in this specification and the like, each gate may be referred to as a first gate, a second gate, a third gate, or the like.

此外,在本說明書等中,節點也可以根據電路結構或裝置結構等稱為端子、佈線、電極、導電層、導電體或雜質區域等。此外,端子、佈線等也可以稱為節點。In addition, in this specification and the like, nodes may also be referred to as terminals, wirings, electrodes, conductive layers, conductors, or impurity regions, etc. depending on the circuit structure, device structure, or the like. In addition, terminals, wiring, etc. may also be referred to as nodes.

此外,在本說明書等中,可以適當地調換“電壓”和“電位”。“電壓”是指與參考電位之間的電位差,例如在參考電位為地電位(接地電位)時,也可以將“電壓”稱為“電位”。接地電位不一定意味著0V。此外,電位是相對性的,根據參考電位的變化而供應到佈線的電位、施加到電路等的電位、從電路等輸出的電位等也產生變化。In addition, in this specification and the like, "voltage" and "potential" can be appropriately exchanged. "Voltage" refers to a potential difference from a reference potential. For example, when the reference potential is a ground potential (ground potential), the "voltage" may also be referred to as a "potential". The ground potential does not necessarily mean 0V. In addition, the potential is relative, and the potential supplied to the wiring, the potential applied to the circuit or the like, the potential output from the circuit or the like also changes in accordance with the change of the reference potential.

此外,在本說明書等中,“高位準電位(也稱為“H電位”或“H”)”、“低位準電位(也稱為“L電位”或“L”)”不意味著特定的電位。例如,在兩個佈線都被記為“用作供應高位準電位的佈線”的情況下,兩個佈線所供應的高位準電位也可以互不相同。同樣,在兩個佈線都被記為“用作供應低位準電位的佈線”的情況下,兩個佈線所供應的低位準電位也可以互不相同。In addition, in this specification and the like, "high level potential (also referred to as "H potential" or "H")" and "low level potential (also referred to as "L potential" or "L")" do not mean specific Potential. For example, in the case where both wirings are denoted as "wiring for supplying high-level potential", the high-level potentials supplied by the two wirings may be different from each other. Similarly, in the case where both wirings are denoted as "wiring for supplying low-level potential", the low-level potentials supplied by the two wirings may also be different from each other.

“電流”是指電荷的移動現象(導電),例如,“發生正帶電體的導電”的記載可以替換為“在與其相反方向上發生負帶電體的導電”的記載。因此,在本說明書等中,在沒有特別的說明的情況下,“電流”是指載子移動時的電荷的移動現象(導電)。在此,作為載子可以舉出電子、電洞、陰離子、陽離子、絡離子等,載子根據電流流過的系統(例如,半導體、金屬、電解液、真空中等)不同。此外,佈線等中的“電流的方向”是正載子移動的方向,以正電流量記載。換言之,負載子移動的方向與電流方向相反,以負電流量記載。因此,在本說明書等中,在沒有特別的說明的情況下,關於電流的正負(或電流的方向),“電流從元件A向元件B流過”等記載可以替換為“電流從元件B向元件A流過”等記載。此外,“對元件A輸入電流”等記載可以替換為“從元件A輸出電流”等記載。"Current" refers to the phenomenon of electric charge movement (conduction). For example, the description of "conduction of a positively charged body occurs" can be replaced with a description of "conduction of a negatively charged body occurs in the opposite direction." Therefore, in this specification and the like, unless otherwise specified, "current" refers to the phenomenon of charge movement (conduction) when carriers move. Here, examples of carriers include electrons, holes, anions, cations, complex ions, and the like. The carriers differ depending on the system (for example, semiconductor, metal, electrolyte, vacuum, etc.) through which current flows. In addition, the "direction of current" in wiring or the like is the direction in which positive carriers move, and is described as the amount of positive current. In other words, the direction in which the load carrier moves is opposite to the direction of current, and is described as a negative current amount. Therefore, in this specification and the like, unless otherwise specified, the positive and negative (or the direction of the current) of the current, "current flows from element A to element B", etc. can be replaced with "current from element B to element B". "Element A flows through" and so on. In addition, descriptions such as "current input to element A" may be replaced with descriptions such as "current output from element A".

此外,在本說明書等中,“第一”、“第二”、“第三”等序數詞是為了避免組件的混淆而附加上的。因此,該序數詞不限制組件的個數。此外,該序數詞不限制組件的順序。此外,例如,本說明書等的實施方式之一中附有“第一”的組件有可能在其他的實施方式或申請專利範圍中附有“第二”的組件。此外,例如,在本說明書等中,一個實施方式中的“第一”所指的組件有可能在其他實施方式或申請專利範圍的範圍中被省略。In addition, in this specification and the like, ordinal numbers such as "first", "second", and "third" are added to avoid confusion of components. Therefore, the ordinal number does not limit the number of components. In addition, the ordinal number does not limit the order of the components. In addition, for example, a component appended with “first” in one of the embodiments in this specification and the like may be appended with a “second” component in other embodiments or the scope of the patent application. In addition, for example, in this specification and the like, components referred to by “first” in one embodiment may be omitted in the scope of other embodiments or the scope of the patent application.

此外,“上”或“下”這樣的術語不限定於組件的位置關係為“正上”或“正下”且直接接觸的情況。例如,如果是“絕緣層A上的電極B”的表述,則不一定必須在絕緣層A上直接接觸地形成有電極B,也可以包括在絕緣層A與電極B之間包括其他組件的情況。In addition, the term "upper" or "lower" is not limited to the case where the positional relationship of the components is "upright" or "upright" and they are in direct contact. For example, if it is the expression "the electrode B on the insulating layer A", the electrode B does not necessarily have to be formed in direct contact on the insulating layer A, and other components may be included between the insulating layer A and the electrode B. .

此外,組件的位置關係根據描述各組件的方向適當地改變。因此,不侷限於說明書等中所說明的詞句,根據情況可以適當地換詞句。例如,在本說明書等中,為了方便起見,有時使用“上”、“下”等表示配置的詞句以參照圖式說明組件的位置關係。因此,在“位於導電體的頂面的絕緣體”的表述中,藉由將所示的圖式的方向旋轉180度,也可以稱為“位於導電體的下面的絕緣體”。此外,在“位於導電體的頂面的絕緣體”的表述中,藉由將所示的圖式的方向旋轉90度,也可以稱為“位於導電體的左面(或右面)的絕緣體”。In addition, the positional relationship of the components is appropriately changed according to the direction in which each component is described. Therefore, it is not limited to the words and sentences described in the specification, etc., and the words and sentences can be changed appropriately according to the situation. For example, in this specification and the like, for the sake of convenience, words and expressions such as "upper" and "lower" are sometimes used to indicate the arrangement to explain the positional relationship of the components with reference to the drawings. Therefore, in the expression "the insulator located on the top surface of the conductor", by rotating the direction of the illustrated drawing by 180 degrees, it can also be referred to as "the insulator located under the conductor". In addition, in the expression "the insulator located on the top surface of the conductor", by rotating the direction of the illustrated drawing by 90 degrees, it can also be referred to as "the insulator located on the left (or right) side of the conductor".

同樣,在本說明書等中,“重疊”等詞語不限定組件的疊層順序等的狀態。例如,“與絕緣層A重疊的電極B”不侷限於“在絕緣層A上形成有電極B”的狀態,還包括“在絕緣層A下形成有電極B”的狀態或“在絕緣層A的右側(或左側)形成有電極B”的狀態。Similarly, in this specification and the like, terms such as "overlap" do not limit the state of the stacking order of components and the like. For example, "the electrode B overlapping with the insulating layer A" is not limited to the state "the electrode B is formed on the insulating layer A", but also includes the state "the electrode B is formed under the insulating layer A" or "the electrode B is formed on the insulating layer A". The state where the electrode B" is formed on the right side (or left side).

在本說明書等中,“相鄰”或“接近”等詞語不限定組件直接接觸的狀態。例如,如果是“與絕緣層A相鄰的電極B”的表述,則不一定必須是絕緣層A與電極B直接接觸的情況,也可以包括在絕緣層A與電極B之間包括其他組件的情況。In this specification and the like, words such as "adjacent" or "close" do not limit the state in which the components are in direct contact. For example, if it is the expression "electrode B adjacent to the insulating layer A", it does not necessarily have to be the case where the insulating layer A is in direct contact with the electrode B, and it can also include other components between the insulating layer A and the electrode B. Condition.

此外,在本說明書等中,根據狀況,可以互相調換“膜”和“層”等詞句。例如,有時可以將“導電層”調換為“導電膜”。此外,有時可以將“絕緣膜”變換為“絕緣層”。此外,根據情況或狀態,可以使用其他詞句代替“膜”和“層”等詞句。例如,有時可以將“導電層”或“導電膜”變換為“導電體”。此外,例如有時可以將“絕緣層”或“絕緣膜”變換為“絕緣體”。In addition, in this specification and the like, terms such as "film" and "layer" may be interchanged depending on the situation. For example, sometimes the "conductive layer" may be exchanged for the "conductive film." In addition, the "insulating film" may sometimes be converted into an "insulating layer." In addition, depending on the situation or state, other words and expressions may be used instead of words and expressions such as "film" and "layer". For example, "conductive layer" or "conductive film" may be converted into "conductor" in some cases. In addition, for example, the "insulating layer" or the "insulating film" may be converted into an "insulator" in some cases.

注意,在本說明書等中,“電極”、“佈線”、“端子”等的詞句不在功能上限定其組件。例如,有時將“電極”用作“佈線”的一部分,反之亦然。再者,“電極”或“佈線”還包括多個“電極”或“佈線”被形成為一體的情況等。此外,例如,有時將“端子”用作“佈線”或“電極”的一部分,反之亦然。再者,“端子”的詞句包括多個“電極”、“佈線”、“端子”等被形成為一體的情況等。因此,例如,“電極”可以為“佈線”或“端子”的一部分,例如,“端子”可以為“佈線”或“電極”的一部分。此外,“電極”、“佈線”、“端子”等的詞句有時置換為“區域”等的詞句。Note that in this specification and the like, terms such as "electrodes", "wiring", "terminals" and the like do not functionally limit its components. For example, sometimes "electrodes" are used as part of "wiring" and vice versa. Furthermore, the term "electrode" or "wiring" also includes a case where a plurality of "electrodes" or "wirings" are formed as a whole, and the like. In addition, for example, the "terminal" is sometimes used as a part of the "wiring" or the "electrode", and vice versa. In addition, the term "terminal" includes a case where a plurality of "electrodes", "wirings", "terminals", etc. are formed as one body, and the like. Therefore, for example, the "electrode" may be a part of the "wiring" or the "terminal", and for example, the "terminal" may be a part of the "wiring" or the "electrode". In addition, words and expressions such as "electrodes", "wiring", and "terminals" may be replaced with words and expressions such as "area".

在本說明書等中,根據情況或狀態,可以互相調換“佈線”、“信號線”及“電源線”等詞句。例如,有時可以將“佈線”變換為“信號線”。此外,例如有時可以將“佈線”變換為“電源線”。反之亦然,有時可以將“信號線”或“電源線”變換為“佈線”。有時可以將“電源線”變換為“信號線”。反之亦然,有時可以將“信號線”變換為“電源線”。此外,根據情況或狀態,可以互相將施加到佈線的“電位”變換為“信號”。反之亦然,有時可以將“信號”變換為“電位”。In this manual, etc., terms such as "wiring", "signal line", and "power line" can be interchanged depending on the situation or state. For example, sometimes "wiring" can be converted to "signal line". In addition, for example, “wiring” may be converted to “power supply line”. The reverse is also true, sometimes the "signal line" or "power line" can be transformed into "wiring." Sometimes the "power line" can be transformed into a "signal line". The reverse is also true, sometimes the "signal line" can be transformed into a "power line". In addition, depending on the situation or state, it is possible to mutually convert the "potential" applied to the wiring into a "signal". The reverse is also true, sometimes the "signal" can be transformed into a "potential".

在本說明書等中,半導體的雜質是指構成半導體膜的主要成分之外的物質。例如,濃度低於0.1atomic%的元素是雜質。當包含雜質時,例如,半導體中的缺陷態密度有可能提高,載子移動率有可能降低或結晶性有可能降低。在半導體是氧化物半導體時,作為改變半導體特性的雜質,例如有第1族元素、第2族元素、第13族元素、第14族元素、第15族元素或主要成分之外的過渡金屬等,尤其是,例如有氫(也包含於水中)、鋰、鈉、矽、硼、磷、碳、氮等。明確而言,當半導體是矽層時,作為改變半導體特性的雜質,例如有氧、除氫之外的第1族元素、第2族元素、第13族元素、第15族元素等。In this specification and the like, semiconductor impurities refer to substances other than the main components constituting the semiconductor film. For example, elements with a concentration lower than 0.1 atomic% are impurities. When impurities are included, for example, the defect state density in the semiconductor may increase, the carrier mobility may decrease, or the crystallinity may decrease. When the semiconductor is an oxide semiconductor, as impurities that change the characteristics of the semiconductor, there are, for example, group 1 elements, group 2 elements, group 13 elements, group 14 elements, group 15 elements, or transition metals other than the main component. In particular, there are, for example, hydrogen (also contained in water), lithium, sodium, silicon, boron, phosphorus, carbon, nitrogen, and the like. Specifically, when the semiconductor is a silicon layer, as impurities that change the characteristics of the semiconductor, for example, oxygen, a group 1 element, a group 2 element, a group 13 element, a group 15 element, etc. other than hydrogen.

在本說明書等中,開關是指具有藉由變為導通狀態(開啟狀態)或非導通狀態(關閉狀態)來控制是否使電流流過的功能的元件。或者,開關是指具有選擇並切換電流路徑的功能的元件。作為開關的一個例子,可以使用電開關或機械開關等。換而言之,開關只要可以控制電流,就不侷限於特定的元件。In this specification and the like, a switch refers to an element that has a function of controlling whether to allow current to flow by changing to a conductive state (open state) or a non-conductive state (closed state). Alternatively, the switch refers to an element having the function of selecting and switching a current path. As an example of the switch, an electric switch, a mechanical switch, or the like can be used. In other words, the switch is not limited to a specific element as long as it can control the current.

電開關的例子包括電晶體(例如雙極電晶體或MOS電晶體)、二極體(例如PN二極體、PIN二極體、肖特基二極體、金屬-絕緣體-金屬(MIM)二極體、金屬-絕緣體-半導體(MIS)二極體或者二極體接法的電晶體)或者組合這些元件的邏輯電路等。當作為開關使用電晶體時,電晶體的“導通狀態”是指電晶體的源極電極與汲極電極在電性上短路的狀態。此外,電晶體的“非導通狀態”是指電晶體的源極電極與汲極電極在電性上斷開的狀態。當將電晶體僅用作開關時,對電晶體的極性(導電型)沒有特別的限制。Examples of electrical switches include transistors (such as bipolar transistors or MOS transistors), diodes (such as PN diodes, PIN diodes, Schottky diodes, metal-insulator-metal (MIM) two Polar bodies, metal-insulator-semiconductor (MIS) diodes or diode-connected transistors) or logic circuits combining these components. When a transistor is used as a switch, the "on state" of the transistor refers to the state where the source electrode and the drain electrode of the transistor are electrically short-circuited. In addition, the "non-conduction state" of the transistor refers to a state where the source electrode and the drain electrode of the transistor are electrically disconnected. When the transistor is used only as a switch, there is no particular limitation on the polarity (conductivity type) of the transistor.

作為機械開關的例子,可以舉出利用了MEMS(微機電系統)技術的開關。該開關具有以機械方式可動的電極,並且藉由移動該電極來控制導通和非導通而進行工作。As an example of a mechanical switch, a switch using MEMS (Micro Electro Mechanical System) technology can be cited. The switch has a mechanically movable electrode, and works by moving the electrode to control conduction and non-conduction.

此外,在本說明書等中,“通態電流”有時是指在電晶體處於開啟狀態時流過源極和汲極之間的電流。此外,“關態電流(off-state current)”有時是指在電晶體處於關閉狀態時流過源極和汲極之間的電流。In addition, in this specification and the like, the “on-state current” sometimes refers to the current flowing between the source and the drain when the transistor is in the on state. In addition, "off-state current" sometimes refers to the current flowing between the source and the drain when the transistor is in the off state.

在本說明書中,“平行”是指兩條直線形成的角度為-10°以上且10°以下的狀態。因此,也包括該角度為-5°以上且5°以下的狀態。“大致平行”是指兩條直線形成的角度為-30°以上且30°以下的狀態。此外,“垂直”是指兩條直線形成的角度為80°以上且100°以下的狀態。因此,也包括該角度為85°以上且95°以下的狀態。“大致垂直”是指兩條直線形成的角度為60°以上且120°以下的狀態。此外,“正交”是指兩條直線形成的角度為80°以上且100°以下的狀態。因此,也包括該角度為85°以上且95°以下的狀態。In this specification, "parallel" refers to a state where the angle formed by two straight lines is -10° or more and 10° or less. Therefore, the state where the angle is -5° or more and 5° or less is also included. "Almost parallel" refers to a state where the angle formed by two straight lines is -30° or more and 30° or less. In addition, "perpendicular" refers to a state where the angle formed by two straight lines is 80° or more and 100° or less. Therefore, the state where the angle is 85° or more and 95° or less is also included. "Almost perpendicular" refers to a state where the angle formed by two straight lines is 60° or more and 120° or less. In addition, "orthogonal" refers to a state where the angle formed by two straight lines is 80° or more and 100° or less. Therefore, the state where the angle is 85° or more and 95° or less is also included.

在本說明書等中,關於計數值或計量值、換算成計數值或計量值的物件、方法以及現象等,當提到“同一”、“相同”、“相等”或“均勻”等時,除非特別敘述,包括±20%的誤差。In this manual, etc., when referring to "identical", "same", "equal" or "uniform", etc., with regard to counted or measured values, objects, methods and phenomena converted into counted or measured values, etc., unless Special description, including ±20% error.

在本說明書等中,金屬氧化物(metal oxide)是指廣義上的金屬的氧化物。金屬氧化物被分類為氧化物絕緣體、氧化物導電體(包括透明氧化物導電體)和氧化物半導體(Oxide Semiconductor,也可以簡稱為OS)等。例如,在將金屬氧化物用於電晶體的活性層的情況下,有時將該金屬氧化物稱為氧化物半導體。換言之,在金屬氧化物能夠構成包括具有放大作用、整流作用及開關作用中的至少一個的電晶體的通道形成區域時,該金屬氧化物稱為金屬氧化物半導體(metal oxide semiconductor),簡稱為OS。此外,也可以將OS電晶體稱為包含金屬氧化物或氧化物半導體的電晶體。In this specification and the like, metal oxide refers to an oxide of a metal in a broad sense. Metal oxides are classified into oxide insulators, oxide conductors (including transparent oxide conductors), and oxide semiconductors (Oxide Semiconductor, also abbreviated as OS). For example, when a metal oxide is used for the active layer of a transistor, the metal oxide is sometimes referred to as an oxide semiconductor. In other words, when a metal oxide can form a channel formation region including a transistor having at least one of amplification, rectification, and switching, the metal oxide is called a metal oxide semiconductor, or OS for short. . In addition, the OS transistor may also be referred to as a transistor including a metal oxide or an oxide semiconductor.

此外,在本說明書等中,有時將包含氮的金屬氧化物也稱為金屬氧化物(metal oxide)。此外,也可以將包含氮的金屬氧化物稱為金屬氧氮化物(metal oxynitride)。In addition, in this specification and the like, a metal oxide containing nitrogen may also be referred to as a metal oxide. In addition, the metal oxide containing nitrogen may also be referred to as metal oxynitride.

此外,在本說明書等中,各實施方式所示的結構可以與其他實施方式所示的結構適當地組合而構成本發明的一個實施方式。此外,當在一個實施方式中示出多個結構例子時,可以適當地組合這些結構例子。In addition, in this specification and the like, the structure shown in each embodiment can be appropriately combined with the structure shown in other embodiments to constitute one embodiment of the present invention. In addition, when a plurality of structural examples are shown in one embodiment, these structural examples can be appropriately combined.

此外,可以將某一實施方式(實施例)中說明的內容(或其一部分)應用/組合/替換成該實施方式中說明的其他內容(或其一部分)和另一個或多個其他實施方式中說明的內容(或其一部分)中的至少一個內容。In addition, the content (or part thereof) described in a certain embodiment (embodiment) can be applied/combined/replaced with other content (or part thereof) described in this embodiment and in another or more other embodiments. At least one of the contents (or a part thereof) of the description.

注意,實施方式中說明的內容是指各實施方式中利用各種圖式所說明的內容或者利用說明書所記載的文章而說明的內容。Note that the content described in the embodiments refers to the content described in the various drawings or the content described in the article described in the specification in each embodiment.

此外,藉由將某一實施方式中示出的圖式(或其一部分)與該圖式的其他部分、該實施方式中示出的其他圖式(或其一部分)和另一個或多個其他實施方式中示出的圖式(或其一部分)中的至少一個圖式組合,可以構成更多圖。In addition, by combining a drawing (or a part thereof) shown in a certain embodiment with other parts of the drawing, another drawing (or a part thereof) shown in the embodiment and another or more other A combination of at least one of the drawings (or a part thereof) shown in the embodiments can form more drawings.

參照圖式說明本說明書所記載的實施方式。注意,所屬技術領域的通常知識者可以很容易地理解一個事實,就是實施方式可以以多個不同形式來實施,其方式和詳細內容可以在不脫離本發明的精神及其範圍的條件下被變換為各種各樣的形式。因此,本發明不應該被解釋為僅限定在實施方式所記載的內容中。注意,在實施方式中的發明的結構中,有時在不同的圖式中共同使用相同的元件符號來表示相同的部分或具有相同功能的部分,而省略反復說明。在立體圖或俯視圖等中,為了明確起見,有時省略部分組件的圖示。The embodiments described in this specification will be described with reference to the drawings. Note that those skilled in the art can easily understand the fact that the embodiments can be implemented in a number of different forms, and the methods and details can be changed without departing from the spirit and scope of the present invention. In various forms. Therefore, the present invention should not be interpreted as being limited to only the content described in the embodiments. Note that in the structure of the invention in the embodiments, the same reference numerals may be used in common in different drawings to denote the same parts or parts having the same functions, and repeated descriptions are omitted. In the perspective view or the top view, for the sake of clarity, the illustration of some components may be omitted.

在圖式中,為便於清楚地說明,有時誇大表示大小、層的厚度或區域。因此,本發明並不侷限於圖式中的尺寸或縱橫比。此外,在圖式中,示意性地示出理想的例子,因此本發明不侷限於圖式所示的形狀或數值等。例如,可以包括因雜訊或定時偏差等所引起的信號、電壓或電流的不均勻等。In the drawings, in order to facilitate clear description, sometimes the size, layer thickness or area is exaggerated. Therefore, the present invention is not limited to the size or aspect ratio in the drawings. In addition, in the drawings, ideal examples are schematically shown, and therefore, the present invention is not limited to the shapes, numerical values, and the like shown in the drawings. For example, it may include the unevenness of signal, voltage or current caused by noise or timing deviation.

此外,在本說明書等中,在多個要素使用同一符號並且需要區分它們時,有時對符號附加“_1”,“[n]”,“[m,n]”等用於識別的符號。例如,有時將兩個佈線GL分別記為佈線GL[1]和佈線GL[2]。In addition, in this specification and the like, when the same symbol is used for multiple elements and it is necessary to distinguish them, symbols for identification such as "_1", "[n]", "[m, n]" and the like are sometimes added to the symbols. For example, two wirings GL are sometimes referred to as wiring GL[1] and wiring GL[2], respectively.

實施方式1 圖1A是本發明的一個實施方式的記憶單元100的立體圖。記憶單元100是具有三維疊層結構的記憶體裝置。在圖1A中,為了易於理解記憶單元100的內部結構,省略了記憶單元100的一部分。注意,有時在圖式中附上表示X方向、Y方向以及Z方向的箭頭。X方向、Y方向以及Z方向是彼此正交的方向。在本說明書等中,有時將X方向、Y方向或Z方向稱為“第一方向”。此外,有時將其他另一個稱為“第二方向”。此外,有時將剩下的一個稱為“第三方向”。在圖1A和圖1B等中,將平行於中心軸108的方向稱為Z方向。Embodiment 1 FIG. 1A is a perspective view of a memory unit 100 according to an embodiment of the present invention. The memory unit 100 is a memory device having a three-dimensional stacked structure. In FIG. 1A, in order to easily understand the internal structure of the memory unit 100, a part of the memory unit 100 is omitted. Note that sometimes arrows indicating the X direction, Y direction, and Z direction are attached to the drawings. The X direction, the Y direction, and the Z direction are directions orthogonal to each other. In this specification and the like, the X direction, Y direction, or Z direction may be referred to as the "first direction". In addition, the other one is sometimes referred to as the "second direction". In addition, the remaining one is sometimes referred to as the "third direction". In FIGS. 1A and 1B, etc., the direction parallel to the central axis 108 is referred to as the Z direction.

<記憶單元的結構例子> 圖1B是示出圖1A所示的記憶單元100的一部分的剖面圖。此外,圖1B是從Y方向看記憶單元100的部分的剖面圖。此外,圖1B是穿過中心軸108的XZ面的剖面圖。圖3A是從Z方向看圖1B中的點劃線A1-A2所示的部分的剖面圖。圖3B是從Z方向看圖1B中的點劃線B1-B2所示的部分的剖面圖。<Structure example of memory unit> FIG. 1B is a cross-sectional view showing a part of the memory cell 100 shown in FIG. 1A. In addition, FIG. 1B is a cross-sectional view of a part of the memory cell 100 viewed from the Y direction. In addition, FIG. 1B is a cross-sectional view of the XZ plane passing through the central axis 108. Fig. 3A is a cross-sectional view of the part shown by the chain line A1-A2 in Fig. 1B viewed from the Z direction. 3B is a cross-sectional view of the part shown by the chain line B1-B2 in FIG. 1B viewed from the Z direction.

記憶單元100包括配置在基板(未圖示)上方的多個絕緣體101。多個絕緣體101從基板一側依次被層疊。在本實施方式等中,以絕緣體101[i]表示第i(i為1以上的整數)絕緣體101。圖1B示出配置在絕緣體101[i]上方的絕緣體101[i+1],配置在絕緣體101[i+1]上方的絕緣體101[i+2]。此外,在絕緣體101[i]與絕緣體101[i+1]之間包括導電體102,在絕緣體101[i+1]與絕緣體101[i+2]之間包括導電體103。絕緣體101、導電體102以及導電體103在Y方向上延伸。The memory unit 100 includes a plurality of insulators 101 disposed above a substrate (not shown). The plurality of insulators 101 are sequentially stacked from the side of the substrate. In the present embodiment and the like, the i-th (i is an integer greater than or equal to 1) insulator 101 is represented by insulator 101[i]. FIG. 1B shows the insulator 101[i+1] arranged above the insulator 101[i], and the insulator 101[i+2] arranged above the insulator 101[i+1]. In addition, a conductor 102 is included between the insulator 101[i] and the insulator 101[i+1], and a conductor 103 is included between the insulator 101[i+1] and the insulator 101[i+2]. The insulator 101, the conductor 102, and the conductor 103 extend in the Y direction.

此外,記憶單元100包括結構體130。結構體130沿著中心軸108在Z方向上延伸。結構體130具有柱狀或筒狀的形狀。圖1A至圖3B所示的結構體130包括絕緣體111、導電體112、半導體113、半導體114、絕緣體115、半導體116、絕緣體117、導電體118等。圖2A是省略了結構體130的記載的剖面圖。In addition, the memory unit 100 includes a structure 130. The structure body 130 extends in the Z direction along the central axis 108. The structure 130 has a columnar or cylindrical shape. The structure 130 shown in FIGS. 1A to 3B includes an insulator 111, a conductor 112, a semiconductor 113, a semiconductor 114, an insulator 115, a semiconductor 116, an insulator 117, a conductor 118, and the like. FIG. 2A is a cross-sectional view omitting the description of the structural body 130.

此外,在本實施方式等中示出從Z方向看結構體130時的外周形狀為圓形的情況,但是結構體130的外周形狀也可以不侷限於圓形。例如,可以為三角形或四角形等多邊形。此外,結構體130的外周形狀既可由曲線構成又可由曲線和直線的組合構成。此外,如圖1A至圖2B所示,結構體130具有在Z方向上延伸的側面上的凹凸。In addition, in the present embodiment and the like, the case where the outer peripheral shape of the structure 130 viewed from the Z direction is circular is shown, but the outer peripheral shape of the structure 130 may not be limited to the circular shape. For example, it may be a polygon such as a triangle or a quadrangle. In addition, the outer peripheral shape of the structure body 130 may be composed of a curve or a combination of a curve and a straight line. In addition, as shown in FIGS. 1A to 2B, the structure body 130 has unevenness on the side surface extending in the Z direction.

此外,記憶單元100具有區域132。區域132是在記憶單元100的製造過程中去除絕緣體101及導電體103的一部分而形成的區域。此外,半導體121、絕緣體122、絕緣體123以及導電體102等設置在區域132中。為了易於識別區域132,作為圖2B示出省略了設置在區域132中的組件的剖面圖。In addition, the memory unit 100 has an area 132. The region 132 is a region formed by removing a part of the insulator 101 and the conductor 103 during the manufacturing process of the memory cell 100. In addition, a semiconductor 121, an insulator 122, an insulator 123, a conductor 102, and the like are provided in the region 132. In order to easily identify the area 132, as FIG. 2B, a cross-sectional view omitting the components provided in the area 132 is shown.

區域132設置在結構體130的周圍。此外,當從垂直於Z方向的方向看區域132時,該區域132具有與結構體130的凹部重疊的區域135及與結構體130的凸部重疊的區域136(參照圖2A及圖2B)。此外,區域136包括隔著絕緣體101與結構體130重疊的區域及隔著導電體103與結構體130重疊的區域。The area 132 is provided around the structure 130. In addition, when the area 132 is viewed from a direction perpendicular to the Z direction, the area 132 has an area 135 overlapping with the concave portion of the structure body 130 and an area 136 overlapping with the convex portion of the structure body 130 (refer to FIGS. 2A and 2B). In addition, the region 136 includes a region overlapping with the structural body 130 via the insulator 101 and a region overlapping with the structural body 130 via the conductor 103.

如上所述,結構體130具有柱狀或筒狀的形狀。明確而言,導電體118沿中心軸108延伸在Z方向上,絕緣體117與導電體118相鄰。此外,半導體116與絕緣體117相鄰。此外,絕緣體115與半導體116相鄰。此外,半導體114與絕緣體115相鄰。加上,在結構體130的凸部,半導體113與半導體114相鄰,導電體112與半導體113相鄰,絕緣體111與導電體112相鄰。As described above, the structure 130 has a columnar or cylindrical shape. Specifically, the electrical conductor 118 extends in the Z direction along the central axis 108, and the insulator 117 is adjacent to the electrical conductor 118. In addition, the semiconductor 116 is adjacent to the insulator 117. In addition, the insulator 115 is adjacent to the semiconductor 116. In addition, the semiconductor 114 is adjacent to the insulator 115. In addition, in the convex portion of the structure body 130, the semiconductor 113 is adjacent to the semiconductor 114, the conductor 112 is adjacent to the semiconductor 113, and the insulator 111 is adjacent to the conductor 112.

如圖3A所示,在結構體130的凹部,絕緣體117、半導體116、絕緣體115以及半導體114圍繞導電體118設置為同心狀。此外,在結構體130的凹部,半導體114與半導體121相鄰,半導體121與絕緣體122相鄰。此外,延伸在Y方向上的導電體102與結構體130的凹部交叉。As shown in FIG. 3A, in the recess of the structural body 130, the insulator 117, the semiconductor 116, the insulator 115, and the semiconductor 114 are arranged concentrically around the conductor 118. In addition, in the recess of the structural body 130, the semiconductor 114 is adjacent to the semiconductor 121, and the semiconductor 121 is adjacent to the insulator 122. In addition, the conductor 102 extending in the Y direction intersects the recess of the structure 130.

結構體130中的與導電體102交叉的區域(重疊的區域)的導電體118、絕緣體117、半導體116、絕緣體115、半導體114、半導體121、絕緣體122以及導電體102用作電晶體WTr。也就是說,電晶體WTr形成在結構體130與導電體102的交叉部。The conductor 118, the insulator 117, the semiconductor 116, the insulator 115, the semiconductor 114, the semiconductor 121, the insulator 122, and the conductor 102 in a region (overlapped region) intersecting with the conductor 102 in the structure 130 are used as the transistor WTr. In other words, the transistor WTr is formed at the intersection of the structure 130 and the conductor 102.

在記憶單元100中,導電體102用作電晶體WTr的閘極電極。由此,絕緣體122用作閘極絕緣體。此外,半導體121也可以用作閘極絕緣體。半導體114用作被形成通道的半導體。此外,導電體118有時用作背閘極電極。因此,絕緣體117、半導體116以及絕緣體115有時用作背閘極絕緣體。圖3A也是從Z方向看電晶體WTr時的剖面圖。In the memory cell 100, the conductor 102 serves as the gate electrode of the transistor WTr. Thus, the insulator 122 functions as a gate insulator. In addition, the semiconductor 121 can also be used as a gate insulator. The semiconductor 114 is used as a semiconductor to be formed into a channel. In addition, the conductor 118 is sometimes used as a back gate electrode. Therefore, the insulator 117, the semiconductor 116, and the insulator 115 are sometimes used as back gate insulators. FIG. 3A is also a cross-sectional view when the transistor WTr is viewed from the Z direction.

此外,如圖3B所示,在結構體130的凸部,絕緣體117、半導體116、絕緣體115、半導體114、半導體113、導電體112以及絕緣體111圍繞導電體118設置為同心狀。此外,延伸在Y方向上的導電體103與結構體130的凸部交叉。In addition, as shown in FIG. 3B, in the convex portion of the structural body 130, the insulator 117, the semiconductor 116, the insulator 115, the semiconductor 114, the semiconductor 113, the conductor 112, and the insulator 111 are arranged concentrically around the conductor 118. In addition, the conductor 103 extending in the Y direction crosses the convex portion of the structure 130.

結構體130中的與導電體103交叉的區域(重疊的區域)的導電體118、絕緣體117、半導體116、絕緣體115、半導體114、半導體113以及導電體112用作電晶體RTr。此外,導電體103、絕緣體111以及導電體112重疊的區域用作電容器Cs。也就是說,電晶體RTr及電容器Cs形成在結構體130與導電體103的交叉部。The conductor 118, the insulator 117, the semiconductor 116, the insulator 115, the semiconductor 114, the semiconductor 113, and the conductor 112 in the region (overlapping region) intersecting with the conductor 103 in the structure 130 are used as the transistor RTr. In addition, the area where the conductor 103, the insulator 111, and the conductor 112 overlap serves as a capacitor Cs. In other words, the transistor RTr and the capacitor Cs are formed at the intersection of the structure 130 and the conductor 103.

在電晶體RTr中,導電體112用作電晶體RTr的閘極電極。此外,半導體113及半導體114也有時用作電晶體RTr的閘極電極。在電晶體RTr中,絕緣體115用作閘極絕緣層,半導體116用作被形成通道的半導體。如上所述,導電體118有時用作背閘極電極。由此,絕緣體117有時用作背閘極絕緣層。圖3B也是從Z方向看電晶體RTr及電容器Cs時的剖面圖。In the transistor RTr, the conductor 112 serves as a gate electrode of the transistor RTr. In addition, the semiconductor 113 and the semiconductor 114 are sometimes used as the gate electrode of the transistor RTr. In the transistor RTr, the insulator 115 is used as a gate insulating layer, and the semiconductor 116 is used as a semiconductor to be formed into a channel. As described above, the conductor 118 is sometimes used as a back gate electrode. Thus, the insulator 117 sometimes serves as a back gate insulating layer. FIG. 3B is also a cross-sectional view when the transistor RTr and the capacitor Cs are viewed from the Z direction.

圖4A是記憶單元100的等效電路圖。在圖4A中,電晶體WTr的源極和汲極中的一個與導電體112電連接,源極和汲極中的另一個與電晶體RTr的閘極電連接。電晶體WTr的閘極與導電體102電連接。電容器Cs中的一個電極與電晶體RTr的閘極電連接,另一個電極與導電體103電連接。FIG. 4A is an equivalent circuit diagram of the memory cell 100. In FIG. 4A, one of the source and drain of the transistor WTr is electrically connected to the conductor 112, and the other of the source and drain is electrically connected to the gate of the transistor RTr. The gate of the transistor WTr is electrically connected to the conductor 102. One electrode of the capacitor Cs is electrically connected to the gate electrode of the transistor RTr, and the other electrode is electrically connected to the conductor 103.

在本說明書等中,電晶體RTr的閘極、電晶體WTr的源極和汲極中的另一個以及電容器Cs中的一個電極電連接的節點被稱為節點ND。In this specification and the like, a node electrically connected to the gate of the transistor RTr, the other of the source and drain of the transistor WTr, and one electrode in the capacitor Cs is referred to as a node ND.

半導體116的一部分用作電晶體RTr的通道形成區域。此外,半導體116的另一部分用作電晶體RTr的源極或汲極。如圖4A所示的電晶體RTr是具有背閘極的電晶體。在本實施方式中,導電體118的一部分用作電晶體RTr的背閘極。此外,導電體102的一部分用作電晶體WTr的閘極。此外,導電體103的一部分用作電容器Cs中的另一電極。此外,導電體112的一部分用作電晶體WTr的源極和汲極中的一個。A part of the semiconductor 116 is used as a channel formation region of the transistor RTr. In addition, another part of the semiconductor 116 is used as the source or drain of the transistor RTr. The transistor RTr shown in FIG. 4A is a transistor with a back gate. In this embodiment, a part of the conductor 118 is used as the back gate of the transistor RTr. In addition, a part of the conductor 102 serves as a gate electrode of the transistor WTr. In addition, a part of the conductor 103 serves as the other electrode in the capacitor Cs. In addition, a part of the conductor 112 serves as one of the source and drain of the transistor WTr.

此外,如圖4B所示,電晶體RTr也可以不具有背閘極。圖4B相當於後述的記憶單元100H的等效電路圖。此外,如圖4C所示,電晶體WTr也可以具有背閘極。圖4C示出電晶體WTr的背閘極與導電體118電連接的電路結構例子,但是除了導電體118以外還可以設置與電晶體WTr的背閘極電連接的導電體。此外,還可以採用圖5A或圖5B所示的電路結構。In addition, as shown in FIG. 4B, the transistor RTr may not have a back gate. FIG. 4B corresponds to an equivalent circuit diagram of the memory cell 100H described later. In addition, as shown in FIG. 4C, the transistor WTr may also have a back gate. 4C shows an example of a circuit structure in which the back gate of the transistor WTr is electrically connected to the conductor 118, but in addition to the conductor 118, a conductor that is electrically connected to the back gate of the transistor WTr may be provided. In addition, the circuit structure shown in FIG. 5A or FIG. 5B can also be used.

圖6是包括四個記憶單元100(記憶單元100[1]至記憶單元100[4])的記憶體串200的剖面圖。圖7是記憶體串200的等效電路圖。記憶體串200具有四個記憶單元100串聯連接的結構。由此,記憶體串200是與NAND型一樣的記憶體裝置。6 is a cross-sectional view of a memory string 200 including four memory cells 100 (memory cell 100[1] to memory cell 100[4]). FIG. 7 is an equivalent circuit diagram of the memory string 200. The memory string 200 has a structure in which four memory cells 100 are connected in series. Therefore, the memory string 200 is the same memory device as the NAND type.

此外,在等效電路圖等中,為了明確示出電晶體為OS電晶體,有時對電晶體的電路符號添加“OS”。同樣,為了明確示出電晶體為Si電晶體(被形成通道的半導體層使用矽的電晶體),有時對電晶體的電路符號添加“Si”。在圖7中,電晶體WTr和電晶體RTr都是OS電晶體。In addition, in equivalent circuit diagrams and the like, in order to clearly show that the transistor is an OS transistor, "OS" may be added to the circuit symbol of the transistor. Similarly, in order to clearly show that the transistor is a Si transistor (a semiconductor layer where a channel is formed uses a silicon transistor), "Si" is sometimes added to the circuit symbol of the transistor. In Figure 7, both the transistor WTr and the transistor RTr are OS transistors.

此外,圖6所示的記憶體串200具有9層的絕緣體101(絕緣體101[1]至絕緣體101[9])和4層的導電體102(導電體102[1]至導電體102[4])以及4層的導電體103(導電體103[1]至導電體103[4])。In addition, the memory string 200 shown in FIG. 6 has 9 layers of insulator 101 (insulator 101[1] to insulator 101[9]) and 4 layers of conductor 102 (conductor 102[1] to conductor 102[4 ]) and 4 layers of conductor 103 (conductor 103[1] to conductor 103[4]).

此外,在圖7中,記憶單元100[1]所具有的電晶體WTr、電晶體RTr以及電容器Cs分別被記為電晶體WTr[1]、電晶體RTr[1]以及電容器Cs[1]。記憶單元100[2]至記憶單元100[4]所具有的電晶體WTr、電晶體RTr以及電容器Cs也同樣。In addition, in FIG. 7, the transistor WTr, the transistor RTr, and the capacitor Cs included in the memory cell 100[1] are respectively denoted as the transistor WTr[1], the transistor RTr[1] and the capacitor Cs[1]. The same applies to the transistors WTr, the transistors RTr and the capacitor Cs included in the memory cell 100[2] to the memory cell 100[4].

此外,記憶體串200所具有的記憶單元100的個數不侷限於4。當記憶體串200所具有的記憶單元100的個數為n時,n為2以上的整數即可。In addition, the number of memory cells 100 included in the memory string 200 is not limited to four. When the number of memory cells 100 included in the memory string 200 is n, n is an integer of 2 or more.

此外,“多個記憶單元100串聯連接的結構”是指如下結構:記憶單元100[k](k為1以上且n以下的整數)所包含的電晶體WTr[k]的汲極(或源極)與記憶單元100[k+1]所包含的電晶體WTr[k+1]的源極(或汲極)電連接,並且記憶單元100[k]所包含的電晶體RTr[k]的汲極(或源極)與記憶單元100[k+1]所包含的電晶體RTr[k+1]的源極(或汲極)電連接。In addition, "a structure in which a plurality of memory cells 100 are connected in series" refers to the following structure: the drain (or source) of the transistor WTr[k] included in the memory cell 100[k] (k is an integer greater than 1 and less than n) Pole) is electrically connected to the source (or drain) of the transistor WTr[k+1] included in the memory cell 100[k+1], and the transistor RTr[k] included in the memory cell 100[k] The drain (or source) is electrically connected to the source (or drain) of the transistor RTr[k+1] included in the memory cell 100[k+1].

電晶體WTr及電晶體RTr中的被形成通道的半導體可以使用單晶半導體、多晶半導體、微晶半導體以及非晶半導體等中的一個或多個。作為半導體材料,例如可以使用矽或鍺等。此外,也可以使用矽鍺、碳化矽、砷化鎵、氧化物半導體、氮化物半導體等化合物半導體。In the transistor WTr and the transistor RTr, one or more of a single crystal semiconductor, a polycrystalline semiconductor, a microcrystalline semiconductor, and an amorphous semiconductor can be used for the semiconductor to be formed into the channel. As the semiconductor material, for example, silicon, germanium, or the like can be used. In addition, compound semiconductors such as silicon germanium, silicon carbide, gallium arsenide, oxide semiconductors, and nitride semiconductors can also be used.

此外,用於電晶體的半導體可以為疊層半導體。當半導體層具有疊層結構時,既可分別使用結晶狀態不同的半導體,又可分別使用不同半導體材料。In addition, the semiconductor used for the transistor may be a stacked semiconductor. When the semiconductor layer has a laminated structure, both semiconductors with different crystalline states and different semiconductor materials can be used respectively.

尤其是,電晶體WTr較佳為在被形成通道的半導體層中使用金屬氧化物之一的氧化物半導體的電晶體(也稱為“OS電晶體”)。氧化物半導體的能帶間隙為2eV以上,由此關態電流極少。藉由使用OS電晶體作為電晶體WTr,可以長期儲存寫入在節點ND(也稱為“存儲節點“)中的電荷。在使用OS電晶體作為構成記憶單元100的電晶體的情況下,該記憶單元100可以被稱為“OS記憶體”。此外,包括該記憶單元100的記憶體串200也可以被稱為“OS記憶體”。In particular, the transistor WTr is preferably a transistor (also referred to as an “OS transistor”) that uses an oxide semiconductor, which is one of metal oxides, in the semiconductor layer where the channel is formed. The band gap of an oxide semiconductor is 2 eV or more, so the off-state current is extremely small. By using the OS transistor as the transistor WTr, the charge written in the node ND (also referred to as a "storage node") can be stored for a long time. In the case of using an OS transistor as a transistor constituting the memory cell 100, the memory cell 100 may be referred to as an “OS memory”. In addition, the memory string 200 including the memory unit 100 may also be referred to as "OS memory".

包括OS記憶體的NAND型記憶體裝置也被稱為“OS NAND型”或“OS NAND型記憶體裝置”。此外,具有多個OS記憶體被層疊在Z方向上的結構的OS NAND型記憶體裝置也被稱為“3D OS NAND型”或“3D OS NAND型記憶體裝置”。The NAND type memory device including the OS memory is also called "OS NAND type" or "OS NAND type memory device". In addition, an OS NAND type memory device having a structure in which a plurality of OS memories are stacked in the Z direction is also called "3D OS NAND type" or "3D OS NAND type memory device".

此外,電晶體RTr也可以為在被形成通道的半導體層中使用矽的電晶體(也稱為“Si電晶體”)。電晶體RTr可以由Si電晶體形成,電晶體WTr可以由OS電晶體形成。圖8是電晶體WTr和電晶體RTr分別使用OS電晶體和Si電晶體時的記憶體串200的等效電路圖。In addition, the transistor RTr may also be a transistor in which silicon is used in the semiconductor layer where the channel is formed (also referred to as "Si transistor"). The transistor RTr may be formed of a Si transistor, and the transistor WTr may be formed of an OS transistor. FIG. 8 is an equivalent circuit diagram of the memory string 200 when the transistor WTr and the transistor RTr use OS transistors and Si transistors, respectively.

OS記憶體即使停止電力供給也可以在1年以上,甚至為10年以上的期間儲存被寫入的資料。由此,可以將OS記憶體看作非揮發性記憶體。The OS memory can store the written data for more than 1 year or even more than 10 years even if the power supply is stopped. Therefore, the OS memory can be regarded as a non-volatile memory.

此外,因為寫入到OS記憶體的電荷量長期不變,所以OS記憶體不侷限於2值(1位元)而可以儲存多值(多位元)的資料。In addition, because the amount of charge written into the OS memory does not change for a long time, the OS memory is not limited to two values (1 bit) but can store multi-value (multi-bit) data.

此外,OS記憶體採用將電荷藉由OS電晶體寫入到節點的方式,由此不需要習知的快閃記憶體所需的高電壓,可以實現高速寫入工作。此外,OS記憶體還不需要快閃記憶體所需的資料改寫之前的刪除工作。此外,也不進行對浮動閘極或電荷俘獲層的電荷注入以及從浮動閘極或電荷俘獲層的電荷抽出,因此OS記憶體在實質上可以無限地進行資料的寫入及讀出。與習知的快閃記憶體相比,OS記憶體的劣化更少且可以得到更高的可靠性。In addition, the OS memory adopts a method of writing charge to the node through the OS transistor, which does not require the high voltage required by the conventional flash memory, and can achieve high-speed writing. In addition, OS memory does not require the deletion of data required by flash memory before overwriting. In addition, the charge injection into the floating gate or the charge trapping layer and the charge extraction from the floating gate or the charge trapping layer are not performed, so the OS memory can essentially write and read data indefinitely. Compared with conventional flash memory, OS memory has less degradation and can achieve higher reliability.

此外,OS記憶體不像磁電阻隨機記憶體(MRAM)或可變電阻式記憶體(ReRAM)那樣發生原子級的結構變化。因此,OS記憶體具有比磁電阻隨機記憶體及可變電阻式記憶體高的改寫耐性。In addition, OS memory does not undergo atomic-level structural changes like Magnetoresistive Random Memory (MRAM) or Variable Resistive Memory (ReRAM). Therefore, OS memory has higher rewrite resistance than magnetoresistive random memory and variable resistive memory.

此外,即使在高溫環境下,OS電晶體的關態電流也幾乎不增加。明確而言,即使在室溫以上且200℃以下的環境溫度下,關態電流也幾乎不增加。此外,即使在高溫環境下,OS電晶體的通態電流也不容易下降。包括OS記憶體的記憶體裝置即使在高溫環境下也穩定地工作並具有高可靠性。此外,OS電晶體的源極與汲極間的絕緣耐壓高。藉由將OS電晶體用作構成半導體裝置的電晶體,可以實現即使在高溫環境下也穩定地工作並具有高可靠性的半導體裝置。In addition, even in a high-temperature environment, the off-state current of the OS transistor hardly increases. Specifically, even at an ambient temperature above room temperature and below 200°C, the off-state current hardly increases. In addition, even in a high-temperature environment, the on-state current of the OS transistor is not easy to drop. The memory device including the OS memory operates stably and has high reliability even in a high-temperature environment. In addition, the insulation withstand voltage between the source and drain of the OS transistor is high. By using the OS transistor as the transistor constituting the semiconductor device, it is possible to realize a semiconductor device that operates stably and has high reliability even in a high-temperature environment.

此外,如圖9所示,根據目的或用途等,電晶體WTr可以使用Si電晶體,電晶體RTr可以使用OS電晶體。此外,如圖10所示,根據目的或用途等,電晶體WTr和電晶體RTr都可以使用Si電晶體。In addition, as shown in FIG. 9, depending on the purpose or use, etc., the transistor WTr may use a Si transistor, and the transistor RTr may use an OS transistor. In addition, as shown in FIG. 10, depending on the purpose or application, both the transistor WTr and the transistor RTr can use Si transistors.

像記憶體串200那樣,藉由在Z方向上連續設置多個記憶單元100,可以增加每單位面積的記憶容量。Like the memory string 200, by continuously disposing a plurality of memory cells 100 in the Z direction, the memory capacity per unit area can be increased.

此外,為了增加使用記憶單元100或記憶體串200的半導體裝置的記憶容量,將多個記憶單元100或多個記憶體串200設置為交錯形狀(參照圖11A)或格子狀(參照圖11B)即可。圖11A和圖11B是記憶體串的俯視圖。In addition, in order to increase the memory capacity of the semiconductor device using the memory cell 100 or the memory string 200, a plurality of memory cells 100 or a plurality of memory strings 200 are arranged in a staggered shape (refer to FIG. 11A) or a grid shape (refer to FIG. 11B) That's it. 11A and 11B are top views of the memory string.

表1示出由Si電晶體構成的3D NAND型記憶體裝置和3D OS NAND型記憶體裝置的對比。Table 1 shows a comparison between a 3D NAND type memory device composed of Si transistors and a 3D OS NAND type memory device.

[表1]

Figure 02_image001
[Table 1]
Figure 02_image001

[變形例] 接著,說明記憶單元100的變形例子。以下說明的記憶單元的變形例子可以與本說明書等所示的另一記憶單元適當地組合。[Modifications] Next, a modified example of the memory unit 100 will be described. The modified examples of the memory unit described below can be appropriately combined with another memory unit shown in this specification and the like.

圖12A是記憶單元100A的剖面圖。記憶單元100A為記憶單元100的變形例子。因此,在本實施方式等中,主要說明記憶單元100A和記憶單元100的不同點。FIG. 12A is a cross-sectional view of the memory cell 100A. The memory unit 100A is a modified example of the memory unit 100. Therefore, in this embodiment and the like, the difference between the memory unit 100A and the memory unit 100 will be mainly described.

如圖12A所示的記憶單元100A所示,本發明的一個實施方式的記憶單元也可以去除在從Z方向看時不與絕緣體101及/或導電體103重疊的區域中的半導體121、絕緣體122以及導電體102。因此,記憶單元100A所具有的絕緣體123也可以包括與絕緣體101接觸的區域、與導電體103接觸的區域、與半導體121接觸的區域、與絕緣體122接觸的區域以及與導電體102接觸的區域。As shown in the memory cell 100A shown in FIG. 12A, the memory cell of one embodiment of the present invention may also remove the semiconductor 121 and the insulator 122 in the region that does not overlap the insulator 101 and/or the conductor 103 when viewed from the Z direction. And the conductor 102. Therefore, the insulator 123 included in the memory cell 100A may include a region in contact with the insulator 101, a region in contact with the conductor 103, a region in contact with the semiconductor 121, a region in contact with the insulator 122, and a region in contact with the conductor 102.

圖12B是記憶單元100B的剖面圖。記憶單元100B為記憶單元100A的變形例子。像記憶單元100B那樣,也可以省略半導體121的形成。在記憶單元100B中,結構體130中的與導電體102交叉的區域(重疊的區域)的導電體118、絕緣體117、半導體116、絕緣體115、半導體114、絕緣體122以及導電體102用作電晶體WTr。藉由不設置半導體121,可以簡化製程,並可以提高記憶體裝置的生產率。FIG. 12B is a cross-sectional view of the memory cell 100B. The memory unit 100B is a modified example of the memory unit 100A. Like the memory cell 100B, the formation of the semiconductor 121 may be omitted. In the memory cell 100B, the conductor 118, the insulator 117, the semiconductor 116, the insulator 115, the semiconductor 114, the insulator 122, and the conductor 102 in the region (overlapping region) intersecting the conductor 102 in the structure 130 are used as a transistor WTr. By not providing the semiconductor 121, the manufacturing process can be simplified and the productivity of the memory device can be improved.

圖13A是記憶單元100C的剖面圖。記憶單元100C為記憶單元100A的變形例子。像記憶單元100C那樣,也可以省略半導體113的形成,而採用導電體112與半導體114接觸的結構。在記憶單元100C中,結構體130中的與導電體103交叉的區域(重疊的區域)的導電體118、絕緣體117、半導體116、絕緣體115、半導體114以及導電體112用作電晶體RTr。藉由不設置半導體113,可以簡化製程,並可以提高記憶體裝置的生產率。FIG. 13A is a cross-sectional view of the memory cell 100C. The memory unit 100C is a modified example of the memory unit 100A. Like the memory cell 100C, the formation of the semiconductor 113 may be omitted, and a structure in which the conductor 112 and the semiconductor 114 are in contact may be adopted. In the memory cell 100C, the conductor 118, the insulator 117, the semiconductor 116, the insulator 115, the semiconductor 114, and the conductor 112 in the region (overlapping region) intersecting the conductor 103 in the structure 130 are used as the transistor RTr. By not providing the semiconductor 113, the manufacturing process can be simplified and the productivity of the memory device can be improved.

圖13B是記憶單元100D的剖面圖。記憶單元100D為記憶單元100B的變形例子,也是記憶單元100C的變形例子。像記憶單元100D那樣,也可以省略半導體113的形成,而採用導電體112與半導體114接觸的結構。記憶單元100D中的電晶體WTr具有與記憶單元100B相同的結構。此外,記憶單元100D中的電晶體RTr具有與記憶單元100C相同的結構。藉由不設置半導體113及半導體121,可以簡化製程,並可以提高記憶體裝置的生產率。FIG. 13B is a cross-sectional view of the memory cell 100D. The memory unit 100D is a modified example of the memory unit 100B, and is also a modified example of the memory unit 100C. Like the memory cell 100D, the formation of the semiconductor 113 may be omitted, and a structure in which the conductor 112 is in contact with the semiconductor 114 may be adopted. The transistor WTr in the memory cell 100D has the same structure as the memory cell 100B. In addition, the transistor RTr in the memory cell 100D has the same structure as the memory cell 100C. By not providing the semiconductor 113 and the semiconductor 121, the manufacturing process can be simplified and the productivity of the memory device can be improved.

圖14是記憶單元100E的剖面圖。圖14是記憶單元100E[k]及與記憶單元100E[k]相鄰的記憶單元100E[k+1]的剖面圖。記憶單元100E為記憶單元100A的變形例子。像記憶單元100E那樣,也可以省略絕緣體101的形成,而採用導電體103與半導體121接觸的結構。藉由不設置絕緣體101,可以簡化製程,並可以提高記憶體裝置的生產率。FIG. 14 is a cross-sectional view of the memory cell 100E. 14 is a cross-sectional view of the memory cell 100E[k] and the memory cell 100E[k+1] adjacent to the memory cell 100E[k]. The memory unit 100E is a modified example of the memory unit 100A. Like the memory cell 100E, the formation of the insulator 101 may be omitted, and a structure in which the conductor 103 is in contact with the semiconductor 121 may be adopted. By not providing the insulator 101, the manufacturing process can be simplified and the productivity of the memory device can be improved.

圖15是記憶單元100F的剖面圖。圖15是記憶單元100F[k]及與記憶單元100F[k]相鄰的記憶單元100F[k+1]的剖面圖。記憶單元100F為記憶單元100B的變形例子。像記憶單元100F那樣,也可以省略絕緣體101的形成,而採用導電體103與絕緣體122接觸的結構。此外,像記憶單元100D那樣,也可以省略半導體113的形成。藉由不設置絕緣體101及/或半導體113,可以簡化製程,並可以提高記憶體裝置的生產率。FIG. 15 is a cross-sectional view of the memory cell 100F. 15 is a cross-sectional view of the memory cell 100F[k] and the memory cell 100F[k+1] adjacent to the memory cell 100F[k]. The memory unit 100F is a modified example of the memory unit 100B. Like the memory cell 100F, the formation of the insulator 101 may be omitted, and a structure in which the conductor 103 and the insulator 122 are in contact is adopted. In addition, like the memory cell 100D, the formation of the semiconductor 113 may be omitted. By not providing the insulator 101 and/or the semiconductor 113, the manufacturing process can be simplified and the productivity of the memory device can be improved.

圖16A是記憶單元100G的剖面圖。記憶單元100G為記憶單元100A的變形例子。像記憶單元100G那樣,也可以使用半導體114a及半導體114b的疊層作為半導體114。圖16A示出設置有與絕緣體115接觸的半導體114a及與半導體114a接觸的半導體114b的例子。疊層不侷限於兩層,也可以為三層以上。此外,半導體116也可以為多個半導體的疊層。此外,半導體114由氧化物半導體的疊層形成時的組成等詳細後述。FIG. 16A is a cross-sectional view of the memory cell 100G. The memory unit 100G is a modified example of the memory unit 100A. Like the memory cell 100G, a stack of the semiconductor 114a and the semiconductor 114b may be used as the semiconductor 114. FIG. 16A shows an example in which a semiconductor 114a in contact with the insulator 115 and a semiconductor 114b in contact with the semiconductor 114a are provided. The laminated layer is not limited to two layers, and may be three or more layers. In addition, the semiconductor 116 may also be a stack of multiple semiconductors. In addition, the composition and the like when the semiconductor 114 is formed of a stacked layer of an oxide semiconductor will be described in detail later.

圖16B是記憶單元100H的剖面圖。記憶單元100H為記憶單元100A的變形例子。像記憶單元100H那樣,也可以不設置導電體118。在圖16B中,使用絕緣體117代替導電體118。此外,也可以不設置導電體118而形成空洞。藉由不設置導電體118,可以簡化製程,並可以提高記憶體裝置的生產率。FIG. 16B is a cross-sectional view of the memory cell 100H. The memory unit 100H is a modified example of the memory unit 100A. Like the memory cell 100H, the conductor 118 may not be provided. In FIG. 16B, an insulator 117 is used instead of the conductor 118. In addition, the conductor 118 may not be provided and a cavity may be formed. By not providing the conductor 118, the manufacturing process can be simplified and the productivity of the memory device can be improved.

此外,在記憶單元100H中,結構體130中的與導電體102交叉的區域(重疊的區域)的半導體116、絕緣體115、半導體114、半導體121、絕緣體122以及導電體102用作電晶體WTr。此外,結構體130中的與導電體103交叉的區域(重疊的區域)的半導體116、絕緣體115、半導體114、半導體113以及導電體112用作電晶體RTr。In addition, in the memory cell 100H, the semiconductor 116, the insulator 115, the semiconductor 114, the semiconductor 121, the insulator 122, and the conductor 102 in the region (overlapping region) intersecting the conductor 102 in the structure 130 are used as the transistor WTr. In addition, the semiconductor 116, the insulator 115, the semiconductor 114, the semiconductor 113, and the conductor 112 in a region (overlapped region) intersecting the conductor 103 in the structure body 130 are used as the transistor RTr.

圖17A是記憶單元100I的剖面圖。記憶單元100I為記憶單元100的變形例子。像記憶單元100I那樣,也可以不設置半導體121。藉由不設置半導體121,可以簡化製程,並可以提高記憶體裝置的生產率。此外,記憶單元100I也為記憶單元100B的變形例子。記憶單元100I中的電晶體WTr及電晶體RTr具有與記憶單元100B相同的結構。FIG. 17A is a cross-sectional view of the memory cell 100I. The memory unit 100I is a modified example of the memory unit 100. Like the memory unit 100I, the semiconductor 121 may not be provided. By not providing the semiconductor 121, the manufacturing process can be simplified and the productivity of the memory device can be improved. In addition, the memory unit 100I is also a modified example of the memory unit 100B. The transistor WTr and the transistor RTr in the memory cell 100I have the same structure as the memory cell 100B.

圖17B是記憶單元100J的剖面圖。記憶單元100J為記憶單元100的變形例子,也為記憶單元100D的變形例子。像記憶單元100J那樣,也可以不設置半導體121及半導體113。藉由不設置半導體121及半導體113,可以簡化製程,並可以提高記憶體裝置的生產率。此外,記憶單元100J也為記憶單元100I的變形例子。記憶單元100J中的電晶體WTr及電晶體RTr具有與記憶單元100D相同的結構。FIG. 17B is a cross-sectional view of the memory cell 100J. The memory unit 100J is a modified example of the memory unit 100, and is also a modified example of the memory unit 100D. Like the memory cell 100J, the semiconductor 121 and the semiconductor 113 may not be provided. By not providing the semiconductor 121 and the semiconductor 113, the manufacturing process can be simplified and the productivity of the memory device can be improved. In addition, the memory unit 100J is also a modified example of the memory unit 100I. The transistor WTr and the transistor RTr in the memory cell 100J have the same structure as the memory cell 100D.

圖18A是記憶單元100K的立體圖。此外,圖18B是記憶單元100K的一部分的剖面圖。在圖18A中,為了易於理解記憶單元100K的內部結構,省略了記憶單元100K的一部分。FIG. 18A is a perspective view of the memory unit 100K. In addition, FIG. 18B is a cross-sectional view of a part of the memory cell 100K. In FIG. 18A, in order to easily understand the internal structure of the memory unit 100K, a part of the memory unit 100K is omitted.

記憶單元100K為記憶單元100G的變形例子。在記憶單元100K中,不但像記憶單元100G那樣半導體114為半導體114a及半導體114b的疊層結構,而且半導體116也為半導體116a、半導體116b以及半導體116c的疊層結構。此外,半導體116由氧化物半導體的疊層形成時的組成等詳細後述。The memory unit 100K is a modified example of the memory unit 100G. In the memory cell 100K, not only the semiconductor 114 has a stacked structure of the semiconductor 114a and the semiconductor 114b like the memory cell 100G, but also the semiconductor 116 has a stacked structure of the semiconductor 116a, the semiconductor 116b, and the semiconductor 116c. In addition, the composition and the like when the semiconductor 116 is formed of a stacked layer of an oxide semiconductor will be described in detail later.

圖18A和圖18B示出設置有與絕緣體117接觸的半導體116a、與半導體116a接觸的半導體116b、與半導體116b接觸的半導體116c以及與半導體116c接觸的絕緣體115的例子。此外,絕緣體115也可以為多個絕緣體組合而成的疊層。此外,絕緣體115由多個絕緣體的疊層形成時的組合等詳細後述。18A and 18B show an example in which a semiconductor 116a in contact with the insulator 117, a semiconductor 116b in contact with the semiconductor 116a, a semiconductor 116c in contact with the semiconductor 116b, and an insulator 115 in contact with the semiconductor 116c are provided. In addition, the insulator 115 may also be a laminated layer formed by combining a plurality of insulators. In addition, the combination when the insulator 115 is formed of a laminated layer of a plurality of insulators, etc. will be described in detail later.

記憶單元100K具有半導體116a、半導體116b、半導體116c、絕緣體115、半導體114a、半導體114b、半導體121以及絕緣體122的疊層結構。該區域有可能起到超晶格的作用。此外,絕緣體122也可以為多個絕緣體組合而成的疊層。此外,絕緣體122由多個絕緣體的疊層形成時的組合等詳細後述。The memory cell 100K has a stacked structure of a semiconductor 116a, a semiconductor 116b, a semiconductor 116c, an insulator 115, a semiconductor 114a, a semiconductor 114b, a semiconductor 121, and an insulator 122. This region may act as a superlattice. In addition, the insulator 122 may also be a laminated layer formed by combining a plurality of insulators. In addition, the combination when the insulator 122 is formed of a stack of a plurality of insulators and the like will be described in detail later.

此外,在記憶單元100K中,導電體102為導電體102f及導電體102s的疊層。在圖18A和圖18B中,設置有與絕緣體122接觸的導電體102f、與導電體102f接觸的導電體102s。此外,導電體102具有疊層結構時的構成等詳細後述。In addition, in the memory cell 100K, the conductor 102 is a stack of the conductor 102f and the conductor 102s. In FIGS. 18A and 18B, a conductor 102f in contact with the insulator 122 and a conductor 102s in contact with the conductor 102f are provided. In addition, the configuration and the like when the conductor 102 has a laminated structure will be described in detail later.

圖19A是記憶單元100L的立體圖。此外,圖19B是示出記憶單元100L的一部分的剖面圖。在圖19A中,為了易於理解記憶單元100L的內部結構,省略了記憶單元100L的一部分。FIG. 19A is a perspective view of the memory unit 100L. In addition, FIG. 19B is a cross-sectional view showing a part of the memory cell 100L. In FIG. 19A, in order to facilitate understanding of the internal structure of the memory unit 100L, a part of the memory unit 100L is omitted.

記憶單元100L為記憶單元100的變形例子。因此,在本實施方式等中,主要說明記憶單元100L和記憶單元100的不同點。記憶單元100L具有在記憶單元100中省略了半導體113及半導體114的結構。藉由不設置半導體113及半導體114,可以簡化製程,並可以提高記憶體裝置的生產率。The memory unit 100L is a modified example of the memory unit 100. Therefore, in this embodiment and the like, the difference between the memory unit 100L and the memory unit 100 will be mainly described. The memory cell 100L has a structure in which the semiconductor 113 and the semiconductor 114 are omitted from the memory cell 100. By not providing the semiconductor 113 and the semiconductor 114, the manufacturing process can be simplified and the productivity of the memory device can be improved.

在記憶單元100L中,半導體121的一部分用作電晶體WTr的通道形成區域。因此,用於記憶單元100L的半導體121可以使用與記憶單元100中的半導體114或記憶單元100G中的半導體114b相同的材料。In the memory cell 100L, a part of the semiconductor 121 is used as a channel formation region of the transistor WTr. Therefore, the semiconductor 121 used in the memory cell 100L may use the same material as the semiconductor 114 in the memory cell 100 or the semiconductor 114b in the memory cell 100G.

圖20A是記憶單元100M的剖面圖。此外,圖20B是記憶單元100N的剖面圖。記憶單元100M和記憶單元100N都是記憶單元100A的變形例子。因此,在本實施方式等中,主要說明記憶單元100M及記憶單元100N與記憶單元100A的不同點。FIG. 20A is a cross-sectional view of the memory cell 100M. In addition, FIG. 20B is a cross-sectional view of the memory cell 100N. The memory unit 100M and the memory unit 100N are both modified examples of the memory unit 100A. Therefore, in this embodiment and the like, the memory unit 100M and the difference between the memory unit 100N and the memory unit 100A are mainly described.

記憶單元100M具有在記憶單元100A中省略了半導體113及半導體114的結構。記憶單元100N具有在記憶單元100A中省略了半導體114的結構。藉由不設置半導體113及/或半導體114,可以簡化製程,並可以提高記憶體裝置的生產率。The memory cell 100M has a structure in which the semiconductor 113 and the semiconductor 114 are omitted from the memory cell 100A. The memory cell 100N has a structure in which the semiconductor 114 is omitted from the memory cell 100A. By not providing the semiconductor 113 and/or the semiconductor 114, the manufacturing process can be simplified and the productivity of the memory device can be improved.

此外,記憶單元100M及記憶單元100N也為記憶單元100L的變形例子。因此,在記憶單元100M及記憶單元100N中,半導體121的一部分也用作電晶體WTr的通道形成區域。用於記憶單元100M或記憶單元100N的半導體121也可以使用與記憶單元100中的半導體114或記憶單元100G中的半導體114b相同的材料。In addition, the memory unit 100M and the memory unit 100N are also modified examples of the memory unit 100L. Therefore, in the memory cell 100M and the memory cell 100N, a part of the semiconductor 121 is also used as a channel formation region of the transistor WTr. The semiconductor 121 used in the memory cell 100M or the memory cell 100N may also use the same material as the semiconductor 114 in the memory cell 100 or the semiconductor 114 b in the memory cell 100G.

圖21是記憶單元100P的剖面圖。圖22A是從Z方向看圖21中的點劃線C1-C2所示的部分的剖面圖。圖22B是從Z方向看圖21中的點劃線D1-D2所示的部分的剖面圖。記憶單元100P為記憶單元100的變形例子。因此,在本實施方式等中,主要說明記憶單元100P和記憶單元100的不同點。FIG. 21 is a cross-sectional view of the memory cell 100P. Fig. 22A is a cross-sectional view of the part indicated by the chain line C1-C2 in Fig. 21 viewed from the Z direction. Fig. 22B is a cross-sectional view of the part indicated by the chain line D1-D2 in Fig. 21 viewed from the Z direction. The memory unit 100P is a modified example of the memory unit 100. Therefore, in this embodiment and the like, the difference between the memory cell 100P and the memory cell 100 will be mainly described.

記憶單元100P具有沿著穿過記憶單元100的中心且導電體102及導電體103延伸的方向截斷記憶單元100、導電體102及導電體103等的結構。在本實施方式等中,在被截斷的區域中設置有絕緣體124,但是根據需要設置絕緣體124即可。The memory cell 100P has a structure in which the memory cell 100, the conductor 102, the conductor 103, etc. are cut off along the direction in which the conductor 102 and the conductor 103 extend through the center of the memory cell 100. In the present embodiment and the like, the insulator 124 is provided in the cut-off region, but the insulator 124 may be provided as necessary.

絕緣體101、導電體102、導電體103、半導體121、絕緣體122及結構體130分別被分割成絕緣體101a(未圖示)和絕緣體101b(未圖示)、導電體102a和導電體102b、導電體103a和導電體103b、半導體121a和半導體121b、絕緣體122a和絕緣體122b、結構體130a和結構體130b。Insulator 101, conductor 102, conductor 103, semiconductor 121, insulator 122, and structure 130 are divided into insulator 101a (not shown) and insulator 101b (not shown), conductor 102a and conductor 102b, conductor 103a and conductor 103b, semiconductor 121a and semiconductor 121b, insulator 122a and insulator 122b, structure 130a and structure 130b.

包括在結構體130中的絕緣體111、導電體112、半導體113、半導體114、絕緣體115、半導體116、絕緣體117及導電體118分別被分割成絕緣體111a和絕緣體111b、導電體112a和導電體112b、半導體113a和半導體113b、半導體114a和半導體114b、絕緣體115a和絕緣體115b、半導體116a和半導體116b、絕緣體117a和絕緣體117b、導電體118a和導電體118b。The insulator 111, the conductor 112, the semiconductor 113, the semiconductor 114, the insulator 115, the semiconductor 116, the insulator 117, and the conductor 118 included in the structure 130 are divided into an insulator 111a and an insulator 111b, a conductor 112a and a conductor 112b, respectively. The semiconductor 113a and the semiconductor 113b, the semiconductor 114a and the semiconductor 114b, the insulator 115a and the insulator 115b, the semiconductor 116a and the semiconductor 116b, the insulator 117a and the insulator 117b, the electric conductor 118a and the electric conductor 118b.

因此,結構體130a包括絕緣體111a、導電體112a、半導體113a、半導體114a、絕緣體115a、半導體116a、絕緣體117a及導電體118a。此外,結構體130b包括絕緣體111b、導電體112b、半導體113b、半導體114b、絕緣體115b、半導體116b、絕緣體117b及導電體118b。Therefore, the structure 130a includes an insulator 111a, a conductor 112a, a semiconductor 113a, a semiconductor 114a, an insulator 115a, a semiconductor 116a, an insulator 117a, and a conductor 118a. In addition, the structure 130b includes an insulator 111b, a conductor 112b, a semiconductor 113b, a semiconductor 114b, an insulator 115b, a semiconductor 116b, an insulator 117b, and a conductor 118b.

此外,記憶單元100P被分割成記憶單元100Pa和記憶單元100Pb。因此,可以說記憶單元100P包括用作記憶單元100Pa的區域和用作記憶單元100Pb的區域。記憶單元100Pa包括結構體130a等,而記憶單元100Pb包括結構體130b等。In addition, the memory unit 100P is divided into a memory unit 100Pa and a memory unit 100Pb. Therefore, it can be said that the memory cell 100P includes an area used as the memory cell 100Pa and an area used as the memory cell 100Pb. The memory unit 100Pa includes a structure 130a and the like, and the memory unit 100Pb includes a structure 130b and the like.

由此,在記憶單元100P中,電晶體RTr、電晶體WTr及電容器Cs分別被分割成電晶體RTrA和電晶體RTrB、電晶體WTrA和電晶體WTrB、電容器CsA和電容器CsB。電晶體RTrA、電晶體WTrA及電容器CsA包括在記憶單元100Pa中。電晶體RTrB、電晶體WTrB及電容器CsB包括在記憶單元100Pb中。Thus, in the memory cell 100P, the transistor RTr, the transistor WTr, and the capacitor Cs are divided into the transistor RTrA and the transistor RTrB, the transistor WTrA and the transistor WTrB, the capacitor CsA and the capacitor CsB, respectively. The transistor RTrA, the transistor WTrA, and the capacitor CsA are included in the memory cell 100Pa. The transistor RTrB, the transistor WTrB, and the capacitor CsB are included in the memory cell 100Pb.

導電體112a、半導體113a、半導體114a、絕緣體115a、半導體116a、絕緣體117a及導電體118a重疊的區域用作電晶體RTrA。導電體112b、半導體113b、半導體114b、絕緣體115b、半導體116b、絕緣體117b及導電體118b重疊的區域用作電晶體RTrB。導電體103a、絕緣體111a及導電體112a重疊的區域用作電容器CsA。導電體103b、絕緣體111b及導電體112b重疊的區域用作電容器CsB。導電體102a、導電體112a、半導體121a、半導體114a、絕緣體115a、半導體116a、絕緣體117a及導電體118a重疊的區域用作電晶體WTrA。導電體102b、導電體112b、半導體121b、半導體114b、絕緣體115b、半導體116b、絕緣體117b及導電體118b重疊的區域用作電晶體WTrB。The area where the conductor 112a, the semiconductor 113a, the semiconductor 114a, the insulator 115a, the semiconductor 116a, the insulator 117a, and the conductor 118a overlap is used as the transistor RTrA. The area where the conductor 112b, the semiconductor 113b, the semiconductor 114b, the insulator 115b, the semiconductor 116b, the insulator 117b, and the conductor 118b overlap is used as the transistor RTrB. The area where the conductor 103a, the insulator 111a, and the conductor 112a overlap is used as the capacitor CsA. The area where the conductor 103b, the insulator 111b, and the conductor 112b overlap is used as the capacitor CsB. The area where the conductor 102a, the conductor 112a, the semiconductor 121a, the semiconductor 114a, the insulator 115a, the semiconductor 116a, the insulator 117a, and the conductor 118a overlap is used as the transistor WTrA. The area where the conductor 102b, the conductor 112b, the semiconductor 121b, the semiconductor 114b, the insulator 115b, the semiconductor 116b, the insulator 117b, and the conductor 118b overlap is used as the transistor WTrB.

像記憶單元100P那樣,藉由分割記憶單元100,可以提高存儲密度(每單位面積的記憶容量)。由此,可以增加包括記憶單元100的半導體裝置的記憶容量。記憶單元100A至記憶單元100N等也可以與記憶單元100P同樣分割記憶單元。Like the memory cell 100P, by dividing the memory cell 100, the storage density (memory capacity per unit area) can be increased. Thus, the memory capacity of the semiconductor device including the memory cell 100 can be increased. The memory cell 100A to the memory cell 100N, etc. may also be divided into memory cells similarly to the memory cell 100P.

此外,也可以沿X方向分割記憶單元(參照圖23A)。在沿X方向分開記憶單元的情況下,絕緣體124不完全橫穿導電體102及導電體103即可。In addition, the memory cell may be divided in the X direction (refer to FIG. 23A). When the memory cells are divided along the X direction, the insulator 124 does not completely traverse the conductor 102 and the conductor 103.

此外,也可以沿從Z方向看時的傾斜方向(與X方向及Y方向交叉的方向)分割記憶單元(參照圖23B)。在沿傾斜方向分割記憶單元的情況下,絕緣體124不完全橫穿導電體102及導電體103即可。In addition, the memory cell may be divided in an oblique direction (a direction intersecting the X direction and the Y direction) when viewed from the Z direction (see FIG. 23B). In the case of dividing the memory cell in an oblique direction, it is sufficient that the insulator 124 does not completely traverse the conductive body 102 and the conductive body 103.

記憶單元的分割數不侷限於2。例如,如圖24A所示,記憶單元也可以被分割成3個。圖24A示出以Y型的絕緣體124為界線將結構體130、半導體121、絕緣體122分割成結構體130a、結構體130b、結構體130c、半導體121a、半導體121b、半導體121c、絕緣體122a、絕緣體122b及絕緣體122c的狀態。The number of divisions of the memory cell is not limited to 2. For example, as shown in FIG. 24A, the memory unit may be divided into three. 24A shows that the structure 130, the semiconductor 121, and the insulator 122 are divided into the structure 130a, the structure 130b, the structure 130c, the semiconductor 121a, the semiconductor 121b, the semiconductor 121c, the insulator 122a, and the insulator 122b by the Y-shaped insulator 124 as the boundary. And the state of the insulator 122c.

此外,如圖24B所示,從Z方向看時的絕緣體124的形狀也可以具有彎曲部。In addition, as shown in FIG. 24B, the shape of the insulator 124 when viewed from the Z direction may have a curved portion.

此外,如圖25A所示,記憶單元的分割數也可以為4。圖25A示出以十字形的絕緣體124為界線將結構體130、半導體121、絕緣體122分割成結構體130a、結構體130b、結構體130c、結構體130d、半導體121a、半導體121b、半導體121c、半導體121d、絕緣體122a、絕緣體122b、絕緣體122c及絕緣體122d的狀態。In addition, as shown in FIG. 25A, the number of divisions of the memory unit may also be 4. 25A shows that the structure 130, the semiconductor 121, and the insulator 122 are divided into a structure 130a, a structure 130b, a structure 130c, a structure 130d, a semiconductor 121a, a semiconductor 121b, a semiconductor 121c, and a semiconductor with a cross-shaped insulator 124 as a boundary. The states of 121d, insulator 122a, insulator 122b, insulator 122c, and insulator 122d.

此外,如圖25B所示,絕緣體124不完全橫穿導電體102a及導電體102b即可。同樣,絕緣體124不完全橫穿導電體103a及導電體103b即可。In addition, as shown in FIG. 25B, the insulator 124 may not completely traverse the conductor 102a and the conductor 102b. Similarly, the insulator 124 may not completely traverse the conductor 103a and the conductor 103b.

此外,如圖11A和圖11B所示,在設置多個記憶單元100或多個記憶體串200的情況下,如圖26所示,用來分割記憶單元100或記憶體串200的絕緣體124的形狀可以根據每個記憶單元100或記憶體串200而不同。此外,也可以共同使用絕緣體124分割不同的記憶單元100或記憶體串200。In addition, as shown in FIGS. 11A and 11B, when multiple memory cells 100 or multiple memory strings 200 are provided, as shown in FIG. 26, the insulator 124 used to divide the memory cell 100 or the memory string 200 The shape may be different for each memory cell 100 or memory string 200. In addition, the insulator 124 can also be used in common to divide different memory cells 100 or memory strings 200.

[記憶單元的構成材料] 以下說明可用於記憶單元100等的構成材料。[Materials of the memory unit] The following describes constituent materials that can be used for the memory cell 100 and the like.

[基板] 記憶單元100及記憶體串200可以設置在基板上。作為基板例如可以使用絕緣體基板、半導體基板或導電體基板。作為絕緣體基板,例如可以舉出玻璃基板、石英基板、藍寶石基板、穩定氧化鋯基板(釔安定氧化鋯基板等)、樹脂基板等。此外,作為半導體基板,例如可以舉出以矽或鍺等為材料的半導體基板、或者由碳化矽、矽鍺、砷化鎵、磷化銦、氧化鋅、氧化鎵或氮化鎵(GaN)等構成的化合物半導體基板。並且,還可以舉出在上述半導體基板內部具有絕緣體區域的半導體基板,例如為SOI(Silicon On Insulator;絕緣層上覆矽)基板等。作為導電體基板,可以舉出石墨基板、金屬基板、合金基板、導電樹脂基板等。或者,可以舉出包含金屬氮化物的基板、包含金屬氧化物的基板等。此外,還可以舉出設置有導電體或半導體的絕緣體基板、設置有導電體或絕緣體的半導體基板、設置有半導體或絕緣體的導電體基板等。或者,也可以使用在這些基板上設置有元件的基板。作為設置在基板上的元件,可以舉出電容器、電阻元件、切換元件、發光元件、記憶元件等。[Substrate] The memory unit 100 and the memory string 200 may be disposed on a substrate. As the substrate, for example, an insulator substrate, a semiconductor substrate, or a conductive substrate can be used. Examples of insulator substrates include glass substrates, quartz substrates, sapphire substrates, stabilized zirconia substrates (yttrium stabilized zirconia substrates, etc.), resin substrates, and the like. In addition, as a semiconductor substrate, for example, a semiconductor substrate made of silicon, germanium, etc., or made of silicon carbide, silicon germanium, gallium arsenide, indium phosphide, zinc oxide, gallium oxide, or gallium nitride (GaN), etc. The structure of the compound semiconductor substrate. In addition, a semiconductor substrate having an insulator region inside the above-mentioned semiconductor substrate, such as an SOI (Silicon On Insulator; silicon on insulating layer) substrate, etc., can also be cited. Examples of conductive substrates include graphite substrates, metal substrates, alloy substrates, and conductive resin substrates. Alternatively, a substrate containing a metal nitride, a substrate containing a metal oxide, and the like can be cited. In addition, examples thereof include an insulator substrate provided with a conductor or a semiconductor, a semiconductor substrate provided with a conductor or an insulator, and a conductive substrate provided with a semiconductor or an insulator, and the like. Alternatively, a substrate in which elements are provided on these substrates can also be used. Examples of elements provided on the substrate include capacitors, resistance elements, switching elements, light-emitting elements, memory elements, and the like.

[絕緣體] 作為絕緣體,有具有絕緣性的氧化物、氮化物、氧氮化物、氮氧化物、金屬氧化物、金屬氧氮化物、金屬氮氧化物等。[Insulator] As the insulator, there are insulating oxides, nitrides, oxynitrides, oxynitrides, metal oxides, metal oxynitrides, metal oxynitrides, and the like.

在本說明書等中,“氧氮化物”是指含氧量多於含氮量的材料。例如,“氧氮化矽”是指含氧量多於含氮量的矽材料。此外,在本說明書等中,“氮氧化物”是指含氮量多於含氧量的材料。例如,“氮氧化鋁”是指含氮量多於含氧量的鋁材料。In this specification and the like, "oxynitride" refers to a material containing more oxygen than nitrogen. For example, "silicon oxynitride" refers to a silicon material that contains more oxygen than nitrogen. In addition, in this specification and the like, "nitrogen oxide" refers to a material containing more nitrogen than oxygen. For example, "aluminum oxynitride" refers to an aluminum material that contains more nitrogen than oxygen.

例如,當進行電晶體的微型化及高積體化時,由於閘極絕緣體的薄膜化,有時發生洩漏電流等的問題。藉由作為被用作閘極絕緣體的絕緣體使用high-k材料,可以在保持物理厚度的同時實現電晶體工作時的低電壓化。另一方面,藉由將相對介電常數較低的材料用於被用作層間膜的絕緣體,可以減少產生在佈線之間的寄生電容。因此,較佳為根據絕緣體的功能選擇材料。For example, when miniaturization and high integration of transistors are performed, problems such as leakage current may occur due to the thinning of the gate insulator. By using a high-k material as an insulator used as a gate insulator, it is possible to achieve a low voltage during operation of the transistor while maintaining the physical thickness. On the other hand, by using a material with a low relative dielectric constant for the insulator used as the interlayer film, the parasitic capacitance generated between the wirings can be reduced. Therefore, it is preferable to select the material according to the function of the insulator.

作為相對介電常數較高的絕緣體,可以舉出氧化鎵、氧化鉿、氧化鋯、含有鋁及鉿的氧化物、含有鋁及鉿的氧氮化物、含有矽及鉿的氧化物、含有矽及鉿的氧氮化物或者含有矽及鉿的氮化物等。Examples of insulators with high relative permittivity include gallium oxide, hafnium oxide, zirconium oxide, oxides containing aluminum and hafnium, oxynitrides containing aluminum and hafnium, oxides containing silicon and hafnium, silicon and Hafnium oxynitride or nitride containing silicon and hafnium, etc.

作為相對介電常數較低的絕緣體,可以舉出氧化矽、氧氮化矽、氮氧化矽、氮化矽、添加有氟的氧化矽、添加有碳的氧化矽、添加有碳及氮的氧化矽、具有空孔的氧化矽或樹脂等。Examples of insulators with low relative permittivity include silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon, and oxides with added carbon and nitrogen. Silicon, silicon oxide with pores, resin, etc.

此外,藉由使具有抑制氫等雜質及氧的透過的功能的絕緣體圍繞OS電晶體,可以使電晶體的電特性穩定。作為具有抑制氫等雜質及氧的透過的功能的絕緣體,例如可以使用包含硼、碳、氮、氧、氟、鎂、鋁、矽、磷、氯、氬、鎵、鍺、釔、鋯、鑭、釹、鉿或鉭的絕緣體的單層或疊層。明確而言,作為具有抑制氫等雜質及氧的透過的功能的絕緣體,可以使用氧化鋁、氧化鎂、氧化鎵、氧化鍺、氧化釔、氧化鋯、氧化鑭、氧化釹、氧化鉿、氧化鉭等金屬氧化物、氮化鋁、氮氧化矽、氮化矽等金屬氮化物。In addition, by surrounding the OS transistor with an insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen, the electrical characteristics of the transistor can be stabilized. As an insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen, for example, boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium, and lanthanum can be used. , Neodymium, hafnium or tantalum insulator single layer or laminated layer. Specifically, aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, and tantalum oxide can be used as an insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen. And other metal oxides, aluminum nitride, silicon oxynitride, silicon nitride and other metal nitrides.

此外,在使用氧化物半導體作為半導體116、半導體114、半導體113及/或半導體121的情況下,被用作閘極絕緣體的絕緣體較佳為具有包含藉由加熱脫離的氧的區域的絕緣體。例如,藉由採用具有包含藉由加熱脫離的氧的區域的氧化矽或者氧氮化矽接觸於半導體114及/或半導體116的結構,可以填補半導體114及/或半導體116所包含的氧空位。In addition, when an oxide semiconductor is used as the semiconductor 116, the semiconductor 114, the semiconductor 113, and/or the semiconductor 121, the insulator used as the gate insulator is preferably an insulator having a region containing oxygen released by heating. For example, by adopting a structure in which silicon oxide or silicon oxynitride having a region containing oxygen released by heating is in contact with the semiconductor 114 and/or the semiconductor 116, the oxygen vacancies contained in the semiconductor 114 and/or the semiconductor 116 can be filled.

此外,作為絕緣體,既可使用由上述材料形成的一個絕緣層,又可使用由上述材料形成的多個絕緣層的疊層。In addition, as the insulator, either one insulating layer formed of the above-mentioned material may be used, or a stack of a plurality of insulating layers formed of the above-mentioned material may be used.

例如,在設置接觸於導電體的絕緣體的情況下,該絕緣體較佳為使用具有抑制透過氧的功能的絕緣體,以防止導電體的氧化。例如,作為該絕緣體,較佳為使用氧化鉿、氧化鋁或氮化矽等。For example, in the case of providing an insulator in contact with a conductor, it is preferable to use an insulator having a function of suppressing the permeation of oxygen to prevent oxidation of the conductor. For example, as the insulator, hafnium oxide, aluminum oxide, silicon nitride, or the like is preferably used.

此外,在設置接觸於導電體的疊層絕緣體的情況下,接觸於導電體的絕緣體較佳為使用具有抑制透過氧的功能的絕緣體。例如,可以使用氧化鉿形成接觸於導電體的絕緣體,並使用氧氮化矽形成接觸於該絕緣體的絕緣體。In addition, in the case of providing a laminated insulator in contact with a conductor, it is preferable to use an insulator having a function of suppressing the permeation of oxygen as the insulator in contact with the conductor. For example, hafnium oxide may be used to form an insulator in contact with a conductor, and silicon oxynitride may be used to form an insulator in contact with the insulator.

[導電體] 作為導電體,較佳為使用選自鋁、鉻、銅、銀、金、鉑、鉭、鎳、鈦、鉬、鎢、鉿、釩、鈮、錳、鎂、鋯、鈹、銦、釕、銥、鍶和鑭等中的金屬元素、以上述金屬元素為成分的合金或者組合上述金屬元素的合金等。例如,較佳為使用氮化鉭、氮化鈦、鎢、包含鈦和鋁的氮化物、包含鉭和鋁的氮化物、氧化釕、氮化釕、包含鍶和釕的氧化物、包含鑭和鎳的氧化物等。此外,氮化鉭、氮化鈦、包含鈦和鋁的氮化物、包含鉭和鋁的氮化物、氧化釕、氮化釕、包含鍶和釕的氧化物、包含鑭和鎳的氧化物是不容易氧化的導電材料或者吸收氧也維持導電性的材料,所以是較佳的。此外,也可以使用以包含磷等雜質元素的多晶矽為代表的導電率高的半導體以及鎳矽化物等矽化物。[Conductor] As the conductor, preferably selected from aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, ruthenium, Metal elements in iridium, strontium, lanthanum, etc., alloys containing the above-mentioned metal elements as components, or alloys in which the above-mentioned metal elements are combined, and the like. For example, it is preferable to use tantalum nitride, titanium nitride, tungsten, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, containing lanthanum and Nickel oxide, etc. In addition, tantalum nitride, titanium nitride, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, oxide containing lanthanum and nickel are not A conductive material that is easily oxidized or a material that absorbs oxygen and maintains conductivity is preferable. In addition, high-conductivity semiconductors such as polysilicon containing impurity elements such as phosphorus and silicides such as nickel silicide can also be used.

此外,作為導電體,既可使用由上述材料形成的一個導電層,又可使用由上述材料形成的多個導電層的疊層。例如,也可以採用組合包含上述金屬元素的材料和包含氧的導電材料的疊層結構。此外,也可以採用組合包含上述金屬元素的材料和包含氮的導電材料的疊層結構。此外,也可以採用組合包含上述金屬元素的材料、包含氧的導電材料和包含氮的導電材料的疊層結構。In addition, as the conductor, either one conductive layer formed of the above-mentioned material or a stack of a plurality of conductive layers formed of the above-mentioned material may be used. For example, it is also possible to adopt a laminated structure in which a material containing the aforementioned metal element and a conductive material containing oxygen are combined. In addition, a laminated structure in which a material containing the above-mentioned metal element and a conductive material containing nitrogen are combined may also be adopted. In addition, a laminated structure in which a material containing the above-mentioned metal element, a conductive material containing oxygen, and a conductive material containing nitrogen are combined may also be adopted.

此外,在將作為金屬氧化物之一的氧化物半導體用於電晶體的通道形成區域的情況下,作為被用作閘極電極的導電體較佳為採用組合包含上述金屬元素的材料和包含氧的導電材料的疊層結構。在此情況下,較佳為將包含氧的導電材料設置在通道形成區域一側。藉由將包含氧的導電材料設置在通道形成區域一側,從該導電材料脫離的氧容易被供應到通道形成區域。In addition, in the case of using an oxide semiconductor as one of the metal oxides in the channel formation region of the transistor, as the conductor used as the gate electrode, it is preferable to use a combination of a material containing the above-mentioned metal element and a material containing oxygen. The laminated structure of conductive materials. In this case, it is preferable to provide a conductive material containing oxygen on the side of the channel formation region. By disposing a conductive material containing oxygen on the side of the channel formation region, oxygen separated from the conductive material is easily supplied to the channel formation region.

尤其是,作為被用作閘極電極的導電體,較佳為使用包含含在被形成通道的氧化物半導體中的金屬元素及氧的導電材料。此外,也可以使用包含上述金屬元素及氮的導電材料。例如,可以使用氮化鈦、氮化鉭等包含氮的導電材料。此外,也可以使用銦錫氧化物、包含氧化鎢的銦氧化物、包含氧化鎢的銦鋅氧化物、包含氧化鈦的銦氧化物、包含氧化鈦的銦錫氧化物、銦鋅氧化物、添加有矽的銦錫氧化物。藉由使用上述材料,有時可以俘獲形成有通道的氧化物半導體所包含的氫。或者,有時可以俘獲從外方的絕緣體等混入的氫。In particular, as the conductor used as the gate electrode, it is preferable to use a conductive material containing a metal element and oxygen contained in the oxide semiconductor in which the channel is formed. In addition, conductive materials containing the aforementioned metal elements and nitrogen may also be used. For example, a conductive material containing nitrogen, such as titanium nitride and tantalum nitride, can be used. In addition, indium tin oxide, indium oxide containing tungsten oxide, indium zinc oxide containing tungsten oxide, indium oxide containing titanium oxide, indium tin oxide containing titanium oxide, indium zinc oxide, additive Indium tin oxide with silicon. By using the above-mentioned materials, hydrogen contained in the oxide semiconductor in which the channel is formed can sometimes be trapped. Alternatively, hydrogen mixed in from an external insulator or the like may be trapped.

[氧化物半導體] 作為半導體116、半導體114、半導體113及/或半導體121,較佳為使用被用作半導體的氧化物半導體(氧化物半導體)。尤其是,半導體114較佳為使用氧化物半導體。下面,對可用於記憶單元100的氧化物半導體進行說明。[Oxide Semiconductor] As the semiconductor 116, the semiconductor 114, the semiconductor 113, and/or the semiconductor 121, an oxide semiconductor (oxide semiconductor) used as a semiconductor is preferably used. In particular, it is preferable to use an oxide semiconductor for the semiconductor 114. Next, an oxide semiconductor that can be used in the memory cell 100 will be described.

氧化物半導體較佳為至少包含銦或鋅。尤其較佳為包含銦及鋅。此外,除此之外,較佳為還包含鋁、鎵、釔、錫等。此外,也可以包含選自硼、鈦、鐵、鎳、鍺、鋯、鉬、鑭、鈰、釹、鉿、鉭、鎢、鎂及鈷等中的一種或多種。The oxide semiconductor preferably contains at least indium or zinc. It is particularly preferable to include indium and zinc. In addition, it is preferable to further include aluminum, gallium, yttrium, tin, and the like. In addition, one or more selected from boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, and cobalt may also be included.

在此考慮氧化物半導體為包含銦、元素M及鋅的In-M-Zn氧化物的情況。注意,元素M為鋁、鎵、釔或錫等中的一個或多個。作為可以應用於元素M的其他元素,有硼、鈦、鐵、鎳、鍺、鋯、鉬、鑭、鈰、釹、鉿、鉭、鎢、鎂、鈷等。注意,作為元素M有時也可以組合多個上述元素。Consider a case where the oxide semiconductor is an In-M-Zn oxide containing indium, element M, and zinc. Note that the element M is one or more of aluminum, gallium, yttrium, tin, and the like. As other elements that can be applied to the element M, there are boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, cobalt, and the like. Note that as the element M, a plurality of the above-mentioned elements may be combined in some cases.

[結晶結構的分類] 首先,對氧化物半導體中的結晶結構的分類參照圖27A進行說明。圖27A是說明氧化物半導體,典型為IGZO(包含In、Ga、Zn的金屬氧化物)的結晶結構的分類的圖。[Classification of Crystal Structure] First, the classification of crystal structures in oxide semiconductors will be described with reference to FIG. 27A. FIG. 27A is a diagram illustrating the classification of the crystal structure of an oxide semiconductor, typically IGZO (metal oxide containing In, Ga, and Zn).

如圖27A所示,氧化物半導體大致分為“Amorphous(無定形)”、“Crystalline(結晶性)”、“Crystal (結晶)”。此外,completely amorphous包含在“Amorphous”中。此外,在“Crystalline”中包含CAAC(c-axis-aligned crystalline)、nc(nanocrystalline)及CAC(cloud-aligned composite)。此外,在“Crystalline”的分類中不包含single crystal(單晶)、poly crystal(多晶)及completely amorphous。此外,在“Crystal”中包含single crystal及poly crystal。As shown in FIG. 27A, oxide semiconductors are roughly classified into "Amorphous", "Crystalline", and "Crystal". In addition, completely amorphous is included in "Amorphous". In addition, "Crystalline" includes CAAC (c-axis-aligned crystalline), nc (nanocrystalline) and CAC (cloud-aligned composite). In addition, single crystal, poly crystal, and completely amorphous are not included in the category of "Crystalline". In addition, single crystal and poly crystal are included in "Crystal".

此外,圖27A所示的外框線被加粗的部分中的結構是介於“Amorphous(無定形)”與“Crystal(結晶)”之間的中間狀態,是屬於新穎的邊界區域(New crystalline phase)的結構。換言之,該結構與“Crystal(結晶)”或在能量性上不穩定的“Amorphous(無定形)”可以說是完全不同的結構。In addition, the structure in the thickened part of the outer frame line shown in FIG. 27A is an intermediate state between "Amorphous" and "Crystal", which belongs to a novel boundary region (New crystalline). phase) structure. In other words, this structure can be said to be a completely different structure from "Crystal" or "Amorphous" which is energetically unstable.

可以使用X射線繞射(XRD:X-Ray Diffraction)譜對膜或基板的結晶結構進行評價。在此,圖27B示出被分類為“Crystalline”的CAAC-IGZO膜的藉由GIXD(Grazing-Incidence XRD)測量而得到的XRD譜。此外,將GIXD法也稱為薄膜法或Seemann-Bohlin法。下面,將藉由圖27B所示的GIXD測量而得到的XRD譜簡單地記為XRD譜。此外,圖27B所示的CAAC-IGZO膜的組成是In:Ga:Zn=4:2:3[原子個數比]附近。此外,圖27B所示的CAAC-IGZO膜的厚度為500nm。X-ray diffraction (XRD: X-Ray Diffraction) spectroscopy can be used to evaluate the crystalline structure of the film or substrate. Here, FIG. 27B shows an XRD spectrum of a CAAC-IGZO film classified as "Crystalline" by GIXD (Grazing-Incidence XRD) measurement. In addition, the GIXD method is also called the thin film method or the Seemann-Bohlin method. Hereinafter, the XRD spectrum obtained by the GIXD measurement shown in FIG. 27B is simply referred to as the XRD spectrum. In addition, the composition of the CAAC-IGZO film shown in FIG. 27B is near In:Ga:Zn=4:2:3 [atomic ratio]. In addition, the thickness of the CAAC-IGZO film shown in FIG. 27B is 500 nm.

如圖27B所示,在CAAC-IGZO膜的XRD譜中檢測出表示明確的結晶性的峰值。明確而言,在CAAC-IGZO膜的XRD譜中,2θ=31°附近檢測出表示c軸配向的峰值。此外,如圖27B所示那樣,2θ=31°附近的峰值在以檢測出峰值強度的角度為軸時左右非對稱。As shown in FIG. 27B, a peak indicating clear crystallinity was detected in the XRD spectrum of the CAAC-IGZO film. Specifically, in the XRD spectrum of the CAAC-IGZO film, a peak indicating the c-axis alignment was detected in the vicinity of 2θ=31°. In addition, as shown in FIG. 27B, the peak in the vicinity of 2θ=31° is left-right asymmetrical when the angle at which the peak intensity is detected is the axis.

此外,可以使用納米束電子繞射法(NBED:Nano Beam Electron Diffraction)觀察的繞射圖案(也稱為納米束電子繞射圖案)對膜或基板的結晶結構進行評價。圖27C示出CAAC-IGZO膜的繞射圖案。圖27C是將電子束向平行於基板的方向入射的NBED觀察的繞射圖案。此外,圖27C所示的CAAC-IGZO膜的組成是In:Ga:Zn=4:2:3[原子個數比]附近。此外,在奈米束電子繞射法中,進行束徑為1nm的電子繞射法。In addition, the crystalline structure of the film or the substrate can be evaluated using a diffraction pattern (also referred to as a nanobeam electron diffraction pattern) observed by the nanobeam electron diffraction method (NBED: Nano Beam Electron Diffraction). FIG. 27C shows the diffraction pattern of the CAAC-IGZO film. Fig. 27C is a diffraction pattern observed with an NBED in which an electron beam is incident in a direction parallel to the substrate. In addition, the composition of the CAAC-IGZO film shown in FIG. 27C is in the vicinity of In:Ga:Zn=4:2:3 [atomic ratio]. In addition, in the nano-beam electron diffraction method, an electron diffraction method with a beam diameter of 1 nm is performed.

如圖27C所示那樣,在CAAC-IGZO膜的繞射圖案中觀察到表示c軸配向的多個斑點。As shown in FIG. 27C, a plurality of spots indicating the c-axis alignment were observed in the diffraction pattern of the CAAC-IGZO film.

[氧化物半導體的結構] 此外,在注目於氧化物半導體的結晶結構的情況下,有時氧化物半導體的分類與圖27A不同。例如,氧化物半導體可以分類為單晶氧化物半導體和除此之外的非單晶氧化物半導體。作為非單晶氧化物半導體,例如可以舉出上述CAAC-OS(C Axis Aligned Crystalline Oxide Semiconductor)及nc-OS(nanocrystalline Oxide Semiconductor)。此外,在非單晶氧化物半導體中包含多晶氧化物半導體、a-like OS(amorphous-like oxide semiconductor)及非晶氧化物半導體等。[Structure of oxide semiconductor] In addition, when paying attention to the crystal structure of an oxide semiconductor, the classification of the oxide semiconductor may be different from that in FIG. 27A. For example, oxide semiconductors can be classified into single crystal oxide semiconductors and other non-single crystal oxide semiconductors. Examples of non-single crystal oxide semiconductors include the above-mentioned CAAC-OS (C Axis Aligned Crystalline Oxide Semiconductor) and nc-OS (nanocrystalline Oxide Semiconductor). In addition, non-single crystal oxide semiconductors include polycrystalline oxide semiconductors, a-like OS (amorphous-like oxide semiconductor), amorphous oxide semiconductors, and the like.

在此,對上述CAAC-OS、nc-OS及a-like OS的詳細內容進行說明。Here, the details of the above-mentioned CAAC-OS, nc-OS, and a-like OS will be described.

[CAAC-OS] CAAC-OS是包括多個結晶區域的氧化物半導體,該多個結晶區域的c軸配向於特定的方向。此外,特定的方向是指CAAC-OS膜的厚度方向、CAAC-OS膜的被形成面的法線方向、或者CAAC-OS膜的表面的法線方向。此外,結晶區域是具有原子排列的週期性的區域。注意,在將原子排列看作晶格排列時結晶區域也是晶格排列一致的區域。再者,CAAC-OS具有在a-b面方向上多個結晶區域連接的區域,有時該區域具有畸變。此外,畸變是指在多個結晶區域連接的區域中,晶格排列一致的區域和其他晶格排列一致的區域之間的晶格排列的方向變化的部分。換言之,CAAC-OS是指c軸配向並在a-b面方向上沒有明顯的配向的氧化物半導體。[CAAC-OS] CAAC-OS is an oxide semiconductor including a plurality of crystalline regions, and the c-axis of the plurality of crystalline regions is aligned in a specific direction. In addition, the specific direction refers to the thickness direction of the CAAC-OS film, the normal direction of the surface to be formed of the CAAC-OS film, or the normal direction of the surface of the CAAC-OS film. In addition, the crystalline region is a region having periodicity of atomic arrangement. Note that when the atomic arrangement is regarded as a lattice arrangement, the crystalline region is also a region where the lattice arrangement is uniform. Furthermore, CAAC-OS has a region where a plurality of crystal regions are connected in the a-b plane direction, and this region may have distortion. In addition, distortion refers to a portion where the direction of the lattice arrangement changes between a region where the crystal lattice arrangement is consistent and other regions where the crystal lattice arrangement is consistent in a region where a plurality of crystal regions are connected. In other words, CAAC-OS refers to an oxide semiconductor with c-axis alignment and no obvious alignment in the a-b plane direction.

此外,上述多個結晶區域的每一個由一個或多個微小結晶(最大徑小於10nm的結晶)構成。在結晶區域由一個微小結晶構成的情況下,該結晶區域的最大徑小於10nm。此外,結晶區域由多個微小結晶構成的情況下,有時該結晶區域的尺寸為幾十nm左右。In addition, each of the plurality of crystal regions is composed of one or more minute crystals (crystals having a maximum diameter of less than 10 nm). In the case where the crystalline region is composed of one minute crystal, the maximum diameter of the crystalline region is less than 10 nm. In addition, when the crystal region is composed of a plurality of minute crystals, the size of the crystal region may be about several tens of nm.

此外,在In-M-Zn氧化物中,CAAC-OS有包括含有層疊有銦(In)及氧的層(以下,In層)、含有元素M、鋅(Zn)及氧的層(以下,(M,Zn)層)的層狀結晶結構(也稱為層狀結構)的趨勢。此外,銦和元素M可以彼此置換。因此,有時(M,Zn)層包含銦。此外,有時In層包含元素M。注意,有時In層包含Zn。該層狀結構例如在高解析度TEM影像中被觀察作為晶格像。In addition, among In-M-Zn oxides, CAAC-OS includes a layer containing indium (In) and oxygen laminated (hereinafter, In layer), and a layer containing element M, zinc (Zn), and oxygen (hereinafter, (M, Zn) layer) tends to have a layered crystal structure (also called a layered structure). In addition, indium and element M may replace each other. Therefore, sometimes the (M, Zn) layer contains indium. In addition, the In layer sometimes contains the element M. Note that the In layer sometimes contains Zn. This layered structure is observed as a lattice image in, for example, a high-resolution TEM image.

例如,當對CAAC-OS膜使用XRD裝置進行結構分析時,在使用θ/2θ掃描的Out-of-plane XRD測量中,在2θ=31°或其附近檢測出c軸配向的峰值。注意,表示c軸配向的峰值的位置(2θ值)有時根據構成CAAC-OS的金屬元素的種類、組成等變動。For example, when analyzing the structure of the CAAC-OS film using an XRD device, in the Out-of-plane XRD measurement using θ/2θ scanning, the peak of the c-axis alignment is detected at 2θ=31° or its vicinity. Note that the position (2θ value) of the peak indicating the c-axis alignment may vary depending on the type and composition of the metal element constituting CAAC-OS.

此外,例如,在CAAC-OS膜的電子繞射圖案中觀察到多個亮點(斑點)。此外,在以透過樣本的入射電子束的斑點(也稱為直接斑點)為對稱中心時,某一個斑點和其他斑點被觀察在點對稱的位置。In addition, for example, a plurality of bright spots (spots) are observed in the electron diffraction pattern of the CAAC-OS film. In addition, when the spot of the incident electron beam (also referred to as the direct spot) that passes through the sample is the center of symmetry, a certain spot and the other spots are observed at a point-symmetrical position.

在從上述特定的方向觀察結晶區域的情況下,雖然該結晶區域中的晶格排列基本上是六方晶格,但是單位晶格並不侷限於正六角形,有是非正六角形的情況。此外,在上述畸變中,有時具有五角形、七角形等晶格排列。此外,在CAAC-OS的畸變附近觀察不到明確的晶界(grain boundary)。也就是說,晶格排列的畸變抑制晶界的形成。這可能是由於CAAC-OS可容許因如下原因而發生的畸變,亦即a-b面方向上的氧原子的排列的低密度或因金屬原子被取代而使原子間的鍵合距離產生變化。When the crystal region is viewed from the above-mentioned specific direction, although the lattice arrangement in the crystal region is basically a hexagonal lattice, the unit crystal lattice is not limited to a regular hexagon, and may be a non-regular hexagon. In addition, in the above-mentioned distortion, there may be a lattice arrangement such as a pentagonal shape or a heptagonal shape. In addition, no clear grain boundary is observed near the distortion of CAAC-OS. That is, the distortion of the lattice arrangement suppresses the formation of grain boundaries. This may be because CAAC-OS can tolerate distortion due to the low density of the arrangement of oxygen atoms in the a-b plane direction or the substitution of metal atoms to change the bonding distance between atoms.

此外,確認到明確的晶界的結晶結構被稱為所謂的多晶(polycrystal)。晶界成為再結合中心而載子被俘獲,因而有可能導致電晶體的通態電流的降低、場效移動率的降低等。因此,確認不到明確的晶界的CAAC-OS是使電晶體的半導體層具有優異的結晶結構的結晶性氧化物之一。注意,為了構成CAAC-OS,較佳為包含Zn的結構。例如,與In氧化物相比,In-Zn氧化物及In-Ga-Zn氧化物能夠進一步地抑制晶界的發生,所以是較佳的。In addition, the crystal structure in which a clear grain boundary is confirmed is called a so-called polycrystal. The grain boundary becomes the recombination center and the carriers are trapped, which may cause the reduction of the on-state current of the transistor and the reduction of the field effect mobility. Therefore, it has not been confirmed that CAAC-OS with a clear grain boundary is one of the crystalline oxides that makes the semiconductor layer of the transistor have an excellent crystal structure. Note that in order to constitute CAAC-OS, a structure containing Zn is preferable. For example, compared with In oxide, In-Zn oxide and In-Ga-Zn oxide can further suppress the occurrence of grain boundaries, so they are preferable.

CAAC-OS是結晶性高且確認不到明確的晶界的氧化物半導體。因此,可以說在CAAC-OS中,不容易發生起因於晶界的電子移動率的降低。此外,氧化物半導體的結晶性有時因雜質的混入或缺陷的生成等而降低,因此可以說CAAC-OS是雜質或缺陷(氧缺陷等)少的氧化物半導體。因此,包含CAAC-OS的氧化物半導體的物理性質穩定。因此,包含CAAC-OS的氧化物半導體具有高耐熱性及可靠性良好。此外,CAAC-OS對製程中的高溫度(所謂熱積存;thermal budget)也很穩定。由此,藉由在OS電晶體中使用CAAC-OS,可以擴大製程的彈性。CAAC-OS is an oxide semiconductor with high crystallinity and no clear grain boundaries can be confirmed. Therefore, it can be said that in CAAC-OS, a decrease in the electron mobility due to grain boundaries does not easily occur. In addition, the crystallinity of an oxide semiconductor may be reduced due to the mixing of impurities or the generation of defects. Therefore, it can be said that CAAC-OS is an oxide semiconductor with few impurities or defects (oxygen defects, etc.). Therefore, the physical properties of the oxide semiconductor containing CAAC-OS are stable. Therefore, oxide semiconductors containing CAAC-OS have high heat resistance and good reliability. In addition, CAAC-OS is also very stable against high temperatures in the process (the so-called thermal budget). Therefore, by using CAAC-OS in the OS transistor, the flexibility of the manufacturing process can be expanded.

[nc-OS] 在nc-OS中,微小的區域(例如1nm以上且10nm以下的區域,特別是1nm以上且3nm以下的區域)中的原子排列具有週期性。換言之,nc-OS具有微小的結晶。此外,例如,該微小的結晶的尺寸為1nm以上且10nm以下,尤其為1nm以上且3nm以下,將該微小的結晶稱為奈米晶。此外,nc-OS在不同的奈米晶之間觀察不到結晶定向的規律性。因此,在膜整體中觀察不到配向性。所以,有時nc-OS在某些分析方法中與a-like OS或非晶氧化物半導體沒有差別。例如,在對nc-OS膜使用XRD裝置進行結構分析時,在使用θ/2θ掃描的Out-of-plane XRD測量中,不檢測出表示結晶性的峰值。此外,在對nc-OS膜進行使用其束徑比奈米晶大(例如,50nm以上)的電子束的電子繞射(也稱為選區電子繞射)時,觀察到類似光暈圖案的繞射圖案。另一方面,在對nc-OS膜進行使用其束徑近於或小於奈米晶的尺寸(例如1nm以上且30nm以下)的電子束的電子繞射(也稱為奈米束電子射線)的情況下,有時得到在以直接斑點為中心的環狀區域內觀察到多個斑點的電子繞射圖案。[nc-OS] In nc-OS, the arrangement of atoms in a minute region (for example, a region of 1 nm or more and 10 nm or less, particularly a region of 1 nm or more and 3 nm or less) has periodicity. In other words, nc-OS has tiny crystals. In addition, for example, the size of the minute crystal is 1 nm or more and 10 nm or less, especially 1 nm or more and 3 nm or less, and the minute crystal is called a nanocrystal. In addition, nc-OS has no regularity of crystal orientation between different nanocrystals. Therefore, no alignment was observed in the entire film. Therefore, sometimes nc-OS is not different from a-like OS or amorphous oxide semiconductor in some analysis methods. For example, when analyzing the structure of the nc-OS film using an XRD device, in the Out-of-plane XRD measurement using the θ/2θ scan, no peak indicating crystallinity was detected. In addition, when the nc-OS film is subjected to electron diffraction (also called selective electron diffraction) of an electron beam whose beam diameter is larger than that of the nanocrystal (for example, 50nm or more), a diffraction similar to a halo pattern is observed pattern. On the other hand, the nc-OS film is subjected to electron diffraction (also called nano-beam electron beam) using electron beams whose beam diameters are close to or smaller than the size of nanocrystals (for example, 1 nm or more and 30 nm or less). In this case, an electron diffraction pattern in which multiple spots are observed in a ring-shaped area centered on the direct spot may be obtained.

[a-like OS] a-like OS是具有介於nc-OS與非晶氧化物半導體之間的結構的氧化物半導體。a-like OS包含空洞或低密度區域。也就是說,a-like OS的結晶性比nc-OS及CAAC-OS的結晶性低。此外,a-like OS的膜中的氫濃度比nc-OS及CAAC-OS的膜中的氫濃度高。[a-like OS] The a-like OS is an oxide semiconductor having a structure between nc-OS and an amorphous oxide semiconductor. a-like OS contains voids or low-density areas. In other words, the crystallinity of a-like OS is lower than that of nc-OS and CAAC-OS. In addition, the hydrogen concentration in the film of a-like OS is higher than the hydrogen concentration in the films of nc-OS and CAAC-OS.

[氧化物半導體的結構] 接著,說明上述的CAC-OS的詳細內容。此外,說明CAC-OS與材料構成有關。[Structure of oxide semiconductor] Next, the details of the above-mentioned CAC-OS will be explained. In addition, it is explained that CAC-OS is related to material composition.

[CAC-OS] CAC-OS例如是指包含在金屬氧化物中的元素不均勻地分佈的構成,其中包含不均勻地分佈的元素的材料的尺寸為0.5nm以上且10nm以下,較佳為1nm以上且3nm以下或近似的尺寸。注意,在下面也將在金屬氧化物中一個或多個金屬元素不均勻地分佈且包含該金屬元素的區域混合的狀態稱為馬賽克狀或補丁(patch)狀,該區域的尺寸為0.5nm以上且10nm以下,較佳為1nm以上且3nm以下或近似的尺寸。[CAC-OS] CAC-OS refers to, for example, a structure in which the elements contained in the metal oxide are unevenly distributed, and the size of the material containing the unevenly distributed elements is 0.5 nm or more and 10 nm or less, preferably 1 nm or more and 3 nm or less or Approximate size. Note that the state in which one or more metal elements are unevenly distributed in the metal oxide and the region containing the metal element is mixed is called mosaic or patch, and the size of the region is 0.5 nm or more. And 10 nm or less, preferably 1 nm or more and 3 nm or less or a size similar to it.

再者,CAC-OS是指其材料分開為第一區域與第二區域而成為馬賽克狀且該第一區域分佈於膜中的結構(下面也稱為雲狀)。就是說,CAC-OS是指具有該第一區域和該第二區域混合的結構的複合金屬氧化物。Furthermore, CAC-OS refers to a structure in which the material is divided into a first area and a second area to form a mosaic shape and the first area is distributed in the film (hereinafter also referred to as cloud shape). In other words, CAC-OS refers to a composite metal oxide having a structure in which the first region and the second region are mixed.

在此,將相對於構成In-Ga-Zn氧化物的CAC-OS的金屬元素的In、Ga及Zn的原子個數比的每一個記為[In]、[Ga]及[Zn]。例如,在In-Ga-Zn氧化物的CAC-OS中,第一區域是其[In]大於CAC-OS膜的組成中的[In]的區域。此外,第二區域是其[Ga]大於CAC-OS膜的組成中的[Ga]的區域。此外,例如,第一區域是其[In]大於第二區域中的[In]且其[Ga]小於第二區域中的[Ga]的區域。此外,第二區域是其[Ga]大於第一區域中的[Ga]且其[In]小於第一區域中的[In]的區域。Here, each of the atomic ratios of In, Ga, and Zn with respect to the metal elements constituting the CAC-OS of the In-Ga-Zn oxide is referred to as [In], [Ga], and [Zn]. For example, in CAC-OS of In-Ga-Zn oxide, the first region is a region whose [In] is larger than [In] in the composition of the CAC-OS film. In addition, the second region is a region whose [Ga] is larger than [Ga] in the composition of the CAC-OS film. In addition, for example, the first region is a region whose [In] is larger than [In] in the second region and whose [Ga] is smaller than [Ga] in the second region. In addition, the second region is a region whose [Ga] is larger than [Ga] in the first region and whose [In] is smaller than [In] in the first region.

明確而言,上述第一區域是以銦氧化物或銦鋅氧化物等為主要成分的區域。此外,上述第二區域是以鎵氧化物或鎵鋅氧化物等為主要成分的區域。換言之,可以將上述第一區域稱為以In為主要成分的區域。此外,可以將上述第二區域稱為以Ga為主要成分的區域。Specifically, the above-mentioned first region is a region mainly composed of indium oxide, indium zinc oxide, or the like. In addition, the above-mentioned second region is a region mainly composed of gallium oxide, gallium zinc oxide, or the like. In other words, the above-mentioned first region can be referred to as a region containing In as a main component. In addition, the above-mentioned second region can be referred to as a region containing Ga as a main component.

注意,有時觀察不到上述第一區域和上述第二區域的明確的邊界。Note that sometimes a clear boundary between the first area and the second area may not be observed.

例如,在In-Ga-Zn氧化物的CAC-OS中,根據藉由能量色散型X射線分析法(EDX:Energy Dispersive X-ray spectroscopy)取得的EDX分析圖像(EDX-mapping),可確認到具有以In為主要成分的區域(第一區域)及以Ga為主要成分的區域(第二區域)不均勻地分佈而混合的結構。For example, in the CAC-OS of In-Ga-Zn oxide, the EDX analysis image (EDX-mapping) obtained by energy dispersive X-ray analysis (EDX: Energy Dispersive X-ray spectroscopy) can confirm It has a structure in which a region with In as a main component (first region) and a region with Ga as a main component (second region) are unevenly distributed and mixed.

在將CAC-OS用於電晶體的情況下,藉由起因於第一區域的導電性和起因於第二區域的絕緣性的互補作用,可以使CAC-OS具有開關功能(控制導通/關閉的功能)。換言之,在CAC-OS的材料的一部分中具有導電性的功能且在另一部分中具有絕緣性的功能,在材料的整體中具有半導體的功能。藉由使導電性的功能和絕緣性的功能分離,可以最大限度地提高各功能。因此,藉由將CAC-OS用於電晶體,可以實現高通態電流(Ion )、高場效移動率(μ)及良好的切換工作。In the case of using CAC-OS for transistors, the complementary effect of the conductivity caused by the first region and the insulation caused by the second region allows CAC-OS to have a switching function (control on/off Function). In other words, one part of the CAC-OS material has a conductive function, another part has an insulating function, and the entire material has a semiconductor function. By separating the conductive function from the insulating function, each function can be maximized. Therefore, by using CAC-OS for transistors, high on-state current (I on ), high field efficiency mobility (μ), and good switching operations can be achieved.

氧化物半導體具有各種結構及各種特性。本發明的一個實施方式的氧化物半導體也可以包括非晶氧化物半導體、多晶氧化物半導體、a-like OS、CAC-OS、nc-OS、CAAC-OS中的兩種以上。Oxide semiconductors have various structures and various characteristics. The oxide semiconductor of one embodiment of the present invention may include two or more of amorphous oxide semiconductors, polycrystalline oxide semiconductors, a-like OS, CAC-OS, nc-OS, and CAAC-OS.

<包括氧化物半導體的電晶體> 在此,說明將上述氧化物半導體用於電晶體的情況。<Transistor including oxide semiconductor> Here, a case where the above-mentioned oxide semiconductor is used for a transistor will be described.

藉由將上述氧化物半導體用於電晶體,可以實現場效移動率高的電晶體。此外,可以實現可靠性高的電晶體。By using the above-mentioned oxide semiconductor for a transistor, a transistor with a high field effect mobility can be realized. In addition, a highly reliable transistor can be realized.

此外,較佳為將載子濃度低的氧化物導體用於電晶體的通道形成區域。例如,氧化物半導體的通道形成區域中的載子濃度較佳為1×1018 cm-3 以下,更佳為低於1×1017 cm-3 ,進一步較佳為低於1×1016 cm-3 ,更進一步較佳為低於1×1013 cm-3 ,還進一步較佳為低於1×1012 cm-3 。在以降低氧化物半導體膜的載子濃度為目的的情況下,可以降低氧化物半導體膜中的雜質濃度以降低缺陷態密度。在本說明書等中,將雜質濃度低且缺陷態密度低的狀態稱為“高純度本質”或“實質上高純度本質”。此外,有時將載子濃度低的氧化物半導體稱為“高純度本質”或“實質上高純度本質的氧化物半導體”。此外,有時將高純度本質或實質上高純度本質稱為“i型”或“實質上i型”。In addition, it is preferable to use an oxide conductor with a low carrier concentration for the channel formation region of the transistor. For example, the carrier concentration in the channel formation region of the oxide semiconductor is preferably 1×10 18 cm -3 or less, more preferably less than 1×10 17 cm -3 , and still more preferably less than 1×10 16 cm -3 , more preferably less than 1×10 13 cm -3 , still more preferably less than 1×10 12 cm -3 . In the case where the objective is to reduce the carrier concentration of the oxide semiconductor film, the impurity concentration in the oxide semiconductor film can be reduced to reduce the defect state density. In this specification and the like, the state in which the impurity concentration is low and the defect state density is low is referred to as "high purity nature" or "substantially high purity nature". In addition, an oxide semiconductor with a low carrier concentration is sometimes referred to as a "high purity nature" or "substantially high purity nature oxide semiconductor". In addition, the high-purity nature or the substantially high-purity nature is sometimes referred to as "i-type" or "substantially i-type".

因為高純度本質或實質上高純度本質的氧化物半導體膜具有較低的缺陷態密度,所以有可能具有較低的陷阱態密度。Since the oxide semiconductor film of high purity nature or substantially high purity nature has a lower density of defect states, it is possible to have a lower density of trap states.

此外,被氧化物半導體的陷阱能階俘獲的電荷到消失需要較長的時間,有時像固定電荷那樣動作。因此,有時在陷阱態密度高的氧化物半導體中形成通道形成區域的電晶體的電特性不穩定。In addition, it takes a long time for the charge trapped by the trap level of the oxide semiconductor to disappear, and it sometimes acts like a fixed charge. Therefore, the electrical characteristics of the transistor forming the channel formation region in an oxide semiconductor with a high density of trap states may be unstable.

因此,為了使電晶體的電特性穩定,降低氧化物半導體中的雜質濃度是有效的。為了降低氧化物半導體中的雜質濃度,較佳為還降低附近膜中的雜質濃度。作為雜質有氫、氮、鹼金屬、鹼土金屬、鐵、鎳、矽等。Therefore, in order to stabilize the electrical characteristics of the transistor, it is effective to reduce the impurity concentration in the oxide semiconductor. In order to reduce the impurity concentration in the oxide semiconductor, it is preferable to also reduce the impurity concentration in the nearby film. Examples of impurities include hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, and silicon.

[雜質] 在此,說明氧化物半導體中的各雜質的影響。[Impurities] Here, the influence of each impurity in the oxide semiconductor will be explained.

在氧化物半導體包含第14族元素之一的矽或碳時,在氧化物半導體中形成缺陷能階。因此,將氧化物半導體的通道形成區域中的矽或碳的濃度、氧化物半導體的與通道形成區域的界面附近的矽或碳的濃度(藉由二次離子質譜分析法(SIMS:Secondary Ion Mass Spectrometry)測得的濃度)設定為2×1018 atoms/cm3 以下,較佳為2×1017 atoms/cm3 以下。When the oxide semiconductor contains silicon or carbon, which is one of Group 14 elements, a defect level is formed in the oxide semiconductor. Therefore, the concentration of silicon or carbon in the channel formation region of the oxide semiconductor and the silicon or carbon concentration near the interface between the oxide semiconductor and the channel formation region (by SIMS: Secondary Ion Mass Spectrometry) is set to 2×10 18 atoms/cm 3 or less, preferably 2×10 17 atoms/cm 3 or less.

此外,當氧化物半導體包含鹼金屬或鹼土金屬時,有時形成缺陷能階而形成載子。因此,使用包含鹼金屬或鹼土金屬的氧化物半導體的電晶體容易具有常開啟特性。由此,將利用SIMS分析測得的氧化物半導體的通道形成區域中的鹼金屬或鹼土金屬的濃度設定為1×1018 atoms/cm3 以下,較佳為2×1016 atoms/cm3 以下。In addition, when the oxide semiconductor contains an alkali metal or an alkaline earth metal, a defect level is sometimes formed to form a carrier. Therefore, a transistor using an oxide semiconductor containing an alkali metal or alkaline earth metal is likely to have a normally-on characteristic. Therefore, the concentration of the alkali metal or alkaline earth metal in the channel formation region of the oxide semiconductor measured by SIMS analysis is set to 1×10 18 atoms/cm 3 or less, preferably 2×10 16 atoms/cm 3 or less .

當氧化物半導體包含氮時,容易產生作為載子的電子,使載子濃度增高,而被n型化。其結果,將含有氮的氧化物半導體用於半導體的電晶體容易具有常開啟型特性。或者,在氧化物半導體包含氮時,有時形成陷阱能階。其結果,有時電晶體的電特性不穩定。因此,將利用SIMS測得的氧化物半導體的通道形成區域中的氮濃度設定為低於5×1019 atoms/cm3 ,較佳為5×1018 atoms/cm3 以下,更佳為1×1018 atoms/cm3 以下,進一步較佳為5×1017 atoms/cm3 以下。When the oxide semiconductor contains nitrogen, it is easy to generate electrons as carriers, increase the carrier concentration, and become n-type. As a result, a transistor using an oxide semiconductor containing nitrogen as a semiconductor tends to have normally-on characteristics. Alternatively, when the oxide semiconductor contains nitrogen, a trap level may be formed. As a result, the electrical characteristics of the transistor may become unstable. Therefore, the nitrogen concentration in the channel formation region of the oxide semiconductor measured by SIMS is set to be less than 5×10 19 atoms/cm 3 , preferably 5×10 18 atoms/cm 3 or less, and more preferably 1× 10 18 atoms/cm 3 or less, more preferably 5×10 17 atoms/cm 3 or less.

包含在氧化物半導體中的氫與鍵合於金屬原子的氧起反應生成水,因此有時形成氧缺陷。當氫進入該氧缺陷時,有時生成作為載子的電子。此外,有時由於氫的一部分與鍵合於金屬原子的氧鍵合,產生作為載子的電子。因此,具有含有氫的氧化物半導體的電晶體容易具有常開啟特性。由此,較佳為儘可能減少氧化物半導體的通道形成區域中的氫。明確而言,在氧化物半導體的通道形成區域中,將利用SIMS測得的氫濃度設定為低於1×1020 atoms/cm3 ,較佳為低於5×1019 atoms/cm3 ,更佳為低於1×1019 atoms/cm3 ,進一步較佳為低於5×1018 atoms/cm3 ,還進一步較佳為低於1×1018 atoms/cm3The hydrogen contained in the oxide semiconductor reacts with the oxygen bonded to the metal atom to generate water, and therefore, oxygen vacancies are sometimes formed. When hydrogen enters this oxygen defect, electrons as carriers are sometimes generated. In addition, a part of hydrogen may be bonded to oxygen bonded to a metal atom to generate electrons as carriers. Therefore, a transistor having an oxide semiconductor containing hydrogen tends to have a normally-on characteristic. Therefore, it is preferable to reduce the hydrogen in the channel formation region of the oxide semiconductor as much as possible. Specifically, in the channel formation region of the oxide semiconductor, the hydrogen concentration measured by SIMS is set to be lower than 1×10 20 atoms/cm 3 , preferably lower than 5×10 19 atoms/cm 3 , and more It is preferably less than 1×10 19 atoms/cm 3 , more preferably less than 5×10 18 atoms/cm 3 , and still more preferably less than 1×10 18 atoms/cm 3 .

藉由將雜質被充分降低的氧化物半導體用於電晶體的通道形成區域,可以使電晶體具有穩定的電特性。By using an oxide semiconductor with sufficiently reduced impurities in the channel formation region of the transistor, the transistor can have stable electrical characteristics.

[其他半導體材料] 可以用於半導體116、半導體114、半導體113及/或半導體121的半導體材料不侷限於上述氧化物半導體。作為半導體116、半導體114、半導體113及/或半導體121,也可以使用具有能帶間隙的半導體材料(不是零能帶間隙半導體的半導體材料)。例如,可以將矽等單個元素的半導體、砷化鎵等化合物半導體、被用作半導體的層狀物質(也稱為原子層物質、二維材料等)等用於半導體材料。特別是,較佳為將被用作半導體的層狀物質用於半導體材料。[Other semiconductor materials] The semiconductor materials that can be used for the semiconductor 116, the semiconductor 114, the semiconductor 113, and/or the semiconductor 121 are not limited to the above-mentioned oxide semiconductors. As the semiconductor 116, the semiconductor 114, the semiconductor 113, and/or the semiconductor 121, a semiconductor material having an energy band gap (a semiconductor material that is not a zero energy band gap semiconductor) may also be used. For example, single element semiconductors such as silicon, compound semiconductors such as gallium arsenide, and layered materials used as semiconductors (also referred to as atomic layer materials, two-dimensional materials, etc.) can be used as semiconductor materials. In particular, it is preferable to use a layered substance used as a semiconductor for the semiconductor material.

在此,在本說明書等中,層狀物質是具有層狀結晶結構的材料群的總稱。層狀結晶結構是由共價鍵或離子鍵形成的層藉由如凡得瓦力那樣的比共價鍵或離子鍵弱的鍵合層疊的結構。層狀物質在每單位層中具有高導電性,亦即,具有高二維導電性。藉由將被用作半導體並具有高二維導電性的材料用於通道形成區域,可以提供通態電流大的電晶體。Here, in this specification and the like, a layered substance is a general term for a group of materials having a layered crystal structure. The layered crystal structure is a structure in which layers formed by covalent bonds or ionic bonds are laminated by bonding weaker than covalent bonds or ionic bonds such as Van der Waals forces. The layered substance has high conductivity per unit layer, that is, has high two-dimensional conductivity. By using a material that is used as a semiconductor and has high two-dimensional conductivity in the channel formation region, a transistor with a large on-state current can be provided.

作為層狀物質,有石墨烯、矽烯、硫族化物等。硫族化物是包含氧族元素的化合物。此外,氧族元素是屬於第16族的元素的總稱,其中包括氧、硫、硒、碲、釙、鉝。此外,作為硫族化物,可以舉出過渡金屬硫族化物、第13族硫族化物等。As the layered substance, there are graphene, silylene, chalcogenide, and the like. Chalcogenides are compounds containing oxygen elements. In addition, the oxygen group element is a general term for the elements belonging to the 16th group, including oxygen, sulfur, selenium, tellurium, polonium, and cerium. In addition, examples of chalcogenides include transition metal chalcogenides, Group 13 chalcogenides, and the like.

作為用於本發明的一個實施方式的半導體裝置的半導體材料,例如較佳為使用被用作半導體的過渡金屬硫族化物。明確而言,可以舉出硫化鉬(典型的是MoS2 )、硒化鉬(典型的是MoSe2 )、碲化鉬(典型的是MoTe2 )、硫化鎢(典型的是WS2 )、硒化鎢(典型的是WSe2 )、碲化鎢(典型的是WTe2 )、硫化鉿(典型的是HfS2 )、硒化鉿(典型的是HfSe2 )、硫化鋯(典型的是ZrS2 )、硒化鋯(典型的是ZrSe2 )等。As a semiconductor material used in the semiconductor device of one embodiment of the present invention, for example, a transition metal chalcogenide used as a semiconductor is preferably used. Specifically, examples thereof include molybdenum sulfide (typically MoS 2), molybdenum selenide (typically MoSe 2), molybdenum telluride (typically MoTe 2), tungsten sulfide (typically WS 2), selenium tungsten (typically WSe 2), tellurium tungsten (typically WTe 2), sulfide, hafnium (typically HfS 2), selenide hafnium (typically HfSe 2), zirconium sulfide (typically ZrS 2 ), zirconium selenide (typically ZrSe 2 ), etc.

[成膜方法] 當形成導電體、絕緣體、半導體時,可以使用濺射法、CVD法、分子束磊晶(MBE:Molecular Beam Epitaxy)法、脈衝鐳射沉積(PLD:Pulsed Laser Deposition)法或原子層沉積(ALD:Atomic Layer Deposition)法等。[Film forming method] When forming conductors, insulators, and semiconductors, sputtering, CVD, molecular beam epitaxy (MBE: Molecular Beam Epitaxy), pulsed laser deposition (PLD: Pulsed Laser Deposition), or atomic layer deposition (ALD: Atomic Layer Deposition) method and so on.

注意,CVD法可以分為利用等離子體的等離子體增強CVD(PECVD:Plasma Enhanced CVD,也稱為化學氣相沉積)法、利用熱量的熱CVD(TCVD:Thermal CVD)法及利用光的光CVD(Photo CVD)法等。再者,可以根據使用的源氣體分類為金屬CVD(MCVD:Metal CVD,也稱為有機金屬化學氣相沉積)法及有機金屬CVD(MOCVD:Metal Organic CVD)法。Note that the CVD method can be divided into a plasma-enhanced CVD (PECVD: Plasma Enhanced CVD, also called chemical vapor deposition) method using plasma, a thermal CVD (TCVD: Thermal CVD) method using heat, and an optical CVD method using light. (Photo CVD) method and so on. Furthermore, it can be classified into a metal CVD (MCVD: Metal CVD, also called metal organic chemical vapor deposition) method and an organic metal CVD (MOCVD: Metal Organic CVD) method according to the source gas used.

藉由利用電漿CVD法,可以以較低的溫度得到高品質的膜。此外,因為在熱CVD法中不使用電漿,所以能夠減少對被處理物造成的電漿損傷。例如,包括在半導體裝置中的佈線、電極、元件(電晶體、電容器等)等有時因從電漿接收電荷而會產生電荷積聚(charge up)。此時,有時由於所累積的電荷而使包括在半導體裝置中的佈線、電極、元件等受損傷。另一方面,因為在不使用電漿的熱CVD法的情況下不產生上述電漿損傷,所以能夠提高半導體裝置的良率。此外,在熱CVD法中,不產生成膜時的電漿損傷,因此能夠得到缺陷較少的膜。By using the plasma CVD method, a high-quality film can be obtained at a lower temperature. In addition, since plasma is not used in the thermal CVD method, plasma damage to the workpiece can be reduced. For example, wiring, electrodes, elements (transistors, capacitors, etc.) included in a semiconductor device may sometimes cause charge up due to the reception of charges from plasma. At this time, the wires, electrodes, elements, etc. included in the semiconductor device may be damaged due to the accumulated electric charges. On the other hand, since the plasma damage does not occur in the thermal CVD method that does not use plasma, the yield of the semiconductor device can be improved. In addition, in the thermal CVD method, plasma damage during film formation does not occur, so a film with fewer defects can be obtained.

此外,ALD法也是能夠減少對被處理物造成的電漿損傷的成膜方法。此外,在利用ALD法的成膜時不產生電漿損傷,所以能夠得到缺陷較少的膜。In addition, the ALD method is also a film forming method that can reduce plasma damage to the workpiece. In addition, plasma damage does not occur during film formation by the ALD method, so a film with fewer defects can be obtained.

不同於從靶材等中被釋放的粒子沉積的成膜方法,CVD法及ALD法是因被處理物表面的反應而形成膜的形成方法。因此,藉由CVD法及ALD法形成的膜不易受被處理物的形狀的影響而具有良好的步階覆蓋性。尤其是,藉由ALD法形成的膜具有良好的步階覆蓋性和厚度均勻性,所以ALD法適合用於形成覆蓋縱橫比高的開口部的表面的膜。但是,ALD法的沉積速度比較慢,所以有時較佳為與沉積速度快的CVD法等其他成膜方法組合而使用。Unlike the film forming method of depositing particles released from a target material, etc., the CVD method and the ALD method are methods of forming a film due to the reaction on the surface of the object to be processed. Therefore, the film formed by the CVD method and the ALD method is not easily affected by the shape of the object to be processed and has good step coverage. In particular, the film formed by the ALD method has good step coverage and thickness uniformity, so the ALD method is suitable for forming a film covering the surface of an opening with a high aspect ratio. However, the deposition rate of the ALD method is relatively slow, so it is sometimes preferable to use it in combination with other film formation methods such as the CVD method, which has a fast deposition rate.

CVD法或ALD法可以藉由調整源氣體的流量比控制所得到的膜的組成。例如,當使用CVD法或ALD法時,可以藉由調整源氣體的流量比形成任意組成的膜。此外,例如,當使用CVD法或ALD法時,可以藉由一邊形成膜一邊改變源氣體的流量比來形成其組成連續變化的膜。在一邊改變源氣體的流量比一邊形成膜時,因為不需要傳送及調整壓力所需的時間,所以與使用多個成膜室進行成膜的情況相比可以縮短成膜時間。因此,有時可以提高半導體裝置的生產率The CVD method or the ALD method can control the composition of the resulting film by adjusting the flow ratio of the source gas. For example, when the CVD method or the ALD method is used, a film of any composition can be formed by adjusting the flow ratio of the source gas. In addition, for example, when the CVD method or the ALD method is used, it is possible to form a film whose composition continuously changes by changing the flow ratio of the source gas while forming the film. When forming a film while changing the flow ratio of the source gas, since the time required for conveying and adjusting the pressure is not required, the film forming time can be shortened compared to the case where a plurality of film forming chambers are used for film forming. Therefore, the productivity of semiconductor devices can sometimes be improved

此外,以如下方法進行利用ALD法的成膜:將處理室內的壓力設定為大氣壓或減壓,將用來反應的源氣體依次引入處理室,並且按該順序反復地引入氣體。例如,藉由切換各開關閥(也稱為高速閥)來將兩種以上的源氣體依次供應到處理室內,為了防止多種源氣體混合,在引入第一源氣體的同時或之後引入惰性氣體(氬或氮等)等,然後引入第二源氣體。注意,當同時引入第一源氣體及惰性氣體時,惰性氣體被用作載子氣體,此外,可以在引入第二源氣體的同時引入惰性氣體。此外,也可以不引入惰性氣體而藉由真空抽氣將第一源氣體排出,然後引入第二源氣體。第一源氣體附著到基板表面形成第一較薄的層,之後引入的第二源氣體與該第一層起反應,由此第二較薄的層層疊在第一較薄的層上而形成薄膜。藉由按該順序反復多次地引入氣體直到獲得所希望的厚度為止,可以形成步階覆蓋性良好的薄膜。由於薄膜的厚度可以根據按順序反復引入氣體的次數來進行調節,因此,ALD法可以準確地調節厚度而適用於製造微型FET。In addition, the film formation by the ALD method is performed in a method in which the pressure in the processing chamber is set to atmospheric pressure or reduced pressure, the source gas for reaction is sequentially introduced into the processing chamber, and the gas is repeatedly introduced in this order. For example, by switching each on-off valve (also called a high-speed valve) to sequentially supply two or more source gases into the processing chamber, in order to prevent the mixing of multiple source gases, the inert gas is introduced at the same time or after the introduction of the first source gas ( Argon, nitrogen, etc.), etc., and then introduce the second source gas. Note that when the first source gas and the inert gas are introduced at the same time, the inert gas is used as the carrier gas. In addition, the inert gas may be introduced at the same time as the second source gas. In addition, the first source gas may be exhausted by vacuum pumping without introducing inert gas, and then the second source gas may be introduced. The first source gas is attached to the surface of the substrate to form a first thinner layer, and then the introduced second source gas reacts with the first layer, so that the second thinner layer is laminated on the first thinner layer. film. By repeatedly introducing gas in this order until the desired thickness is obtained, a thin film with good step coverage can be formed. Since the thickness of the film can be adjusted according to the number of times the gas is repeatedly introduced in sequence, the ALD method can accurately adjust the thickness and is suitable for manufacturing micro FETs.

利用MOCVD法或ALD法等熱CVD法可以形成金屬膜、半導體膜、無機絕緣膜等各種膜,例如,當形成In-Ga-Zn-O膜時,可以使用三甲基銦(In(CH3 )3 )、三甲基鎵(Ga(CH3 )3 )及二甲基鋅(Zn(CH3 )2 )。此外,不侷限於上述組合,也可以使用三乙基鎵(Ga(C2 H5 )3 )代替三甲基鎵,並使用二乙基鋅(Zn(C2 H5 )2 )代替二甲基鋅。Various films such as metal films, semiconductor films, and inorganic insulating films can be formed by thermal CVD methods such as the MOCVD method or the ALD method. For example, when forming an In-Ga-Zn-O film, trimethylindium (In(CH 3 ) 3 ), trimethylgallium (Ga(CH 3 ) 3 ) and dimethyl zinc (Zn(CH 3 ) 2 ). In addition, it is not limited to the above combination, and triethylgallium (Ga(C 2 H 5 ) 3 ) can also be used instead of trimethylgallium, and diethyl zinc (Zn(C 2 H 5 ) 2 ) can be used instead of dimethyl gallium. Base zinc.

例如,在使用利用ALD法的沉積裝置形成氧化鉿膜時,使用如下兩種氣體:藉由使包含溶劑和鉿前驅物化合物的液體(鉿醇鹽、四二甲基醯胺鉿(TDMAH,Hf[N(CH3 )2 ]4 )等鉿醯胺)氣化而得到的源氣體;以及用作氧化劑的臭氧(O3 )。此外,作為其他材料有四(乙基甲基醯胺)鉿等。For example, when a hafnium oxide film is formed using a deposition apparatus using the ALD method, the following two gases are used: by making a liquid containing a solvent and a hafnium precursor compound (hafnium alkoxide, tetradimethyl amide hafnium (TDMAH, Hf [N(CH 3 ) 2 ] 4 ) source gas obtained by gasification of hafnium amine); and ozone (O 3 ) used as an oxidant. In addition, as other materials, there are tetrakis (ethyl methyl amide) hafnium and the like.

例如,在使用利用ALD法的沉積裝置形成氧化鋁膜時,使用如下兩種氣體:藉由使包含溶劑和鋁前驅物化合物的液體(三甲基鋁(TMA、Al(CH3 )3 )等)氣化而得到的源氣體;以及用作氧化劑的H2 O。此外,作為其他材料有三(二甲基醯胺)鋁、三異丁基鋁、鋁三(2,2,6,6-四甲基-3,5-庚二酮酸)等。For example, when an aluminum oxide film is formed using a deposition apparatus using the ALD method, the following two gases are used: by making a liquid containing a solvent and an aluminum precursor compound (trimethylaluminum (TMA, Al(CH 3 ) 3 ), etc.) ) A source gas obtained by gasification; and H 2 O used as an oxidant. In addition, as other materials, there are tris(dimethylamide) aluminum, triisobutyl aluminum, aluminum tris(2,2,6,6-tetramethyl-3,5-heptanedione acid), and the like.

例如,在使用利用ALD法的沉積裝置形成氧化矽膜時,使六氯乙矽烷附著在被成膜面上,供應氧化氣體(O2 、一氧化二氮)的自由基使其與附著物起反應。For example, when a silicon oxide film is formed using a deposition device using the ALD method, hexachloroethane is attached to the film-forming surface, and radicals of oxidizing gas (O 2 , nitrous oxide) are supplied to cause it to interact with the attached matter. reaction.

例如,在使用利用ALD法的沉積裝置形成鎢膜時,依次反復引入WF6 氣體和B2 H6 氣體形成初始鎢膜,然後依次反復引入WF6 氣體和H2 氣體形成鎢膜。注意,也可以使用SiH4 氣體代替B2 H6 氣體。For example, when a tungsten film is formed using a deposition apparatus using an ALD method, WF 6 gas and B 2 H 6 gas are sequentially and repeatedly introduced to form an initial tungsten film, and then WF 6 gas and H 2 gas are sequentially and repeatedly introduced to form a tungsten film. Note that SiH 4 gas can also be used instead of B 2 H 6 gas.

例如,在使用利用ALD法的沉積裝置形成氧化物半導體膜如In-Ga-Zn-O膜時,依次反復引入In(CH3 )3 氣體和O3 氣體形成In-O層,然後依次反復引入Ga(CH3 )3 氣體和O3 氣體形成GaO層,之後依次反復引入Zn(CH3 )2 氣體和O3 氣體形成ZnO層。注意,這些層的順序不侷限於上述例子。此外,也可以使用這些氣體來形成混合氧化物層如In-Ga-O層、In-Zn-O層、Ga-Zn-O層等。注意,雖然也可以使用利用Ar等惰性氣體進行起泡而得到的H2 O氣體代替O3 氣體,但是較佳為使用不包含H的O3 氣體。此外,也可以使用In(C2 H5 )3 氣體代替In(CH3 )3 氣體。此外,也可以使用Ga(C2 H5 )3 氣體代替Ga(CH3 )3 氣體。此外,也可以使用Zn(C2 H5 )2 代替Zn(CH3 )2 氣體。For example, when an oxide semiconductor film such as an In-Ga-Zn-O film is formed using a deposition apparatus using the ALD method, In(CH 3 ) 3 gas and O 3 gas are sequentially and repeatedly introduced to form an In-O layer, and then repeatedly introduced in sequence Ga(CH 3 ) 3 gas and O 3 gas form a GaO layer, and then repeatedly introduce Zn(CH 3 ) 2 gas and O 3 gas in sequence to form a ZnO layer. Note that the order of these layers is not limited to the above example. In addition, these gases can also be used to form mixed oxide layers such as In-Ga-O layers, In-Zn-O layers, Ga-Zn-O layers, and the like. Note that, although it may be used by using an inert gas such as Ar bubbling H 2 O gas obtained instead of the O 3 gas, but preferably does not contain H O 3 gas. In addition, In(C 2 H 5 ) 3 gas may be used instead of In(CH 3 ) 3 gas. In addition, Ga(C 2 H 5 ) 3 gas may be used instead of Ga(CH 3 ) 3 gas. In addition, Zn(C 2 H 5 ) 2 may be used instead of Zn(CH 3 ) 2 gas.

<記憶體裝置的製造方法例子> 以下說明記憶單元100的製造方法例子。<Example of manufacturing method of memory device> Hereinafter, an example of a manufacturing method of the memory cell 100 will be described.

首先,製造圖28A所示的疊層體140。疊層體140包括絕緣體101、犧牲層141以及導電體103。絕緣體101[i]配置在基板(未圖示)的上方,犧牲層141配置在絕緣體101[i]上,絕緣體101[i+1]配置在犧牲層141上,導電體103配置在絕緣體101[i+1]上,並且絕緣體101[i+2]配置在導電體103上。First, the laminated body 140 shown in FIG. 28A is manufactured. The laminated body 140 includes an insulator 101, a sacrificial layer 141 and a conductor 103. The insulator 101[i] is arranged above the substrate (not shown), the sacrificial layer 141 is arranged on the insulator 101[i], the insulator 101[i+1] is arranged on the sacrificial layer 141, and the conductor 103 is arranged on the insulator 101[ i+1], and the insulator 101[i+2] is arranged on the conductor 103.

作為犧牲層141可以使用各種材料。例如,可以使用氮化矽、氧化矽、氧化鋁等絕緣體。此外,可以使用矽、鎵、鍺等半導體。此外,可以使用鋁、銅、鈦、鎢、鉭等導電體。此外,可以使用丙烯酸樹脂、聚醯亞胺樹脂、酚醛樹脂、環氧樹脂等有機材料。換言之,因為犧牲層是之後被去除的,所以作為犧牲層141使用在之後進行蝕刻處理時相對於在其他部分中使用的材料得到蝕刻率的材料。As the sacrificial layer 141, various materials can be used. For example, insulators such as silicon nitride, silicon oxide, and aluminum oxide can be used. In addition, semiconductors such as silicon, gallium, and germanium can be used. In addition, electrical conductors such as aluminum, copper, titanium, tungsten, and tantalum can be used. In addition, organic materials such as acrylic resins, polyimide resins, phenol resins, and epoxy resins can be used. In other words, because the sacrificial layer is removed later, as the sacrificial layer 141, a material that has an etching rate relative to materials used in other parts when the etching process is performed later is used.

作為絕緣體101,較佳為使用減少了水或氫等雜質濃度的材料。例如,在熱脫附譜分析法(TDS(Thermal Desorption Spectroscopy))中,50℃以上且500℃以下的範圍內,將絕緣體101的每單位面積的氫分子的脫離量為2×1015 molecules/cm2 以下,較佳為1×1015 molecules/cm2 以下,更佳為5×1014 molecules/cm2 以下,即可。此外,絕緣體101可以使用以加熱釋放氧的絕緣體。但是,可用於絕緣體101的材料不侷限於上面的記載。As the insulator 101, it is preferable to use a material in which the concentration of impurities such as water or hydrogen is reduced. For example, in the thermal desorption spectroscopy (TDS (Thermal Desorption Spectroscopy)), the amount of hydrogen molecules per unit area of the insulator 101 is 2×10 15 molecules/ cm 2 or less, preferably 1×10 15 molecules/cm 2 or less, and more preferably 5×10 14 molecules/cm 2 or less. In addition, the insulator 101 may use an insulator that releases oxygen by heating. However, the material that can be used for the insulator 101 is not limited to the above description.

此外,絕緣體101可以具有多個絕緣體的疊層結構。例如,絕緣體101可以具有氧化鉿和氧氮化矽的疊層。在構成絕緣體101的多個絕緣體中,與導電體103接觸的絕緣體較佳為使用上述具有抑制透過氧的功能的絕緣體。In addition, the insulator 101 may have a stacked structure of a plurality of insulators. For example, the insulator 101 may have a stack of hafnium oxide and silicon oxynitride. Among the plurality of insulators constituting the insulator 101, the insulator in contact with the conductor 103 is preferably an insulator having a function of suppressing the permeation of oxygen as described above.

接著,在疊層體140上形成光阻遮罩,並以光阻遮罩為遮罩進行蝕刻處理,由此去除絕緣體101、導電體103及犧牲層141的一部分,以在疊層體140中形成開口131(參照圖28B)。Next, a photoresist mask is formed on the laminated body 140, and an etching process is performed using the photoresist mask as a mask, thereby removing a part of the insulator 101, the conductor 103, and the sacrificial layer 141 so as to be in the laminated body 140 An opening 131 is formed (refer to FIG. 28B).

例如,光阻遮罩可以適當地使用光微影(lithography)法、印刷法、噴墨法等來形成。當藉由噴墨方法形成光阻遮罩時不使用光罩,因此有時能夠減少製造成本。此外,當進行蝕刻處理時,既可以使用乾蝕刻法又可以使用濕蝕刻法,也可以使用該兩種方法。利用乾蝕刻法的加工適合於微細加工。For example, the photoresist mask can be formed appropriately using a lithography method, a printing method, an inkjet method, or the like. When the photoresist mask is formed by the inkjet method, the photomask is not used, so the manufacturing cost can sometimes be reduced. In addition, when performing the etching treatment, either a dry etching method or a wet etching method may be used, or both methods may be used. Processing by dry etching is suitable for micro processing.

此外,在光微影法中,首先藉由遮罩對光阻劑進行曝光。接著,使用顯影液去除或留下所曝光的區域而形成光阻遮罩。In addition, in the photolithography method, the photoresist is first exposed through a mask. Then, a developer is used to remove or leave the exposed area to form a photoresist mask.

隔著該光阻遮罩進行蝕刻處理來將導電體、半導體或絕緣體等加工為所希望的形狀。例如,使用KrF準分子雷射、ArF準分子雷射、EUV(Extreme Ultraviolet:極紫外)光等對光阻劑進行曝光來形成光阻遮罩,即可。此外,也可以利用在基板和投影透鏡之間填滿液體(例如,水)的狀態下進行曝光的液浸技術。此外,也可以使用電子束或離子束代替上述光。注意,當使用電子束或離子束時不需要遮罩。此外,在去除光阻遮罩時,可以進行灰化處理等乾蝕刻處理或濕蝕刻處理,也可以在進行乾蝕刻處理之後進行濕蝕刻處理,又可以在進行濕蝕刻處理之後進行乾蝕刻處理。The etching process is performed through the photoresist mask to process a conductor, a semiconductor, an insulator, etc. into a desired shape. For example, KrF excimer laser, ArF excimer laser, EUV (Extreme Ultraviolet) light, etc. may be used to expose the photoresist to form a photoresist mask. In addition, a liquid immersion technique in which exposure is performed in a state where a liquid (for example, water) is filled between the substrate and the projection lens can also be used. In addition, an electron beam or ion beam may be used instead of the above-mentioned light. Note that no mask is required when using electron beam or ion beam. In addition, when removing the photoresist mask, dry etching treatment or wet etching treatment such as ashing treatment may be performed, wet etching treatment may be performed after dry etching treatment, or dry etching treatment may be performed after wet etching treatment.

或者,可以使用由絕緣體或導電體構成的硬遮罩代替光阻遮罩。當使用硬遮罩時,可以在導電膜上形成成為硬遮罩材料的絕緣膜或導電膜且在其上形成光阻遮罩,然後對硬遮罩材料進行蝕刻來形成所希望的形狀的硬遮罩。Alternatively, a hard mask made of an insulator or a conductor may be used instead of the photoresist mask. When a hard mask is used, an insulating film or a conductive film that becomes a hard mask material can be formed on the conductive film, and a photoresist mask can be formed thereon, and then the hard mask material can be etched to form a hard mask of the desired shape. Matte.

作為用來使用幹蝕刻法進行蝕刻處理的幹蝕刻裝置,例如可以使用包括平行平板型電極的電容耦合型等離子體(CCP:Capacitively Coupled Plasma)蝕刻裝置。包括平行平板型電極的電容耦合型等離子體蝕刻裝置也可以採用對平行平板型電極中的一個供應高頻功率的結構。或者,也可以採用對平行平板型電極中的一個供應不同的多個高頻功率的結構。或者,也可以採用對平行平板型電極的各個供應頻率相同的高頻功率的結構。或者,也可以採用對平行平板型電極的各個供應頻率不同的高頻功率的結構。或者,也可以利用具有高密度電漿源的乾蝕刻裝置。例如,作為具有高密度電漿源的乾蝕刻裝置,可以使用感應耦合電漿(ICP:Inductively Coupled Plasma)蝕刻裝置等。As a dry etching apparatus for performing an etching process using a dry etching method, for example, a capacitively coupled plasma (CCP: Capacitively Coupled Plasma) etching apparatus including parallel plate type electrodes can be used. The capacitive coupling type plasma etching apparatus including parallel plate type electrodes may also adopt a structure in which high frequency power is supplied to one of the parallel plate type electrodes. Alternatively, it is also possible to adopt a structure in which a plurality of different high-frequency powers are supplied to one of the parallel plate type electrodes. Alternatively, a structure in which high-frequency power of the same frequency is supplied to each of the parallel plate type electrodes may be adopted. Alternatively, a structure in which high-frequency power with different frequencies is supplied to each of the parallel plate type electrodes may be adopted. Alternatively, a dry etching device with a high-density plasma source can also be used. For example, as a dry etching device having a high-density plasma source, an inductively coupled plasma (ICP: Inductively Coupled Plasma) etching device or the like can be used.

接著,沿開口131的側面形成絕緣體111(參照圖29A)。在開口131內露出的絕緣體101、導電體103及犧牲層141都被絕緣體111覆蓋。Next, an insulator 111 is formed along the side surface of the opening 131 (refer to FIG. 29A). The insulator 101, the conductor 103 and the sacrificial layer 141 exposed in the opening 131 are all covered by the insulator 111.

此外,絕緣體111可以具有多個絕緣體的疊層結構。在構成絕緣體111的多個絕緣體中,與導電體103及/或導電體112接觸的絕緣體較佳為使用上述具有抑制透過氧的功能的絕緣體。例如,絕緣體111可以具有氧化鉿和氧氮化矽的疊層。絕緣體111例如也可以具有在兩層的氧氮化矽之間夾有氧化鉿的三層結構。此外,絕緣體111例如也可以具有在兩層的氧化鉿之間夾有氧氮化矽的三層結構。In addition, the insulator 111 may have a stacked structure of a plurality of insulators. Among the plurality of insulators constituting the insulator 111, the insulator that is in contact with the conductor 103 and/or the conductor 112 is preferably an insulator having a function of suppressing permeation of oxygen as described above. For example, the insulator 111 may have a stack of hafnium oxide and silicon oxynitride. The insulator 111 may have, for example, a three-layer structure in which hafnium oxide is sandwiched between two layers of silicon oxynitride. In addition, the insulator 111 may have, for example, a three-layer structure in which silicon oxynitride is sandwiched between two layers of hafnium oxide.

接著,沿絕緣體111的表面形成導電體112(參照圖29B)。導電體112在之後的製程中被加工,由此用作電晶體WTr及/或電晶體RTr的源極及/或汲極,用作電晶體RTr的閘極,並用作電容器Cs中的一個電極。Next, a conductor 112 is formed along the surface of the insulator 111 (see FIG. 29B). The conductor 112 is processed in a subsequent process, thereby serving as the source and/or drain of the transistor WTr and/or the transistor RTr, as the gate of the transistor RTr, and as an electrode in the capacitor Cs .

導電體112較佳為使用導電率高的材料。作為導電體112例如較佳為使用包含鉭的氮化物、包含鈦的氮化物、包含鉬的氮化物、包含鎢的氮化物、包含鉭及鋁的氮化物、包含鈦及鋁的氮化物等。在本發明的一個實施方式中,尤其較佳為採用包含鉭的氮化物。此外,例如也可以使用氧化釕、氮化釕、包含鍶和釕的氧化物、包含鑭和鎳的氧化物等。這些材料是不容易氧化的導電材料或者即使吸收氧也維持導電性的材料,所以是較佳的。It is preferable to use a material with high conductivity for the conductor 112. As the conductor 112, for example, a nitride containing tantalum, a nitride containing titanium, a nitride containing molybdenum, a nitride containing tungsten, a nitride containing tantalum and aluminum, a nitride containing titanium and aluminum, etc. are preferably used. In one embodiment of the present invention, it is particularly preferable to use a nitride containing tantalum. In addition, for example, ruthenium oxide, ruthenium nitride, oxides containing strontium and ruthenium, oxides containing lanthanum and nickel, and the like can also be used. These materials are conductive materials that are not easily oxidized or materials that maintain conductivity even if they absorb oxygen, so they are preferable.

尤其是在使用氧化物半導體作為半導體的情況下,作為導電體112,例如較佳為使用具有抑制水或氫等雜質等透過的功能的導電材料。在此情況下,導電體112較佳為使用鉭、氮化鉭、鈦、氮化鈦、釕或氧化釕等。In particular, when an oxide semiconductor is used as the semiconductor, as the conductor 112, it is preferable to use, for example, a conductive material having a function of suppressing the permeation of impurities such as water and hydrogen. In this case, the conductor 112 is preferably tantalum, tantalum nitride, titanium, titanium nitride, ruthenium, ruthenium oxide, or the like.

例如,在導電體112具有多個層的疊層的情況下,可以在絕緣體111一側的層中使用具有抑制水或氫等雜質等透過的功能的導電材料,並在半導體113一側的層中使用不容易氧化的導電材料或即使吸收氧也保持導電性的材料。For example, in the case where the conductor 112 has a stack of multiple layers, a conductive material having a function of suppressing the penetration of impurities such as water or hydrogen may be used for the layer on the side of the insulator 111, and a layer on the side of the semiconductor 113 may be used. Use conductive materials that are not easily oxidized or materials that maintain conductivity even if they absorb oxygen.

接著,沿導電體112的表面形成半導體113(參照圖30A)。在本實施方式中,作為半導體113,使用具有In:Ga:Zn=1:3:4[原子個數比]或近似的組成的氧化物半導體。注意,“近似的組成”包括所希望的原子個數比的±30%的範圍。Next, a semiconductor 113 is formed along the surface of the conductor 112 (see FIG. 30A). In this embodiment, as the semiconductor 113, an oxide semiconductor having a composition of In:Ga:Zn=1:3:4 [atomic ratio] or an approximate composition is used. Note that the “approximate composition” includes the range of ±30% of the desired atom number ratio.

此外,作為用於半導體113的半導體材料,例如可以使用具有In:Ga:Zn=1:3:4、In:Ga:Zn=1:3:2或In:Ga:Zn=1:1:1的組成及近似的組成的金屬氧化物。In addition, as a semiconductor material for the semiconductor 113, for example, In:Ga:Zn=1:3:4, In:Ga:Zn=1:3:2, or In:Ga:Zn=1:1:1 can be used. The composition and similar composition of the metal oxide.

接著,沿半導體113的表面形成半導體114(參照圖30B)。在本實施方式中,作為半導體114,使用具有In:Ga:Zn=4:2:3[原子個數比]或近似的組成的氧化物半導體。Next, a semiconductor 114 is formed along the surface of the semiconductor 113 (see FIG. 30B). In this embodiment, as the semiconductor 114, an oxide semiconductor having In:Ga:Zn=4:2:3 [atomic ratio] or an approximate composition is used.

此外,作為用於半導體114的半導體材料,例如可以使用具有In:Ga:Zn=4:2:3至4.1、In:Ga:Zn=1:1:1、In:Ga:Zn=5:1:6、In:Ga:Zn=5:1:3或In:Ga:Zn=10:1:3及近似的組成的金屬氧化物。此外,作為用於半導體114的半導體材料,也可以使用具有In:Zn=5:1、或In:Zn=10:1及近似的組成的金屬氧化物。此外,半導體114也可以使用氧化銦。In addition, as a semiconductor material used for the semiconductor 114, for example, In:Ga:Zn=4:2:3 to 4.1, In:Ga:Zn=1:1:1, In:Ga:Zn=5:1 can be used. :6, In:Ga:Zn=5:1:3 or In:Ga:Zn=10:1:3 and similar composition metal oxides. In addition, as a semiconductor material used for the semiconductor 114, a metal oxide having a composition similar to In:Zn=5:1, or In:Zn=10:1 can also be used. In addition, indium oxide may be used for the semiconductor 114.

作為用於之後形成的半導體121的半導體材料,例如也可以使用具有In:Ga:Zn=1:3:4、In:Ga:Zn=1:3:2或In:Ga:Zn=1:1:1的組成及近似的組成的金屬氧化物。As a semiconductor material used for the semiconductor 121 to be formed later, for example, In:Ga:Zn=1:3:4, In:Ga:Zn=1:3:2, or In:Ga:Zn=1:1 can also be used. : A metal oxide with a composition and a similar composition.

在使用氧化物半導體作為半導體121及半導體114的情況下,半導體121及半導體114較佳為包含同一金屬元素。還較佳為包含多個同一金屬元素。再加上,半導體121及半導體114較佳為包含多個同一金屬元素,且該多個金屬元素的原子個數比不相同。When an oxide semiconductor is used as the semiconductor 121 and the semiconductor 114, the semiconductor 121 and the semiconductor 114 preferably contain the same metal element. It is also preferable to include a plurality of the same metal element. In addition, the semiconductor 121 and the semiconductor 114 preferably include a plurality of the same metal element, and the atomic ratio of the plurality of metal elements is different.

例如,在半導體121及半導體114使用包含銦、元素M及鋅的In-M-Zn氧化物的情況下,半導體121所包含的金屬元素中的元素M的原子個數比較佳為大於半導體114所包含的金屬元素中的元素M的原子個數比。此外,半導體121中的相對於In的元素M的原子個數比較佳為大於半導體114中的相對於In的元素M的原子個數比。此外,半導體114中的相對於元素M的In的原子個數比較佳為大於半導體121中的相對於元素M的In的原子個數比。For example, when the semiconductor 121 and the semiconductor 114 use In-M-Zn oxide containing indium, element M, and zinc, the number of atoms of the element M in the metal element contained in the semiconductor 121 is preferably greater than that of the semiconductor 114. The ratio of the number of atoms of the element M in the contained metal elements. In addition, the ratio of the number of atoms of the element M to In in the semiconductor 121 is preferably greater than the ratio of the number of atoms of the element M to In in the semiconductor 114. In addition, the ratio of the number of In atoms to the element M in the semiconductor 114 is preferably greater than the ratio of the number of In atoms to the element M in the semiconductor 121.

較佳的是,使半導體121的導帶底的能量高於半導體114的導帶底的能量。換言之,半導體121的電子親和力較佳為小於半導體114的電子親和力。Preferably, the energy at the bottom of the conduction band of the semiconductor 121 is higher than the energy at the bottom of the conduction band of the semiconductor 114. In other words, the electron affinity of the semiconductor 121 is preferably smaller than that of the semiconductor 114.

在此,在半導體121與半導體114的接合部中,導帶底的能階平緩地變化。換言之,也可以將上述情況表達為半導體121與半導體114的接合部的導帶底的能階連續地變化或者連續地接合。為此,較佳為降低形成在半導體121與半導體114的界面的混合層的缺陷態密度。Here, in the junction of the semiconductor 121 and the semiconductor 114, the energy level at the bottom of the conduction band changes gently. In other words, the above situation can also be expressed as that the energy level of the bottom of the conduction band of the junction between the semiconductor 121 and the semiconductor 114 continuously changes or is continuously joined. For this reason, it is preferable to reduce the defect state density of the mixed layer formed at the interface between the semiconductor 121 and the semiconductor 114.

明確而言,藉由使半導體121與半導體114除了氧之外包含共同元素(為主要成分),可以形成缺陷態密度低的混合層。例如,在半導體114為In-Ga-Zn氧化物的情況下,作為半導體121較佳為使用In-Ga-Zn氧化物、Ga-Zn氧化物及氧化鎵等。Specifically, by making the semiconductor 121 and the semiconductor 114 contain a common element (as a main component) in addition to oxygen, a mixed layer with a low density of defect states can be formed. For example, when the semiconductor 114 is In-Ga-Zn oxide, it is preferable to use In-Ga-Zn oxide, Ga-Zn oxide, gallium oxide, or the like as the semiconductor 121.

此時,載子的主要路徑為半導體114。藉由使半導體121具有上述結構,可以降低半導體121與半導體114的界面的缺陷態密度。因此,界面散射對載子傳導的影響減少,可以提高電晶體WTr的通態電流。At this time, the main path of carriers is the semiconductor 114. By making the semiconductor 121 have the above structure, the defect state density of the interface between the semiconductor 121 and the semiconductor 114 can be reduced. Therefore, the influence of interface scattering on the carrier conduction is reduced, and the on-state current of the transistor WTr can be increased.

此外,藉由設置半導體121,可以抑制從半導體121一側向半導體114的雜質擴散。半導體121的厚度為1nm以上且10nm以下或者1nm以上且5nm以下即可。In addition, by providing the semiconductor 121, the diffusion of impurities from the side of the semiconductor 121 to the semiconductor 114 can be suppressed. The thickness of the semiconductor 121 may be 1 nm or more and 10 nm or less, or 1 nm or more and 5 nm or less.

此外,像圖16A所示的記憶單元100G那樣,在半導體114為半導體114a及半導體114b的疊層的情況下,半導體114b具有與上述半導體114相同的結構,半導體114a具有與上述半導體121相同的結構即可。In addition, like the memory cell 100G shown in FIG. 16A, when the semiconductor 114 is a stack of the semiconductor 114a and the semiconductor 114b, the semiconductor 114b has the same structure as the above-mentioned semiconductor 114, and the semiconductor 114a has the same structure as the above-mentioned semiconductor 121 That's it.

例如,作為半導體114a,也可以使用具有In:Ga:Zn=1:3:4[原子個數比]或近似的組成的氧化物半導體。半導體114a的厚度為1nm以上且10nm以下或者1nm以上且5nm以下即可。此外,例如,作為半導體114b,也可以使用具有In:Ga:Zn=4:2:3[原子個數比]或近似的組成的氧化物半導體。如上所述,作為半導體114b,也可以使用具有In:Ga:Zn=5:1:3[原子個數比]或近似的組成的氧化物半導體。半導體114b的厚度為5nm以上且20nm以下或者5nm以上且15nm以下即可。For example, as the semiconductor 114a, an oxide semiconductor having In:Ga:Zn=1:3:4 [atomic ratio] or an approximate composition may be used. The thickness of the semiconductor 114a may be 1 nm or more and 10 nm or less, or 1 nm or more and 5 nm or less. In addition, for example, as the semiconductor 114b, an oxide semiconductor having In:Ga:Zn=4:2:3 [atomic ratio] or an approximate composition may be used. As described above, as the semiconductor 114b, an oxide semiconductor having In:Ga:Zn=5:1:3 [atomic ratio] or an approximate composition can also be used. The thickness of the semiconductor 114b may be 5 nm or more and 20 nm or less, or 5 nm or more and 15 nm or less.

藉由採用上述結構,在半導體121與半導體114b的接合部及半導體114b與半導體114a的接合部,導帶底的能階平緩地變化。此外,可以在半導體121與半導體114b的界面及半導體114b與半導體114a的界面形成缺陷態密度低的混合層。By adopting the above structure, the energy level at the bottom of the conduction band changes smoothly at the junction between the semiconductor 121 and the semiconductor 114b and the junction between the semiconductor 114b and the semiconductor 114a. In addition, a mixed layer with a low density of defect states can be formed at the interface between the semiconductor 121 and the semiconductor 114b and the interface between the semiconductor 114b and the semiconductor 114a.

藉由以夾持半導體114b的方式設置半導體121及半導體114a,可以減少界面散射對載子傳導帶來的影響,從而可以提高電晶體的通態電流。By arranging the semiconductor 121 and the semiconductor 114a to sandwich the semiconductor 114b, the influence of interface scattering on the carrier conduction can be reduced, and the on-state current of the transistor can be increased.

此外,藉由設置半導體114a,可以抑制從半導體114a一側向半導體114b的雜質擴散。In addition, by providing the semiconductor 114a, it is possible to suppress the diffusion of impurities from the side of the semiconductor 114a to the semiconductor 114b.

此外,在記憶單元的製程中,加熱處理較佳為在半導體114的表面露出的狀態下進行。該加熱處理例如較佳為以100℃以上且600℃以下,更佳為以350℃以上且550℃以下進行。加熱處理在氮氣體或惰性氣體氛圍或者包含10ppm以上、1%以上或10%以上的氧化性氣體的氛圍下進行。例如,加熱處理較佳為在氧氛圍下進行。由此,對半導體114供應氧,從而可以減少氧空位(Vo)。加熱處理也可以在減壓狀態下進行。此外,也可以在氮氣體或惰性氣體的氛圍下進行加熱處理,然後為了填補脫離的氧而在包含10ppm以上、1%以上或10%以上的氧化性氣體的氛圍下進行加熱處理。此外,也可以在包含10ppm以上、1%以上或10%以上的氧化性氣體的氛圍下進行加熱處理,然後連續地在氮氣體或惰性氣體的氛圍下進行加熱處理。In addition, in the manufacturing process of the memory cell, the heat treatment is preferably performed with the surface of the semiconductor 114 exposed. This heat treatment is preferably carried out at 100°C or higher and 600°C or lower, and more preferably at 350°C or higher and 550°C or lower. The heat treatment is performed in a nitrogen gas or an inert gas atmosphere, or an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas. For example, the heat treatment is preferably performed in an oxygen atmosphere. As a result, oxygen is supplied to the semiconductor 114, so that oxygen vacancies (Vo) can be reduced. The heat treatment can also be performed under reduced pressure. In addition, the heat treatment may be performed in an atmosphere of nitrogen gas or inert gas, and then the heat treatment may be performed in an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas in order to fill the desorbed oxygen. In addition, the heating treatment may be performed in an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas, and then the heating treatment may be continuously performed in an atmosphere of nitrogen gas or inert gas.

此外,藉由對半導體114進行供應氧的處理(加氧化處理),可以使所供應的氧填補半導體114中的氧空位,換言之可以促進“Vo+O→null”的反應。再者,半導體114中殘留的氫與被供給的氧發生反應而可以將氫以H2 O的形態去除(脫水化)。由此,可以抑制殘留在半導體114中的氫與氧空位再結合而形成VoH。In addition, by supplying oxygen to the semiconductor 114 (oxidation treatment), the supplied oxygen can fill the oxygen vacancies in the semiconductor 114, in other words, the "Vo+O→null" reaction can be promoted. Furthermore, the hydrogen remaining in the semiconductor 114 reacts with the supplied oxygen, and the hydrogen can be removed (dehydrated) in the form of H 2 O. As a result, it is possible to prevent the hydrogen remaining in the semiconductor 114 from recombining with oxygen vacancies to form VoH.

此外,藉由在含氧氛圍下進行微波處理,可以進行加氧化處理。在此情況下,對半導體114照射微波、RF等高頻、氧電漿、氧自由基等。微波處理例如較佳為使用包括用微波產生高密度電漿的電源的微波處理裝置。此外,微波處理裝置也可以包括對基板一側施加RF的電源。藉由使用高密度電漿,可以生成高密度的氧自由基。此外,藉由對基板(未圖示)一側施加RF,可以將由高密度電漿生成的氧離子高效地導入到開口131內。此外,上述微波處理較佳為在減壓下進行,壓力為60Pa以上,較佳為133Pa以上,更佳為200Pa以上,進一步較佳為400Pa以上即可。以50%以下的氧流量比O2 /(O2 +Ar),較佳為以10%以上30%以下的氧流量比進行。此外,處理溫度為750℃以下,較佳為500℃以下,例如400℃左右即可。此外,也可以在進行微波處理之後以不暴露於空氣的方式連續進行加熱處理。In addition, by performing microwave treatment in an oxygen-containing atmosphere, oxidation treatment can be performed. In this case, the semiconductor 114 is irradiated with high frequencies such as microwaves, RF, oxygen plasma, oxygen radicals, and the like. For the microwave treatment, for example, it is preferable to use a microwave treatment device including a power source for generating high-density plasma with microwaves. In addition, the microwave processing apparatus may also include a power supply for applying RF to the side of the substrate. By using high-density plasma, high-density oxygen radicals can be generated. In addition, by applying RF to the side of the substrate (not shown), oxygen ions generated by high-density plasma can be efficiently introduced into the opening 131. In addition, the above-mentioned microwave treatment is preferably performed under reduced pressure, and the pressure is 60 Pa or higher, preferably 133 Pa or higher, more preferably 200 Pa or higher, and still more preferably 400 Pa or higher. It is performed with an oxygen flow ratio O 2 /(O 2 +Ar) of 50% or less, preferably with an oxygen flow ratio of 10% or more and 30% or less. In addition, the treatment temperature is 750°C or lower, preferably 500°C or lower, for example, about 400°C. In addition, the heating treatment may be continuously performed without exposing to the air after performing the microwave treatment.

藉由電漿、微波等的作用,可以使半導體114的VoH分開來從半導體114去除氫H。換言之,在半導體114中發生“VoH→H+Vo”、“Vo+O→null”的反應而降低包含在半導體114的氫濃度。因此,可以減少半導體114中的氧空位及VoH而降低載子濃度。By the action of plasma, microwave, etc., the VoH of the semiconductor 114 can be separated to remove hydrogen H from the semiconductor 114. In other words, the reactions of "VoH→H+Vo" and "Vo+O→null" occur in the semiconductor 114, and the hydrogen concentration contained in the semiconductor 114 is reduced. Therefore, the oxygen vacancies and VoH in the semiconductor 114 can be reduced, and the carrier concentration can be reduced.

接著,沿半導體114的表面形成絕緣體115(參照圖31A)。在使用氧化物半導體作為半導體114的情況下,作為絕緣體115可以適當地使用氧化矽、氧氮化矽等。藉由以與半導體114接觸的方式設置包含氧的絕緣體,可以降低半導體114中的氧空位,由此可以提高電晶體的可靠性。Next, an insulator 115 is formed along the surface of the semiconductor 114 (see FIG. 31A). In the case of using an oxide semiconductor as the semiconductor 114, silicon oxide, silicon oxynitride, or the like can be suitably used as the insulator 115. By providing an insulator containing oxygen in contact with the semiconductor 114, the oxygen vacancies in the semiconductor 114 can be reduced, thereby improving the reliability of the transistor.

明確而言,作為絕緣體115較佳為使用藉由加熱使一部分氧脫離的氧化物材料,亦即具有過量氧區域的絕緣體材料。藉由加熱使氧脫離的氧化物是指在TDS分析中的氧分子的脫離量為1.0×1018 molecules/cm3 以上,較佳為1.0×1019 molecules/cm3 以上,進一步較佳為2.0×1019 molecules/cm3 以上,或者3.0×1020 molecules/cm3 以上的氧化膜。進行上述TDS分析時的膜的表面溫度較佳為在100℃以上且700℃以下,或者100℃以上且400℃以下的範圍內。絕緣體115的厚度為3nm以上且15nm以下或者3nm以上且10nm以下即可。Specifically, as the insulator 115, it is preferable to use an oxide material in which a part of oxygen is released by heating, that is, an insulator material having an excess oxygen region. Oxygen desorbed by heating means that the desorption amount of oxygen molecules in TDS analysis is 1.0×10 18 molecules/cm 3 or more, preferably 1.0×10 19 molecules/cm 3 or more, and more preferably 2.0 ×10 19 molecules/cm 3 or more, or 3.0×10 20 molecules/cm 3 or more oxide film. The surface temperature of the film when performing the above-mentioned TDS analysis is preferably within a range of 100°C or more and 700°C or less, or 100°C or more and 400°C or less. The thickness of the insulator 115 may be 3 nm or more and 15 nm or less, or 3 nm or more and 10 nm or less.

此外,也可以在形成絕緣體115之後進行上述加氧化處理。In addition, the above-mentioned oxidation treatment may be performed after forming the insulator 115.

在使用氧化物半導體作為半導體116及半導體114的情況下,絕緣體115較佳為具有包含藉由加熱脫離的氧的區域的絕緣體。此外,絕緣體115也可以具有多個絕緣體的疊層結構。例如,在使用氧化物半導體作為半導體116及半導體114的情況下,絕緣體115也可以具有氧化矽或氧氮化矽、氧化鉿或氧化鋁以及氧化矽或氧氮化矽的三層結構。也就是說,也可以具有由兩層的氧化矽或氧氮化矽夾持一層氧化鉿或氧化鋁的結構。此外,絕緣體115既可具有兩層的疊層結構又可具有四層以上的疊層結構。When an oxide semiconductor is used as the semiconductor 116 and the semiconductor 114, the insulator 115 is preferably an insulator having a region containing oxygen released by heating. In addition, the insulator 115 may have a laminated structure of a plurality of insulators. For example, when an oxide semiconductor is used as the semiconductor 116 and the semiconductor 114, the insulator 115 may have a three-layer structure of silicon oxide or silicon oxynitride, hafnium oxide or aluminum oxide, and silicon oxide or silicon oxynitride. In other words, it can also have a structure in which two layers of silicon oxide or silicon oxynitride sandwich a layer of hafnium oxide or aluminum oxide. In addition, the insulator 115 may have a two-layer laminated structure or a four-layer or more laminated structure.

接著,沿絕緣體115的表面形成半導體116(參照圖31B)。在本實施方式中,作為半導體116,使用具有In:Ga:Zn=4:2:3[原子個數比]或近似的組成的氧化物半導體。Next, a semiconductor 116 is formed along the surface of the insulator 115 (see FIG. 31B). In this embodiment, as the semiconductor 116, an oxide semiconductor having a composition of In:Ga:Zn=4:2:3 [atomic ratio] or an approximate composition is used.

此外,作為用於半導體116的半導體材料,例如可以使用具有In:Ga:Zn=4:2:3至4.1、In:Ga:Zn=1:1:1、In:Ga:Zn=5:1:6、In:Ga:Zn=5:1:3或In:Ga:Zn=10:1:3及近似的組成的金屬氧化物。此外,作為用於半導體116的半導體材料,也可以使用具有In:Zn=5:1、或In:Zn=10:1及近似的組成的金屬氧化物。此外,半導體116也可以使用氧化銦。In addition, as a semiconductor material used for the semiconductor 116, for example, In:Ga:Zn=4:2:3 to 4.1, In:Ga:Zn=1:1:1, In:Ga:Zn=5:1 can be used. :6, In:Ga:Zn=5:1:3 or In:Ga:Zn=10:1:3 and similar composition metal oxides. In addition, as a semiconductor material used for the semiconductor 116, a metal oxide having a composition similar to In:Zn=5:1, or In:Zn=10:1 can also be used. In addition, indium oxide may also be used for the semiconductor 116.

在使用氧化物半導體作為半導體116的情況下,也可以在形成半導體116之後進行加氧化處理。In the case of using an oxide semiconductor as the semiconductor 116, an oxidation treatment may be performed after the semiconductor 116 is formed.

在使用Si電晶體作為電晶體RTr的情況下,可以使用矽形成半導體116。In the case of using a Si transistor as the transistor RTr, the semiconductor 116 can be formed using silicon.

此外,像圖18A和圖18B所示的記憶單元100K那樣,在半導體116為半導體116a、半導體116b及半導體116c的疊層的情況下,半導體116a及半導體116c具有與上述半導體114a相同的結構,半導體116b具有與上述半導體114b相同的結構即可。In addition, like the memory cell 100K shown in FIGS. 18A and 18B, when the semiconductor 116 is a stack of the semiconductor 116a, the semiconductor 116b, and the semiconductor 116c, the semiconductor 116a and the semiconductor 116c have the same structure as the above-mentioned semiconductor 114a. It is sufficient that 116b has the same structure as the above-mentioned semiconductor 114b.

接著,沿半導體116的表面形成絕緣體117(參照圖32A)。絕緣體117可以以與絕緣體115同樣的材料及方法形成。此外,也可以在形成絕緣體117之後進行加氧化處理。Next, an insulator 117 is formed along the surface of the semiconductor 116 (see FIG. 32A). The insulator 117 can be formed by the same material and method as the insulator 115. In addition, an oxidation treatment may be performed after the insulator 117 is formed.

絕緣體117也可以具有多個絕緣體的疊層結構。在使用氧化物半導體作為半導體116的情況下,在構成絕緣體117的多個絕緣體中,與半導體116接觸的絕緣體較佳為使用具有包含藉由加熱脫離的氧的區域的絕緣體。此外,與導電體118接觸的絕緣體較佳為使用上述具有抑制透過氧的功能的絕緣體。例如,在構成絕緣體117的多個絕緣體中,與半導體116接觸的絕緣體可以使用氧化矽或氧氮化矽。此外,在構成絕緣體117的多個絕緣體中,與導電體118接觸的絕緣體可以使用氧化鉿或氧化鋁。The insulator 117 may have a laminated structure of a plurality of insulators. In the case of using an oxide semiconductor as the semiconductor 116, among the plurality of insulators constituting the insulator 117, the insulator in contact with the semiconductor 116 is preferably an insulator having a region containing oxygen released by heating. In addition, as the insulator in contact with the conductor 118, it is preferable to use the above-mentioned insulator having a function of suppressing the permeation of oxygen. For example, among a plurality of insulators constituting the insulator 117, the insulator in contact with the semiconductor 116 may be silicon oxide or silicon oxynitride. In addition, among the plurality of insulators constituting the insulator 117, the insulator in contact with the conductor 118 may use hafnium oxide or aluminum oxide.

此外,例如,絕緣體117也可以具有氧化矽或氧氮化矽、氧化鋁以及氮化矽的疊層。此外,在絕緣體117使用氮化矽的情況下,較佳為使用氫含量少的氮化矽。In addition, for example, the insulator 117 may have a stack of silicon oxide, silicon oxynitride, aluminum oxide, and silicon nitride. In addition, when silicon nitride is used for the insulator 117, it is preferable to use silicon nitride with a low hydrogen content.

接著,在形成絕緣體117之後形成導電體118(參照圖32B)。在本實施方式中,使用鎢作為導電體118。導電體118也可以具有多個導電體的疊層結構。在構成導電體118的多個導電體中,與絕緣體117接觸的導電體較佳為使用不容易氧化的導電材料。例如,在導電體118中,與絕緣體117接觸的導電體可以使用氮化鈦。例如,導電體118可以具有氮化鈦和鎢的疊層。Next, after forming the insulator 117, the conductor 118 is formed (refer to FIG. 32B). In this embodiment, tungsten is used as the conductor 118. The conductor 118 may have a laminated structure of a plurality of conductors. Among the plurality of conductors constituting the conductor 118, the conductor contacting the insulator 117 is preferably a conductive material that is not easily oxidized. For example, in the conductor 118, the conductor in contact with the insulator 117 may use titanium nitride. For example, the conductor 118 may have a stack of titanium nitride and tungsten.

絕緣體111、導電體112、半導體113、半導體114(半導體114a、半導體114b)、絕緣體115、半導體116(半導體116a、半導體116b、半導體116c)、絕緣體117及導電體118可以藉由CVD法(MOCVD法等)或ALD法等連續形成。Insulator 111, conductor 112, semiconductor 113, semiconductor 114 (semiconductor 114a, semiconductor 114b), insulator 115, semiconductor 116 (semiconductor 116a, semiconductor 116b, semiconductor 116c), insulator 117 and conductor 118 can be made by CVD method (MOCVD method) Etc.) or ALD method and other continuous formation.

經上述步驟,在開口131內形成結構體130a。接著,在從Z方向看時不與結構體130a重疊的區域中去除疊層體140的一部分,以形成區域132(參照圖33A)。區域132可以使用與開口131相同的方法而形成。After the above steps, the structure 130 a is formed in the opening 131. Next, a part of the laminated body 140 is removed in a region that does not overlap the structure body 130a when viewed from the Z direction to form a region 132 (refer to FIG. 33A). The area 132 can be formed using the same method as the opening 131.

接著,去除犧牲層141(參照圖33B)。為了去除犧牲層141,可以使用乾蝕刻法和濕蝕刻法中的一種或兩種。Next, the sacrificial layer 141 is removed (refer to FIG. 33B). In order to remove the sacrificial layer 141, one or both of a dry etching method and a wet etching method may be used.

接著,去除與犧牲層141被去除了的區域重疊的絕緣體111、導電體112及半導體113,以暴露半導體114的一部分(參照圖34A)。為了去除絕緣體111、導電體112及半導體113,可以使用乾蝕刻法和濕蝕刻法中的一種或兩種。此外,可以根據被去除的材料種類適當地改變蝕刻方法及蝕刻條件。此外,也可以連續進行犧牲層141的去除及絕緣體111、導電體112及半導體113的去除。此外,也可以連續進行區域132的形成至半導體113的去除。如此,形成結構體130。Next, the insulator 111, the conductor 112, and the semiconductor 113 overlapping with the region where the sacrificial layer 141 is removed are removed to expose a part of the semiconductor 114 (refer to FIG. 34A). In order to remove the insulator 111, the conductor 112, and the semiconductor 113, one or both of a dry etching method and a wet etching method can be used. In addition, the etching method and etching conditions can be appropriately changed according to the kind of material to be removed. In addition, the removal of the sacrificial layer 141 and the removal of the insulator 111, the conductor 112, and the semiconductor 113 may be continuously performed. In addition, the formation of the region 132 to the removal of the semiconductor 113 may be continuously performed. In this way, the structure 130 is formed.

然後,也可以進行加氧化處理。例如,也可以在包含氧10的氛圍下進行微波處理。此時,從區域132供應氧10,但是也可以經圖34B所示的端子取出部供應氧10。此外,圖34B是端子取出部附近的疊層體140的立體圖。Then, oxidation treatment can also be carried out. For example, the microwave treatment may be performed in an atmosphere containing oxygen 10. At this time, the oxygen 10 is supplied from the area 132, but the oxygen 10 may be supplied via the terminal extraction part shown in FIG. 34B. In addition, FIG. 34B is a perspective view of the laminated body 140 in the vicinity of the terminal extraction portion.

接著,沿因形成區域132而露出的絕緣體101、導電體103、絕緣體111、導電體112、半導體113及半導體114的表面形成半導體121(參照圖35A)。此外,也可以在形成半導體121之後進行加氧化處理。Next, a semiconductor 121 is formed along the surfaces of the insulator 101, the conductor 103, the insulator 111, the conductor 112, the semiconductor 113, and the semiconductor 114 exposed by the formation region 132 (see FIG. 35A). In addition, an oxidation treatment may also be performed after the semiconductor 121 is formed.

接著,沿半導體121的表面形成絕緣體122(參照圖35A)。此外,也可以在形成絕緣體122之後進行加氧化處理。絕緣體122可以以與絕緣體115同樣的材料形成。Next, an insulator 122 is formed along the surface of the semiconductor 121 (see FIG. 35A). In addition, an oxidation treatment may be performed after forming the insulator 122. The insulator 122 can be formed of the same material as the insulator 115.

此外,絕緣體122也可以具有多個絕緣體的疊層結構。在使用氧化物半導體作為半導體121的情況下,在構成絕緣體122的多個絕緣體中,與半導體121接觸的絕緣體較佳為使用上述具有包含藉由加熱脫離的氧的區域的絕緣體。此外,與導電體102接觸的絕緣體較佳為使用上述具有抑制透過氧的功能的絕緣體。例如,在構成絕緣體122的多個絕緣體中,與半導體121接觸的絕緣體可以使用氧化矽或氧氮化矽。此外,在構成絕緣體122的多個絕緣體中,與導電體102接觸的絕緣體可以使用氧化鉿。In addition, the insulator 122 may have a laminated structure of a plurality of insulators. When an oxide semiconductor is used as the semiconductor 121, among the plurality of insulators constituting the insulator 122, the insulator in contact with the semiconductor 121 is preferably the above-mentioned insulator having a region containing oxygen released by heating. In addition, as the insulator in contact with the conductor 102, it is preferable to use the above-mentioned insulator having a function of suppressing the permeation of oxygen. For example, among the plurality of insulators constituting the insulator 122, the insulator in contact with the semiconductor 121 may be silicon oxide or silicon oxynitride. In addition, among the plurality of insulators constituting the insulator 122, hafnium oxide may be used as the insulator in contact with the conductor 102.

此外,例如,絕緣體122也可以具有氧化矽或氧氮化矽、氧化鋁以及氮化矽的疊層。此外,在絕緣體122使用氮化矽的情況下,較佳為使用氫含量少的氮化矽。In addition, for example, the insulator 122 may also have a stack of silicon oxide, silicon oxynitride, aluminum oxide, and silicon nitride. In addition, when silicon nitride is used for the insulator 122, it is preferable to use silicon nitride with a low hydrogen content.

接著,沿絕緣體122的表面形成導電體102(參照圖35B)。在本實施方式中,導電體102為單層,但是也可以為多個層的疊層。Next, the conductor 102 is formed along the surface of the insulator 122 (see FIG. 35B). In this embodiment, the conductor 102 is a single layer, but it may be a stack of a plurality of layers.

例如,像圖18A和圖18B所示的記憶單元100K那樣,在導電體102為導電體102f及導電體102s的疊層的情況下,與絕緣體122接觸的導電體102f較佳為使用不容易氧化的導電材料。例如,導電體102f使用氮化鈦,導電體102s使用鎢即可。For example, like the memory cell 100K shown in FIGS. 18A and 18B, in the case where the conductor 102 is a laminate of the conductor 102f and the conductor 102s, the conductor 102f in contact with the insulator 122 is preferably used and is not easily oxidized. Of conductive materials. For example, titanium nitride may be used for the conductor 102f, and tungsten may be used for the conductor 102s.

接著,去除導電體102的一部分,以暴露絕緣體122的一部分(參照圖36A)。Next, a part of the conductor 102 is removed to expose a part of the insulator 122 (refer to FIG. 36A).

接著,沿露出的絕緣體122的一部分及導電體102的表面形成絕緣體123(參照圖36B)。作為絕緣體123,較佳為使用具有抑制水、氫等雜質等透過的功能的絕緣材料。例如,絕緣體123可以使用氧化鋁等。Next, an insulator 123 is formed along a part of the exposed insulator 122 and the surface of the conductor 102 (see FIG. 36B). As the insulator 123, it is preferable to use an insulating material having a function of suppressing the permeation of impurities such as water and hydrogen. For example, alumina or the like can be used for the insulator 123.

此外,絕緣體123可以具有多個絕緣體的疊層結構。例如,絕緣體123可以具有氧化鉿和氧氮化矽的疊層。在構成絕緣體123的多個絕緣體中,與導電體102接觸的絕緣體可以使用上述具有抑制透過氧的功能的絕緣體。In addition, the insulator 123 may have a stacked structure of a plurality of insulators. For example, the insulator 123 may have a stack of hafnium oxide and silicon oxynitride. Among the plurality of insulators constituting the insulator 123, the insulator in contact with the conductor 102 may use the above-mentioned insulator having a function of suppressing the permeation of oxygen.

藉由上述步驟,可以製造記憶單元100。Through the above steps, the memory cell 100 can be manufactured.

此外,像圖21至圖22B等所示的記憶單元100P那樣,在將記憶單元100分割成多個記憶單元的情況下,之後形成用來將記憶單元100分割成多個記憶單元的狹縫(未圖示)即可。在形成狹縫之後,也可以在該狹縫內設置絕緣體124。絕緣體124可以以與絕緣體123同樣的材料形成。In addition, like the memory unit 100P shown in FIGS. 21 to 22B, etc., when the memory unit 100 is divided into a plurality of memory cells, a slit ( Not shown). After the slit is formed, an insulator 124 may be provided in the slit. The insulator 124 may be formed of the same material as the insulator 123.

本實施方式可以與其他實施方式等所示的結構適當地組合而實施。This embodiment can be implemented in appropriate combination with the structures shown in other embodiments and the like.

實施方式2 在本實施方式中,參照圖式說明包括多個記憶體串200的半導體裝置300的電路結構例子和工作方法例子。Embodiment 2 In this embodiment, an example of a circuit configuration and an example of an operation method of a semiconductor device 300 including a plurality of memory strings 200 will be described with reference to the drawings.

<電路結構例子> 參照圖37說明半導體裝置300的電路結構。半導體裝置300包括m個記憶體串200。在本實施方式等中,以記憶體串200[1]、記憶體串200[m](m為1以上的整數)及記憶體串200[j](j為1以上且m以下的整數)分別表示第一記憶體串200、第m記憶體串200及第j記憶體串200。<Circuit structure example> The circuit configuration of the semiconductor device 300 will be described with reference to FIG. 37. The semiconductor device 300 includes m memory strings 200. In this embodiment and the like, the memory string 200[1], the memory string 200[m] (m is an integer greater than 1), and the memory string 200[j] (j is an integer greater than 1 and less than m) Denote the first memory string 200, the m-th memory string 200, and the j-th memory string 200, respectively.

此外,記憶體串200包括n個記憶單元100。圖37示出具有圖4A所示的電路結構的記憶單元100,但是也可以使用具有圖4B、圖4C、圖5A及圖5B所示的電路結構的記憶單元100。在本實施方式等中,以記憶單元100[k,j]示出包括在第j記憶體串200中的第k記憶單元100。In addition, the memory string 200 includes n memory cells 100. FIG. 37 shows the memory cell 100 having the circuit structure shown in FIG. 4A, but the memory cell 100 having the circuit structure shown in FIG. 4B, FIG. 4C, FIG. 5A, and FIG. 5B may also be used. In the present embodiment and the like, the k-th memory cell 100 included in the j-th memory string 200 is shown as the memory cell 100[k, j].

圖37所示的半導體裝置300包括n個佈線WWL、n個佈線RWL、m個佈線WBL、m個佈線RBL以及m個佈線BGL。在本實施方式等中,以佈線WWL[k]及佈線RWL[k]分別示出第k佈線WWL及佈線RWL。此外,以佈線WBL[j]、佈線RBL[j]及佈線BGL[j]分別示出第j佈線WBL、佈線RBL及佈線BGL。The semiconductor device 300 shown in FIG. 37 includes n wirings WWL, n wirings RWL, m wirings WBL, m wirings RBL, and m wirings BGL. In the present embodiment and the like, the wiring WWL[k] and the wiring RWL[k] indicate the k-th wiring WWL and the wiring RWL, respectively. In addition, the wiring WBL[j], the wiring RBL[j], and the wiring BGL[j] indicate the j-th wiring WBL, the wiring RBL, and the wiring BGL, respectively.

佈線WWL[1]與包括在記憶單元100[1,1]至記憶單元100[1,m]的每一個中的電晶體WTr的閘極(導電體102)電連接。佈線WWL[k]與包括在記憶單元100[k,1]至記憶單元100[k,m]的每一個中的電晶體WTr的閘極(導電體102)電連接。佈線WWL[n]與包括在記憶單元100[n,1]至記憶單元100[n,m]的每一個中的電晶體WTr的閘極(導電體102)電連接。The wiring WWL[1] is electrically connected to the gate (conductor 102) of the transistor WTr included in each of the memory cell 100[1,1] to the memory cell 100[1,m]. The wiring WWL[k] is electrically connected to the gate (conductor 102) of the transistor WTr included in each of the memory cell 100 [k, 1] to the memory cell 100 [k, m]. The wiring WWL[n] is electrically connected to the gate (conductor 102) of the transistor WTr included in each of the memory cell 100[n,1] to the memory cell 100[n,m].

佈線RWL[1]與包括在記憶單元100[1,1]至記憶單元100[1,m]的每一個中的電容器Cs電連接。佈線RWL[k]與包括在記憶單元100[k,1]至記憶單元100[k,m]的每一個中的電容器Cs電連接。佈線RWL[n]與包括在記憶單元100[n,1]至記憶單元100[n,m]的每一個中的電容器Cs電連接。佈線RWL藉由電容器Cs與電晶體RTr的閘極(導電體112)連接。The wiring RWL[1] is electrically connected to the capacitor Cs included in each of the memory cell 100[1,1] to the memory cell 100[1,m]. The wiring RWL[k] is electrically connected to the capacitor Cs included in each of the memory cell 100 [k, 1] to the memory cell 100 [k, m]. The wiring RWL[n] is electrically connected to the capacitor Cs included in each of the memory cell 100[n,1] to the memory cell 100[n,m]. The wiring RWL is connected to the gate (conductor 112) of the transistor RTr via the capacitor Cs.

佈線WBL[1]與包括在記憶單元100[1,1]中的電晶體WTr的源極和汲極中的一個(導電體112)電連接。佈線WBL[j]與包括在記憶單元100[1,j]中的電晶體WTr的源極和汲極中的一個(導電體112)電連接。佈線WBL[m]與包括在記憶單元100[1,m]中的電晶體WTr的源極和汲極中的一個(導電體112)電連接。The wiring WBL[1] is electrically connected to one (conductor 112) of the source and drain of the transistor WTr included in the memory cell 100[1,1]. The wiring WBL[j] is electrically connected to one (conductor 112) of the source and drain of the transistor WTr included in the memory cell 100[1,j]. The wiring WBL[m] is electrically connected to one (conductor 112) of the source and drain of the transistor WTr included in the memory cell 100[1, m].

佈線RBL[1]與包括在記憶單元100[1,1]中的電晶體RTr的源極和汲極中的一個(半導體116)電連接。佈線RBL[j]與包括在記憶單元100[1,j]中的電晶體RTr的源極和汲極中的一個(半導體116)電連接。佈線RBL[m]與包括在記憶單元100[1,m]中的電晶體RTr的源極和汲極中的一個(半導體116)電連接。The wiring RBL[1] is electrically connected to one (semiconductor 116) of the source and drain of the transistor RTr included in the memory cell 100[1,1]. The wiring RBL[j] is electrically connected to one of the source and drain (semiconductor 116) of the transistor RTr included in the memory cell 100[1,j]. The wiring RBL[m] is electrically connected to one (semiconductor 116) of the source and drain of the transistor RTr included in the memory cell 100[1, m].

佈線BGL[1]與包括在記憶單元100[1,1]至記憶單元100[n,1]的每一個中的電晶體RTr的背閘極(導電體118)電連接。佈線BGL[j]與包括在記憶單元100[1,j]至記憶單元100[n,j]的每一個中的電晶體RTr的背閘極(導電體118)電連接。佈線BGL[m]與包括在記憶單元100[1,m]至記憶單元100[n,m]的每一個中的電晶體RTr的背閘極(導電體118)電連接。The wiring BGL[1] is electrically connected to the back gate (conductor 118) of the transistor RTr included in each of the memory cell 100[1,1] to the memory cell 100[n,1]. The wiring BGL[j] is electrically connected to the back gate (conductor 118) of the transistor RTr included in each of the memory cell 100[1,j] to the memory cell 100[n,j]. The wiring BGL[m] is electrically connected to the back gate (conductor 118) of the transistor RTr included in each of the memory cell 100 [1, m] to the memory cell 100 [n, m].

佈線WWL用作寫入字線,佈線RWL用作讀出字線,佈線WBL用作寫入位元線,佈線RBL用作讀出位元線。The wiring WWL is used as a write word line, the wiring RWL is used as a read word line, the wiring WBL is used as a write bit line, and the wiring RBL is used as a read bit line.

此外,在圖37所示的記憶體串200[1]中,以節點N1[1]示出與記憶單元100[1,1]所包括的電晶體RTr的源極和汲極中的另一個電連接的區域,以節點N2[1]示出與記憶單元100[n,1]所包括的電晶體RTr的源極和汲極中的一個電連接的區域。同樣,以節點N1[j]及節點N2[j]分別示出記憶體串200[j]的節點N1及節點N2。此外,以節點N1[m]及節點N2[m]分別示出記憶體串200[m]的節點N1及節點N2。In addition, in the memory string 200[1] shown in FIG. 37, the node N1[1] is shown as the other one of the source and drain of the transistor RTr included in the memory cell 100[1,1]. The area of electrical connection is shown by node N2[1] as an area electrically connected to one of the source and drain of the transistor RTr included in the memory cell 100[n,1]. Similarly, the node N1 and the node N2 of the memory string 200[j] are shown as the node N1[j] and the node N2[j], respectively. In addition, the node N1 and the node N2 of the memory string 200 [m] are shown as the node N1 [m] and the node N2 [m], respectively.

<工作方法例子> 接著,說明圖37所示的半導體裝置300的工作方法的一個例子。在本實施方式中,說明對記憶體串200[1]所包括的記憶單元100進行資料寫入及資料讀出的工作例子。<Example of working method> Next, an example of the operation method of the semiconductor device 300 shown in FIG. 37 will be described. In this embodiment, an example of data writing and data reading of the memory cell 100 included in the memory string 200 [1] will be described.

此外,在以下的說明中,“低位準電位(Low)”、“高位準電位(High)”不是指特定電位,而其具體電位有時根據每個佈線而不同。例如,被施加到佈線WWL的低位準電位及高位準電位分別可以與被施加到佈線RWL的低位準電位及高位準電位不同。In addition, in the following description, "low level potential (Low)" and "high level potential (High)" do not refer to specific potentials, and the specific potentials may differ for each wiring. For example, the low-level potential and the high-level potential applied to the wiring WWL may be different from the low-level potential and the high-level potential applied to the wiring RWL, respectively.

此外,在本工作方法例子中,佈線BGL預先被施加在電晶體RTr、電晶體WTr正常工作的範圍的電位。In addition, in this example of the working method, the wiring BGL is applied in advance to a potential in the range in which the transistor RTr and the transistor WTr operate normally.

圖38A是說明對記憶體串200[1]寫入資料的工作例子的時序圖,圖38B是說明從記憶體串200[1]讀出資料的工作例子的時序圖。圖38A和圖38B的每個時序圖示出佈線WWL[1]、佈線WWL[2]、佈線WWL[n-1]、佈線WWL[n]、佈線RWL[1]、佈線RWL[2]或佈線RWL[n-1]、佈線RWL[n]、節點N1[1]及節點N2[1]的電位大小的變化。此外,佈線WBL[1]示出被供應到佈線WBL[1]的資料。FIG. 38A is a timing diagram illustrating an example of the operation of writing data into the memory string 200[1], and FIG. 38B is a timing diagram illustrating an example of the operation of reading data from the memory string 200[1]. Each timing chart of FIGS. 38A and 38B shows wiring WWL[1], wiring WWL[2], wiring WWL[n-1], wiring WWL[n], wiring RWL[1], wiring RWL[2], or Changes in the magnitude of the potential of the wiring RWL[n-1], the wiring RWL[n], the node N1[1], and the node N2[1]. In addition, the wiring WBL[1] shows the material supplied to the wiring WBL[1].

此外,圖38A示出將資料D[1]至資料D[n]分別寫入到記憶單元100[1,1]至記憶單元100[n,1]的例子。資料D[1]至資料D[n]可以為2值或多值。此外,資料D[1]至資料D[n]從佈線WBL[1]被供應。In addition, FIG. 38A shows an example of writing the data D[1] to the data D[n] into the memory cell 100[1,1] to the memory cell 100[n,1], respectively. Data D[1] to Data D[n] can be two or more values. In addition, the data D[1] to the data D[n] are supplied from the wiring WBL[1].

對記憶體串200[1]的資料寫入依次從記憶單元100[n,1]至記憶單元100[1,1]進行。當在對記憶單元100[1,1]寫入資料之後對記憶單元100[2,1]寫入資料時,記憶單元100[1,1]所儲存的資料在對記憶單元100[2,1]寫入資料的階段會消失。由此,需要預先讀出寫入到記憶單元100[1,1]中的資料並將其存儲到另一部分。The data writing to the memory string 200[1] is sequentially performed from the memory cell 100[n,1] to the memory cell 100[1,1]. When data is written to the memory cell 100[2,1] after data is written to the memory cell 100[1,1], the data stored in the memory cell 100[1,1] is in the memory cell 100[2,1] ] The stage of writing data will disappear. Therefore, it is necessary to read the data written in the memory unit 100[1,1] in advance and store it in another part.

在記憶體串200的電路結構中,在對記憶單元100[k,1]寫入資料的情況下,為了防止記憶單元100[n,1]至記憶單元100[k+1,1]所儲存的資料被改寫,對佈線WWL[n]至佈線WWL[k+1]供應低位準電位,使得記憶單元100[n,1]至記憶單元100[k+1,1]的每一個所具有的電晶體WTr成為關閉狀態。由此,可以保持記憶單元100[n,1]至記憶單元100[k+1,1]所儲存的各資料。In the circuit structure of the memory string 200, in the case of writing data to the memory cell 100[k,1], in order to prevent the memory cell 100[n,1] to the memory cell 100[k+1,1] from being stored The data of is rewritten, and low-level potential is supplied to the wiring WWL[n] to the wiring WWL[k+1], so that each of the memory cell 100[n,1] to the memory cell 100[k+1,1] has The transistor WTr is turned off. Thus, the data stored in the memory unit 100[n,1] to the memory unit 100[k+1,1] can be retained.

此外,當對記憶單元100[k,1]寫入資料時,因為資料從佈線WBL[1]被供應,所以對佈線WWL[1]至佈線WWL[k]供應高位準電位,使得記憶單元100[1,1]至記憶單元100[k,1]的每一個所具有的電晶體WTr充分成為開啟狀態。由此,可以在記憶單元100[k,1]的存儲節點儲存資料。In addition, when writing data to the memory cell 100[k,1], because the data is supplied from the wiring WBL[1], the wiring WWL[1] to the wiring WWL[k] are supplied with a high-level potential, so that the memory cell 100 The transistor WTr included in each of [1,1] to the memory cell 100[k,1] is fully turned on. Thus, data can be stored in the storage node of the memory unit 100[k,1].

此外,當對記憶單元100[1,1]至記憶單元100[n,1]寫入資料時,因為佈線RBL[1]能夠獨立被控制,所以不需要將佈線RBL[1]設定為特定的電位。例如,可以將佈線RBL[1]的電位設定為低位準電位。此外,可以將節點N1[1]及節點N2[1]的電位設定為低位準電位。In addition, when writing data to the memory cell 100[1,1] to the memory cell 100[n,1], because the wiring RBL[1] can be controlled independently, there is no need to set the wiring RBL[1] to a specific Potential. For example, the potential of the wiring RBL[1] can be set to a low-level potential. In addition, the potentials of the node N1[1] and the node N2[1] can be set to a low-level potential.

《寫入工作》 考慮到上述情況,參照圖38A的時序圖說明寫入工作例子。在期間T10,佈線WWL[1]至佈線WWL[n]、佈線RWL[1]至佈線RWL[n]、佈線WBL[1]、節點N1[1]及節點N2[1]的各電位為低位準電位。"Writing Work" In consideration of the above, an example of the writing operation will be described with reference to the timing chart of FIG. 38A. In the period T10, the potentials of the wiring WWL[1] to the wiring WWL[n], the wiring RWL[1] to the wiring RWL[n], the wiring WBL[1], the node N1[1], and the node N2[1] are low. Quasi-potential.

在期間T11,佈線WWL[1]至佈線WWL[n]被供應高位準電位。由此,記憶單元100[1,1]至記憶單元100[n,1]的每一個所具有的電晶體WTr充分成為開啟狀態。此外,佈線WBL[1]被供應資料D[n]。因為記憶單元100[1,1]至記憶單元100[n,1]的每一個所具有的電晶體WTr充分處於開啟狀態,所以資料D[n]被供應到記憶單元100[n,1]的存儲節點。In the period T11, the wiring WWL[1] to the wiring WWL[n] are supplied with a high-level potential. Thus, the transistor WTr included in each of the memory cell 100[1,1] to the memory cell 100[n,1] is fully turned on. In addition, the wiring WBL[1] is supplied with the data D[n]. Because the transistor WTr of each of the memory cell 100[1,1] to the memory cell 100[n,1] is fully turned on, the data D[n] is supplied to the memory cell 100[n,1] Storage node.

在期間T12,佈線WWL[n]被供應低位準電位,佈線WWL[n-1]至佈線WWL[1]持續被供應高位準電位。由此,記憶單元100[n,1]所具有的電晶體WTr成為關閉狀態,記憶單元100[n-1,1]至記憶單元100[1,1]的每一個所具有的電晶體WTr持續處於開啟狀態。此外,佈線WBL[1]被供應資料D[n-1]。因為記憶單元100[n-1,1]至記憶單元100[1,1]的每一個所具有的電晶體WTr充分處於開啟狀態,所以資料D[n-1]被供應到記憶單元100[n-1,1]的存儲節點。此外,記憶單元100[n,1]的電晶體WTr處於關閉狀態,由此可以保持在期間T11寫入到記憶單元100[n,1]的資料D[n]。In the period T12, the wiring WWL[n] is supplied with a low-level potential, and the wiring WWL[n-1] to the wiring WWL[1] are continuously supplied with a high-level potential. As a result, the transistor WTr included in the memory cell 100[n,1] is turned off, and the transistor WTr included in each of the memory cell 100[n-1,1] to the memory cell 100[1,1] continues Is on. In addition, the wiring WBL[1] is supplied with the data D[n-1]. Since the transistor WTr included in each of the memory cell 100[n-1,1] to the memory cell 100[1,1] is fully turned on, the data D[n-1] is supplied to the memory cell 100[n -1,1] storage node. In addition, the transistor WTr of the memory cell 100[n,1] is in the off state, so that the data D[n] written into the memory cell 100[n,1] during the period T11 can be maintained.

在期間T13,與期間T11及期間T12同樣,記憶單元100[n-2,1]至記憶單元100[2,1]依次分別被寫入資料D[n-2]至資料D[2]。In the period T13, similar to the period T11 and the period T12, the memory cell 100[n-2,1] to the memory cell 100[2,1] are sequentially written into the data D[n-2] to the data D[2], respectively.

明確而言,使已被寫入資料的記憶單元100[n,1]至記憶單元100[k+1,1]所具有的電晶體WTr成為關閉狀態,使未被寫入資料的記憶單元100[k,1]至記憶單元100[1,1]所具有的電晶體WTr充分成為開啟狀態,將資料D[k]從佈線WBL供應並寫入到記憶單元100[k,1]的存儲節點。在對記憶單元100[k,1]寫入完資料D[k]之後,使記憶單元100[k,1]所具有的電晶體WTr成為關閉狀態。接著,進行將資料D[k-1]從佈線WBL[1]供應並寫入到記憶單元100[k-1,1]的存儲節點的工作。Specifically, the transistors WTr of the memory cells 100[n,1] to 100[k+1,1] that have been written with data are turned off, so that the memory cells 100 that have not been written with data are turned off. [k,1] to the transistor WTr of the memory cell 100[1,1] is fully turned on, and the data D[k] is supplied from the wiring WBL and written to the storage node of the memory cell 100[k,1] . After writing the data D[k] to the memory cell 100[k,1], the transistor WTr included in the memory cell 100[k,1] is turned off. Next, the operation of supplying and writing the data D[k-1] from the wiring WBL[1] to the storage node of the memory cell 100[k-1,1] is performed.

此外,參照期間T14說明k為1時的寫入工作。在期間T14,佈線WWL[n]至佈線WWL[2]被供應低位準電位,佈線WWL[1]持續被供應高位準電位。由此,記憶單元100[n,1]至記憶單元100[2,1]所具有的電晶體WTr成為關閉狀態,記憶單元100[1,1]所具有的電晶體WTr保持開啟狀態。此外,佈線WBL[1]被供應資料D[1]。因為記憶單元100[1,1]所具有的電晶體WTr充分處於開啟狀態,所以資料D[1]被寫入到記憶單元100[1,1]的存儲節點。此外,因為記憶單元100[n,1]至記憶單元100[2,1]的電晶體WTr處於關閉狀態,所以可以保持記憶單元100[n,1]至記憶單元100[2,1]的每一個所儲存的資料D[n]至資料D[2]。In addition, the writing operation when k is 1 will be described with reference to the period T14. In the period T14, the wiring WWL[n] to the wiring WWL[2] are supplied with a low-level potential, and the wiring WWL[1] is continuously supplied with a high-level potential. As a result, the transistors WTr included in the memory cell 100[n,1] to 100[2,1] are turned off, and the transistor WTr included in the memory cell 100[1,1] remains in the turned on state. In addition, the wiring WBL[1] is supplied with the data D[1]. Because the transistor WTr included in the memory cell 100[1,1] is fully turned on, the data D[1] is written to the storage node of the memory cell 100[1,1]. In addition, because the transistors WTr of the memory cell 100[n,1] to the memory cell 100[2,1] are in the off state, each of the memory cell 100[n,1] to the memory cell 100[2,1] can be maintained. A stored data D[n] to data D[2].

經上述工作,可以對記憶單元100[1,1]至記憶單元100[n,1]寫入資料。After the above work, data can be written to the memory cell 100[1,1] to the memory cell 100[n,1].

在本實施方式中,著眼於記憶體串200[1]說明了寫入工作,但是在半導體裝置300的電路結構中,當佈線WWL[k]被供應高位準電位時,與佈線WWL[k]電連接的所有電晶體WTr都成為開啟狀態。由此,除了記憶體串200[1]以外,還同時進行對記憶體串200[2]至記憶體串200[m]的資料寫入。In the present embodiment, the writing operation is explained focusing on the memory string 200 [1]. However, in the circuit structure of the semiconductor device 300, when the wiring WWL[k] is supplied with a high-level potential, the wiring WWL[k] All the transistors WTr that are electrically connected are turned on. Thus, in addition to the memory string 200[1], data writing to the memory string 200[2] to the memory string 200[m] is also performed at the same time.

本實施方式所示的記憶單元100為OS記憶體。因此,包括記憶單元100的半導體裝置300不需要進行資料改寫之前的刪除工作,能夠實現高速寫入工作。The memory unit 100 shown in this embodiment is an OS memory. Therefore, the semiconductor device 300 including the memory cell 100 does not need to perform a deletion operation before data rewriting, and can realize a high-speed writing operation.

此外,當對離佈線WBL近的記憶單元100寫入資料(改寫)時,可以省略與該記憶單元100相比離佈線WBL遠一側的記憶單元100的資料寫入工作。例如,當對記憶單元100[1,1]寫入資料(改寫)時,可以省略對記憶單元100[2,1]至記憶單元100[n,1]的資料寫入工作。此外,當對記憶單元100[2,1]寫入資料時,可以省略對記憶單元100[3,1]至記憶單元100[n,1]的資料寫入工作。In addition, when data is written (rewritten) to the memory cell 100 close to the wiring WBL, the data writing operation of the memory cell 100 farther from the wiring WBL than the memory cell 100 can be omitted. For example, when writing (rewriting) data to the memory cell 100[1,1], the data writing work to the memory cell 100[2,1] to the memory cell 100[n,1] can be omitted. In addition, when writing data to the memory cell 100[2,1], the data writing work to the memory cell 100[3,1] to the memory cell 100[n,1] can be omitted.

藉由將改寫頻率高的資料存儲到離佈線WBL近的記憶單元100,可以縮短資料寫入(改寫)所需的時間。也就是說,可以提高資料寫入(改寫)速度。By storing data with a high rewriting frequency in the memory cell 100 close to the wiring WBL, the time required for data writing (rewriting) can be shortened. In other words, the data writing (rewriting) speed can be improved.

藉由如此工作,可以使OS NAND型(包括3D OS NAND型)的記憶體裝置像RAM那樣工作。By doing this, OS NAND type (including 3D OS NAND type) memory devices can be made to work like RAM.

《讀出工作》 圖38B示出從記憶單元100[1,1]至記憶單元100[n,1]分別讀出資料D[1]至資料D[n]的例子。此時,為了保持儲存在各記憶單元100中的資料,需要使電晶體WTr處於關閉狀態。因此,在從記憶單元100[1,1]至記憶單元100[n,1]讀出資料的工作期間,佈線WWL[1]至佈線WWL[n]的電位為低位準電位。"Reading Work" FIG. 38B shows an example of reading data D[1] to data D[n] from the memory cell 100[1,1] to the memory cell 100[n,1], respectively. At this time, in order to maintain the data stored in each memory cell 100, the transistor WTr needs to be turned off. Therefore, during the operation of reading data from the memory cell 100[1,1] to the memory cell 100[n,1], the potential of the wiring WWL[1] to the wiring WWL[n] is a low-level potential.

在圖37所示的半導體裝置300的電路結構中,當讀出特定的記憶單元100的資料時,在使其他記憶單元100所具有的電晶體RTr充分處於開啟狀態的情況下,使作為讀出對象的記憶單元100所具有的電晶體RTr在飽和區域工作。也就是說,流過作為讀出對象的記憶單元100所具有的電晶體RTr的源極和汲極之間的電流的大小取決於源極與汲極之間的電壓及作為讀出對象的記憶單元100所儲存的資料。In the circuit structure of the semiconductor device 300 shown in FIG. 37, when the data of a specific memory cell 100 is read, when the transistor RTr of the other memory cell 100 is sufficiently turned on, it is used as a read The transistor RTr of the target memory cell 100 operates in the saturation region. In other words, the magnitude of the current flowing between the source and the drain of the transistor RTr included in the memory cell 100 as the read target depends on the voltage between the source and the drain and the memory as the read target. Data stored in unit 100.

例如,考慮讀出記憶單元100[k,1]所儲存的資料的情況。在讀出工作中,為了使記憶單元100[k,1]以外的記憶單元100[1,1]至記憶單元100[n,1]的每一個所具有的電晶體RTr充分成為開啟狀態,對佈線RWL[k]以外的佈線RWL[1]至佈線RWL[n]供應高位準電位。For example, consider the case of reading the data stored in the memory unit 100[k,1]. In the readout operation, in order to make the transistor RTr of each of the memory cell 100[1,1] to the memory cell 100[n,1] other than the memory cell 100[k,1] fully turn on. The wiring RWL[1] to the wiring RWL[n] other than the wiring RWL[k] supply a high-level potential.

另一方面,為了使記憶單元100[k,1]所具有的電晶體RTr根據記憶單元100[k,1]所儲存的資料而切換開啟狀態和關閉狀態,需要將佈線RWL[k]的電位設定為與對記憶單元100[k,1]寫入該資料時相同的電位。在此,假設寫入工作時及讀出工作時的佈線RWL[k]的電位為低位準電位的情況。On the other hand, in order for the transistor RTr included in the memory cell 100[k,1] to switch between the on state and the off state according to the data stored in the memory cell 100[k,1], the potential of the wiring RWL[k] needs to be changed Set to the same potential as when writing the data to the memory cell 100[k,1]. Here, it is assumed that the potential of the wiring RWL[k] during the write operation and the read operation is a low-level potential.

例如,對節點N1[1]和節點N2[1]分別供應+3V和0V的電位。此外,在使節點N2[1]成為浮動狀態之後,測量節點N2[1]的電位。在將佈線RWL[k]以外的佈線RWL[1]至佈線RWL[n]的電位設定為高位準電位的情況下,記憶單元100[k,1]以外的記憶單元100[1,1]至記憶單元100[n,1]的每一個所具有的電晶體RTr充分成為開啟狀態。For example, the node N1[1] and the node N2[1] are supplied with potentials of +3V and 0V, respectively. In addition, after making the node N2[1] into a floating state, the potential of the node N2[1] is measured. When the potential of the wiring RWL[1] to the wiring RWL[n] other than the wiring RWL[k] is set to a high-level potential, the memory cell 100[1,1] to the memory cell 100[k,1] other than the memory cell 100[k,1] The transistor RTr included in each of the memory cells 100[n,1] is fully turned on.

另一方面,記憶單元100[k,1]所具有的電晶體RTr的源極和汲極之間的電壓取決於該電晶體RTr的閘極的電位及節點N1[1]的電位,由此節點N2[1]的電位取決於記憶單元100[k,1]的存儲節點所儲存的資料。On the other hand, the voltage between the source and drain of the transistor RTr of the memory cell 100[k,1] depends on the potential of the gate of the transistor RTr and the potential of the node N1[1], thus The potential of the node N2[1] depends on the data stored in the storage node of the memory cell 100[k,1].

經上述工作,可以讀出記憶單元100[k,1]所儲存的資料。After the above work, the data stored in the memory unit 100[k,1] can be read.

考慮到上述情況,參照圖38B的時序圖說明讀出工作例子。在期間T20,佈線WWL[1]至佈線WWL[n]、佈線RWL[1]至佈線RWL[n]、佈線WBL[1]、節點N1[1]及節點N2[1]的各電位為低位準電位。尤其是,節點N2[1]處於浮動狀態。此外,記憶單元100[1,1]至記憶單元100[n,1]的存儲節點分別保持資料D[1]至資料D[n]。Taking the above into consideration, an example of the readout operation will be described with reference to the timing chart of FIG. 38B. In the period T20, the potentials of the wiring WWL[1] to the wiring WWL[n], the wiring RWL[1] to the wiring RWL[n], the wiring WBL[1], the node N1[1], and the node N2[1] are low. Quasi-potential. In particular, the node N2[1] is in a floating state. In addition, the storage nodes of the memory unit 100[1,1] to the memory unit 100[n,1] respectively hold the data D[1] to the data D[n].

在期間T21,佈線RWL[1]被供應低位準電位,佈線RWL[2]至佈線RWL[n]被供應高位準電位。由此,記憶單元100[2,1]至記憶單元100[n,1]的每一個所具有的電晶體RTr充分成為開啟狀態。此外,記憶單元100[1,1]的電晶體RTr根據記憶單元100[1,1]的存儲節點所儲存的資料D[1]切換開啟狀態和關閉狀態。In the period T21, the wiring RWL[1] is supplied with a low-level potential, and the wiring RWL[2] to the wiring RWL[n] are supplied with a high-level potential. Thus, the transistor RTr included in each of the memory cell 100[2,1] to the memory cell 100[n,1] is fully turned on. In addition, the transistor RTr of the memory cell 100[1,1] switches between the on state and the off state according to the data D[1] stored in the storage node of the memory cell 100[1,1].

此外,佈線RBL[1]被供應電位VR 。由此,節點N1[1]的電位成為VR ,節點N2[1]的電位取決於節點N1[1]的電位VR 及記憶單元100[1,1]的存儲節點所儲存的資料。在此,節點N2[1]的電位為VD[1]。藉由測量節點N2[1]的電位VD[1],可以讀出記憶單元100[1,1]的存儲節點所儲存的資料D[1]。Further, the wiring RBL [1] is supply potential V R. Accordingly, the potential of the node N1 [1] becomes V R, the potential of the node N2 [1] depends on the node N1 [1], and the potential V R memory cell 100 [1] of the data stored in the storage node. Here, the potential of the node N2[1] is VD[1]. By measuring the potential VD[1] of the node N2[1], the data D[1] stored in the storage node of the memory cell 100[1,1] can be read.

在期間T22,佈線RWL[1]至佈線RWL[n]被供應低位準電位。此外,節點N2[1]被供應低位準電位,然後節點N2[1]成為浮動狀態。也就是說,在期間T22,佈線RWL[1]至佈線RWL[n]、節點N2[1]的各電位成為與期間T20相同的電位。此外,佈線RBL[1]也可以持續被供應電位VR ,或者,也可以被供應低位準電位。在本工作例子中,佈線RBL[1]在期間T21後持續被供應電位VR 。由此,節點N1[1]持續被供應電位VRIn the period T22, the wiring RWL[1] to the wiring RWL[n] are supplied with a low-level potential. In addition, the node N2[1] is supplied with a low-level potential, and then the node N2[1] becomes a floating state. That is, in the period T22, the potentials of the wiring RWL[1] to the wiring RWL[n] and the node N2[1] become the same potentials as in the period T20. Further, the wiring RBL [1] may be continuously supply potential V R, or may be supplied the low level potential. In the present working example, the wiring RBL [1] in the duration after the supply potential V R T21. Accordingly, the node N1 [1] continues to be supply potential V R.

在期間T23,佈線RWL[2]被供應低位準電位,佈線RWL[1]、佈線RWL[3]至佈線RWL[n]被供應高位準電位。由此,記憶單元100[1,1]、記憶單元100[3,1]至記憶單元100[n,1]的每一個所具有的電晶體RTr充分成為開啟狀態。此外,記憶單元100[2,1]的電晶體RTr根據記憶單元100[2,1]的存儲節點所儲存的資料D[2]切換開啟狀態和關閉狀態。此外,佈線RBL[1]被供應電位VR 。由此,節點N2[1]的電位取決於節點N1[1]的電位VR及記憶單元100[2,1]的存儲節點所儲存的資料。在此,節點N2[1]的電位為VD[2] 。藉由測量節點N2[1]的電位VD[2] ,可以讀出記憶單元100[2,1]的存儲節點所儲存的資料D[2]。In the period T23, the wiring RWL[2] is supplied with a low-level potential, and the wiring RWL[1], the wiring RWL[3] to the wiring RWL[n] are supplied with a high-level potential. Thus, the transistor RTr included in each of the memory cell 100[1,1], the memory cell 100[3,1] to the memory cell 100[n,1] is fully turned on. In addition, the transistor RTr of the memory cell 100[2,1] switches between the on state and the off state according to the data D[2] stored in the storage node of the memory cell 100[2,1]. Further, the wiring RBL [1] is supply potential V R. Therefore, the potential of the node N2[1] depends on the potential VR of the node N1[1] and the data stored in the storage node of the memory cell 100[2,1]. Here, the potential of the node N2[1] is V D[2] . By measuring the potential V D[2] of the node N2[1], the data D[2] stored in the storage node of the memory cell 100[2,1] can be read.

在期間T24,與期間T22及期間T23的讀出工作同樣,從記憶單元100[3,1]至記憶單元100[n-1,1]分別依次讀出資料D[3]至資料D[n-1]。In the period T24, the same as the reading operation in the period T22 and the period T23, the data D[3] to the data D[n are read from the memory cell 100[3,1] to the memory cell 100[n-1,1] respectively. -1].

明確而言,當從記憶單元100[k,1]讀出資料D[k]時,使節點N2[1]的電位成為低位準電位,且使節點N2[1]成為浮動狀態,然後,對佈線RWL[k]以外的佈線RWL[1]至佈線RWL[n]供應高位準電位,使得記憶單元100[k,1]以外的記憶單元100[1,1]至記憶單元100[n,1]所具有的電晶體RTr充分成為開啟狀態,由此記憶單元100[k,1]所具有的電晶體RTr成為根據資料D[k]的開啟狀態。接著,藉由將節點N1[1]的電位設定為VR ,使節點N2[1]的電位成為根據資料D[k]的電位,並且藉由測量該電位,可以讀出資料D[k]。此外,在讀出記憶單元100[k,1]所儲存的資料D[k]之後,為了準備下一個讀出工作,藉由對佈線RWL[1]至佈線RWL[n]供應低位準電位,對節點N2[1]供應低位準電位,然後節點N2[1]成為浮動狀態。Specifically, when the data D[k] is read from the memory cell 100[k,1], the potential of the node N2[1] is set to a low level potential, and the node N2[1] is set to a floating state, and then The wiring RWL[1] other than the wiring RWL[k] to the wiring RWL[n] supply a high-level potential so that the memory cell 100[1,1] other than the memory cell 100[k,1] to the memory cell 100[n,1] ] Has the transistor RTr fully turned on, so that the transistor RTr of the memory cell 100[k,1] has the turned on state according to the data D[k]. Next, by setting the potential of the node N1[1] to V R , the potential of the node N2[1] becomes the potential based on the data D[k], and by measuring the potential, the data D[k] can be read . In addition, after reading the data D[k] stored in the memory cell 100[k,1], in order to prepare for the next readout operation, by supplying a low-level potential to the wiring RWL[1] to the wiring RWL[n], The node N2[1] is supplied with a low-level potential, and then the node N2[1] becomes a floating state.

在期間T25,佈線RWL[1]至佈線RWL[n]被供應低位準電位。此外,節點N2[1]被供應低位準電位,然後節點N2[1]成為浮動狀態。也就是說,在期間T25,佈線RWL[1]至佈線RWL[n]、節點N2[1]的各電位成為與期間T20相同的電位。In the period T25, the wiring RWL[1] to the wiring RWL[n] are supplied with a low-level potential. In addition, the node N2[1] is supplied with a low-level potential, and then the node N2[1] becomes a floating state. That is, in the period T25, the potentials of the wiring RWL[1] to the wiring RWL[n] and the node N2[1] become the same potentials as in the period T20.

在期間T26,佈線RWL[n]被供應低位準電位,佈線RWL[1]至佈線RWL[n-1]被供應高位準電位。由此,記憶單元100[1,1]至記憶單元100[n-1,1]的每一個所具有的電晶體RTr充分成為開啟狀態。此外,記憶單元100[n,1]的電晶體RTr成為根據記憶單元100[n,1]的存儲節點所儲存的資料D[n]的開啟狀態。此外,佈線RBL[1]持續被供應電位VR 。由此,節點N2[1]的電位取決於節點N1[1]的電位VR 及記憶單元100[n,1]的存儲節點所儲存的資料。在此,節點N2[1]的電位為VD[n] 。藉由測量節點N2[1]的電位VD[n] ,可以讀出記憶單元100[n,1]的存儲節點所儲存的資料D[n]。In the period T26, the wiring RWL[n] is supplied with a low-level potential, and the wiring RWL[1] to the wiring RWL[n-1] are supplied with a high-level potential. Thus, the transistor RTr included in each of the memory cell 100[1,1] to the memory cell 100[n-1,1] is fully turned on. In addition, the transistor RTr of the memory cell 100[n,1] is turned on according to the data D[n] stored in the storage node of the memory cell 100[n,1]. Further, the wiring RBL [1] continues to be supply potential V R. Accordingly, the potential of the node N2 [1] depends on the node N1 [1] the potential V R, and the memory unit 100 [n, 1] of the data stored in the storage node. Here, the potential of the node N2[1] is V D[n] . By measuring the potential V D[n] of the node N2[1], the data D[n] stored in the storage node of the memory cell 100[n,1] can be read.

經上述工作,可以讀出記憶單元100[1,1]至記憶單元100[n,1]所儲存的資料。After the above work, the data stored in the memory cell 100[1,1] to the memory cell 100[n,1] can be read.

在本實施方式中,著眼於記憶體串200[1]說明了讀出工作,但是在半導體裝置300的電路結構中,除了記憶體串200[1]以外,還同時進行對記憶體串200[2]至記憶體串200[m]的資料讀出。此外,藉由使電晶體WTr成為關閉狀態,可以在資料讀出工作時防止存儲節點所儲存的資料破壞。由此,能夠唯讀出包括在任意記憶體串200中的資料。In this embodiment, the readout operation is explained focusing on the memory string 200[1], but in the circuit structure of the semiconductor device 300, in addition to the memory string 200[1], the memory string 200[1] is also simultaneously processed. 2] Read the data to the memory string 200[m]. In addition, by turning the transistor WTr into the off state, it is possible to prevent the data stored in the storage node from being destroyed during the data read operation. As a result, only the data included in any memory string 200 can be read.

<半導體裝置的結構例子> 以下說明半導體裝置300的結構例子。<Structure example of semiconductor device> Hereinafter, a structural example of the semiconductor device 300 will be described.

圖39A至圖39C是示出半導體裝置300的一部分的示意圖的一個例子。圖39A是該半導體裝置的一部分的立體圖,圖39B是該半導體裝置的一部分的俯視圖。再者,圖39C是對應於圖39B的點劃線Z1-Z2的剖面圖。39A to 39C are examples of schematic diagrams showing a part of the semiconductor device 300. FIG. 39A is a perspective view of a part of the semiconductor device, and FIG. 39B is a plan view of a part of the semiconductor device. Furthermore, FIG. 39C is a cross-sectional view corresponding to the chain line Z1-Z2 of FIG. 39B.

該半導體裝置包括層疊有佈線WL(佈線WWL或佈線RWL)及絕緣體(圖39A至圖39C中的沒有陰影的區域)的結構體。This semiconductor device includes a structure in which wiring WL (wiring WWL or wiring RWL) and an insulator (unshaded regions in FIGS. 39A to 39C) are laminated.

在該結構體中形成有一併貫穿絕緣體及佈線WL的開口部。此外,為了在貫穿佈線WL的區域AR中設置記憶單元100,該開口部形成有絕緣體、導電體及半導體。此外,該導電體被用作記憶單元100所包括的電晶體的源極電極或汲極電極,該半導體被用作記憶單元100所包括的電晶體的通道形成區域。此外,也可以不形成導電體,而在該半導體中形成通道形成區域及低電阻區域,將該低電阻區域用作電晶體的源極或汲極。An opening that penetrates the insulator and the wiring WL is formed in the structure. In addition, in order to provide the memory cell 100 in the region AR of the penetrating wiring WL, an insulator, a conductor, and a semiconductor are formed in the opening. In addition, the conductor is used as a source electrode or a drain electrode of the transistor included in the memory cell 100, and the semiconductor is used as a channel forming region of the transistor included in the memory cell 100. In addition, instead of forming a conductor, a channel formation region and a low-resistance region may be formed in the semiconductor, and the low-resistance region may be used as the source or drain of the transistor.

在圖39A至圖39C中,將形成有絕緣體、導電體及半導體的該開口部的區域記載為區域HL。尤其在圖39A中,以虛線表示設置在結構體的內部中的區域HL。此外,在記憶單元100所包括的電晶體設有背閘極的情況下,可以將區域HL所包括的該導電體用作用來與該背閘極電連接的佈線BGL。就是說,記憶體串200形成在區域HL中。此外,記憶體串200形成在區域SA中。In FIGS. 39A to 39C, the region where the opening portion of the insulator, the conductor, and the semiconductor are formed is referred to as the region HL. Particularly in FIG. 39A, the area HL provided in the inside of the structure is indicated by a broken line. In addition, in the case where the transistor included in the memory cell 100 is provided with a back gate, the conductor included in the region HL can be used as the wiring BGL for electrically connecting with the back gate. That is, the memory string 200 is formed in the area HL. In addition, the memory string 200 is formed in the area SA.

此外,露出佈線WL的區域TM被用作用來對佈線WL供應電位的連接端子。換言之,藉由在區域TM中電連接佈線WL與任意佈線,可以對記憶單元100所包括的電晶體的閘極供應電位。注意,佈線WL相當於圖1A中的導電體102或導電體103。In addition, the area TM where the wiring WL is exposed is used as a connection terminal for supplying a potential to the wiring WL. In other words, by electrically connecting the wiring WL and any wiring in the region TM, a potential can be supplied to the gate of the transistor included in the memory cell 100. Note that the wiring WL corresponds to the conductor 102 or the conductor 103 in FIG. 1A.

注意,區域TM的形狀不侷限於圖39A至圖39C所示的結構例子。作為本發明的一個實施方式的半導體裝置300,例如,如圖40A至圖40C所示,可以在區域TM上形成絕緣體,在該絕緣體中形成開口部,以填充該開口部的方式形成導電體PG。Note that the shape of the region TM is not limited to the structural example shown in FIGS. 39A to 39C. As the semiconductor device 300 of one embodiment of the present invention, for example, as shown in FIGS. 40A to 40C, an insulator may be formed on the region TM, an opening may be formed in the insulator, and a conductor PG may be formed to fill the opening. .

圖40A是該半導體裝置的一部分的立體圖,圖40B是該半導體裝置的一部分的俯視圖。再者,圖40C是對應於圖40B的點劃線Z1-Z2的剖面圖。此外,在導電體PG上形成有佈線ER,由此佈線ER與佈線WL電連接。在圖40A中,以虛線表示設置在結構體的內部的導電體PG,並且省略區域HL的虛線。FIG. 40A is a perspective view of a part of the semiconductor device, and FIG. 40B is a plan view of a part of the semiconductor device. Furthermore, FIG. 40C is a cross-sectional view corresponding to the chain line Z1-Z2 of FIG. 40B. In addition, the wiring ER is formed on the conductor PG, whereby the wiring ER is electrically connected to the wiring WL. In FIG. 40A, the conductor PG provided inside the structure is indicated by a dotted line, and the dotted line of the area HL is omitted.

<與週邊電路的連接例子> 在本發明的一個實施方式的半導體裝置300中,在其下層可以形成讀出電路、預充電電路等的記憶單元陣列的週邊電路。此時,在矽基板等上形成Si電晶體來構成該週邊電路,然後在該週邊電路上形成本發明的一個實施方式的半導體裝置300即可。圖41A是由平面型Si電晶體構成週邊電路並在其上層形成本發明的一個實施方式的半導體裝置300的剖面圖。此外,圖42A是由FIN型Si電晶體構成週邊電路並在其上層形成本發明的一個實施方式的半導體裝置300的剖面圖。<Example of connection with peripheral circuit> In the semiconductor device 300 according to one embodiment of the present invention, peripheral circuits of a memory cell array such as a readout circuit and a precharge circuit can be formed in the lower layer. In this case, a Si transistor is formed on a silicon substrate or the like to configure the peripheral circuit, and then the semiconductor device 300 according to an embodiment of the present invention is formed on the peripheral circuit. 41A is a cross-sectional view of a peripheral circuit composed of a planar Si transistor and a semiconductor device 300 according to an embodiment of the present invention is formed on the upper layer. In addition, FIG. 42A is a cross-sectional view of a peripheral circuit composed of a FIN-type Si transistor and a semiconductor device 300 according to an embodiment of the present invention is formed on the peripheral circuit.

在圖41A及圖42A中,構成週邊電路的Si電晶體形成在基板1700上。元件分離層1701形成在多個Si電晶體之間。作為Si電晶體的源極及汲極形成有導電體1712。導電體1730以在通道寬度方向上延長的方式形成並連接到其他Si電晶體或導電體1712(未圖示)。In FIGS. 41A and 42A, Si transistors constituting peripheral circuits are formed on a substrate 1700. The element separation layer 1701 is formed between a plurality of Si transistors. Conductors 1712 are formed as the source and drain of the Si transistor. The conductor 1730 is formed to extend in the channel width direction and is connected to another Si transistor or conductor 1712 (not shown).

作為基板1700,可以使用上述實施方式所示的基板。例如,可以使用由矽或碳化矽構成的單晶半導體基板或多晶半導體基板、由矽鍺構成的化合物半導體基板、SOI(Silicon on Insulator:絕緣層上覆矽)基板等。As the substrate 1700, the substrate described in the above-mentioned embodiment can be used. For example, a single crystal semiconductor substrate or a polycrystalline semiconductor substrate made of silicon or silicon carbide, a compound semiconductor substrate made of silicon germanium, an SOI (Silicon on Insulator) substrate, or the like can be used.

此外,作為基板1700,例如可以使用玻璃基板、石英基板、塑膠基板、金屬基板、撓性基板、貼合薄膜、包含纖維狀材料的紙或基材薄膜等。此外,也可以使用某個基板形成半導體元件,然後將半導體元件轉置於其他基板。在圖41A及圖42A中,作為一個例子表示將單晶矽晶圓用於基板1700的例子。In addition, as the substrate 1700, for example, a glass substrate, a quartz substrate, a plastic substrate, a metal substrate, a flexible substrate, a laminated film, a paper containing a fibrous material, a base film, or the like can be used. In addition, a certain substrate may be used to form a semiconductor element, and then the semiconductor element may be transferred to another substrate. In FIGS. 41A and 42A, an example in which a single crystal silicon wafer is used for the substrate 1700 is shown as an example.

圖41A及圖42A示出在區域SA中設置在記憶體串200上的導電體1221、導電體1222、導電體1223及絕緣體1202。導電體1221與位於記憶體串200的端部的電晶體RTr的源極或汲極等電連接。41A and 42A show the conductor 1221, the conductor 1222, the conductor 1223, and the insulator 1202 provided on the memory string 200 in the area SA. The conductor 1221 is electrically connected to the source or drain of the transistor RTr located at the end of the memory string 200.

絕緣體1202覆蓋導電體1221。導電體1222在與導電體118重疊的區域中嵌入絕緣體1202。導電體1223設置在絕緣體1202的上方,藉由導電體1222與導電體118電連接。The insulator 1202 covers the conductor 1221. The conductor 1222 is embedded with an insulator 1202 in a region overlapping with the conductor 118. The conductor 1223 is disposed above the insulator 1202 and is electrically connected to the conductor 118 through the conductor 1222.

此外,在圖41A及圖42A中,還設置有絕緣體1203,以覆蓋導電體1223、絕緣體1202及記憶體串200等。作為絕緣體1203,較佳為使用具有抑制氫等雜質及氧的透過的功能的絕緣體。藉由使用具有抑制氫等雜質及氧的透過的功能的絕緣體作為絕緣體1203,可以抑制來自外界的雜質(例如,水分子、氫原子、氫分子、水分子、氧原子、氧分子、氮原子、氮分子、氮氧化物分子(N2 O、NO及NO2 等))擴散到記憶體串200內。In addition, in FIGS. 41A and 42A, an insulator 1203 is also provided to cover the conductor 1223, the insulator 1202, the memory string 200, and the like. As the insulator 1203, it is preferable to use an insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen. By using an insulator that has the function of suppressing the permeation of hydrogen and other impurities and oxygen as the insulator 1203, it is possible to suppress impurities from the outside (for example, water molecules, hydrogen atoms, hydrogen molecules, water molecules, oxygen atoms, oxygen molecules, nitrogen atoms, Nitrogen molecules and nitrogen oxide molecules (N 2 O, NO, NO 2 etc.) diffuse into the memory string 200.

在此,對Si電晶體的詳細內容進行說明。圖41A表示平面型Si電晶體的通道長度方向上的剖面圖,並且圖41B表示平面型Si電晶體的通道寬度方向上的剖面圖。Si電晶體包括設置在井1792中的通道形成區域1793、低濃度雜質區域1794及高濃度雜質區域1795(也可以簡稱為雜質區域)、以接觸於該雜質區域的方式設置的導電性區域1796、設置在通道形成區域1793上的閘極絕緣膜1797、設置在閘極絕緣膜1797上的閘極電極1790、設置在閘極電極1790的側面的側壁絕緣層1798、側壁絕緣層1799。此外,導電性區域1796也可以使用金屬矽化物等。Here, the details of the Si transistor will be described. 41A shows a cross-sectional view in the channel length direction of the planar Si transistor, and FIG. 41B shows a cross-sectional view in the channel width direction of the planar Si transistor. The Si transistor includes a channel formation region 1793 provided in the well 1792, a low-concentration impurity region 1794, and a high-concentration impurity region 1795 (also referred to as an impurity region for short), and a conductive region 1796 provided in contact with the impurity region. The gate insulating film 1797 provided on the channel formation region 1793, the gate electrode 1790 provided on the gate insulating film 1797, the side wall insulating layer 1798 and the side wall insulating layer 1799 provided on the side of the gate electrode 1790. In addition, the conductive region 1796 may also use metal silicide or the like.

此外,圖42A表示FIN型Si電晶體的通道長度方向上的剖面圖,並且圖42B表示FIN型Si電晶體的通道寬度方向上的剖面圖。圖42A及圖42B所示的Si電晶體的通道形成區域1793具有凸形狀,並且沿著其側面及頂面設有閘極絕緣膜1797及閘極電極1790。雖然在本實施方式中示出對半導體基板的一部分進行加工來形成凸部的情況,但是也可以加工SOI基板來形成具有凸形狀的半導體層。注意,圖42A及圖42B中的符號與圖41A及圖41B中的符號相同。In addition, FIG. 42A shows a cross-sectional view in the channel length direction of the FIN-type Si transistor, and FIG. 42B shows a cross-sectional view in the channel width direction of the FIN-type Si transistor. The channel formation region 1793 of the Si transistor shown in FIGS. 42A and 42B has a convex shape, and a gate insulating film 1797 and a gate electrode 1790 are provided along the side and top surface thereof. Although this embodiment shows a case where a part of a semiconductor substrate is processed to form convex portions, it is also possible to process an SOI substrate to form a semiconductor layer having a convex shape. Note that the symbols in FIGS. 42A and 42B are the same as those in FIGS. 41A and 41B.

本實施方式可以與其他本實施方式等所示的結構適當地組合而實施。This embodiment mode can be implemented in appropriate combination with other structures shown in this embodiment mode and the like.

實施方式3 在本實施方式中,說明包括本發明的一個實施方式的半導體裝置的半導體裝置400。半導體裝置400可以用作記憶體裝置。Embodiment 3 In this embodiment mode, a semiconductor device 400 including a semiconductor device according to an embodiment of the present invention will be described. The semiconductor device 400 can be used as a memory device.

圖43是示出半導體裝置400的結構例子的方塊圖。圖43所示的半導體裝置400包括驅動電路410及記憶體陣列420。記憶體陣列420包括一個以上的記憶單元30。圖43示出記憶體陣列420包括配置為矩陣狀的多個記憶單元30的例子。FIG. 43 is a block diagram showing a structural example of the semiconductor device 400. As shown in FIG. The semiconductor device 400 shown in FIG. 43 includes a driving circuit 410 and a memory array 420. The memory array 420 includes more than one memory unit 30. FIG. 43 shows an example in which the memory array 420 includes a plurality of memory cells 30 arranged in a matrix.

驅動電路410包括PSW241(功率開關)、PSW242及週邊電路415。週邊電路415包括週邊電路411、控制電路412及電壓生成電路428。The driving circuit 410 includes a PSW241 (power switch), a PSW242 and a peripheral circuit 415. The peripheral circuit 415 includes a peripheral circuit 411, a control circuit 412, and a voltage generating circuit 428.

在半導體裝置400中,根據需要可以適當地取捨上述各電路、各信號及各電壓。或者,也可以增加其它電路或其它信號。信號BW、CE、GW、CLK、WAKE、ADDR、WDA、PON1、PON2為從外部輸入的信號,信號RDA為輸出到外部的信號。信號CLK為時脈信號。In the semiconductor device 400, the aforementioned circuits, signals, and voltages can be appropriately selected as needed. Alternatively, other circuits or other signals can also be added. The signals BW, CE, GW, CLK, WAKE, ADDR, WDA, PON1, PON2 are signals input from the outside, and the signal RDA is a signal output to the outside. The signal CLK is a clock signal.

此外,信號BW、CE及信號GW是控制信號。信號CE為晶片賦能信號,信號GW為全局寫入賦能信號,信號BW為位元組寫入賦能信號。信號ADDR為位址信號。信號WDA為寫入資料,信號RDA為讀出資料。信號PON1、PON2為電源閘控控制用信號。此外,信號PON1、PON2也可以在控制電路412中生成。In addition, the signals BW, CE, and GW are control signals. The signal CE is a chip enable signal, the signal GW is a global write enable signal, and the signal BW is a byte write enable signal. The signal ADDR is an address signal. The signal WDA is for writing data, and the signal RDA is for reading data. The signals PON1 and PON2 are signals for power gating control. In addition, the signals PON1 and PON2 may also be generated in the control circuit 412.

控制電路412為具有控制半導體裝置400的整體工作的功能的邏輯電路。例如,控制電路412對信號CE、信號GW及信號BW進行邏輯運算來決定半導體裝置400的工作模式(例如,寫入工作、讀出工作)。或者,控制電路412生成週邊電路411的控制信號,以執行上述工作模式。The control circuit 412 is a logic circuit having a function of controlling the overall operation of the semiconductor device 400. For example, the control circuit 412 performs logical operations on the signal CE, the signal GW, and the signal BW to determine the operation mode of the semiconductor device 400 (for example, write operation, read operation). Alternatively, the control circuit 412 generates a control signal of the peripheral circuit 411 to execute the above-mentioned working mode.

電壓生成電路428具有生成負電壓的功能。信號WAKE具有控制對電壓生成電路428輸入信號CLK的功能。例如,當信號WAKE被施加H位準的信號時,信號CLK被輸入到電壓生成電路428,電壓生成電路428生成負電壓。The voltage generating circuit 428 has a function of generating a negative voltage. The signal WAKE has a function of controlling the input of the signal CLK to the voltage generating circuit 428. For example, when the signal WAKE is applied with an H-level signal, the signal CLK is input to the voltage generating circuit 428, and the voltage generating circuit 428 generates a negative voltage.

週邊電路411是用來對記憶單元30進行資料的寫入及讀出的電路。週邊電路411包括行解碼器441、列解碼器442、行驅動器423、列驅動器424、輸入電路425、輸出電路426及感測放大器427。The peripheral circuit 411 is a circuit for writing and reading data to and from the memory cell 30. The peripheral circuit 411 includes a row decoder 441, a column decoder 442, a row driver 423, a column driver 424, an input circuit 425, an output circuit 426, and a sense amplifier 427.

行解碼器441及列解碼器442具有對信號ADDR進行解碼的功能。行解碼器441是用來指定要訪問行的電路,列解碼器442是用來指定要訪問列的電路。行驅動器423具有選擇連接到由行解碼器441指定的佈線WL的功能。列驅動器424具有如下功能:將資料寫入記憶單元30的功能;從記憶單元30讀出資料的功能;保持所讀出的資料的功能等。The row decoder 441 and the column decoder 442 have a function of decoding the signal ADDR. The row decoder 441 is used to specify the circuit to access the row, and the column decoder 442 is to specify the circuit to access the column. The row driver 423 has a function of selectively connecting to the wiring WL designated by the row decoder 441. The column driver 424 has the following functions: a function of writing data into the memory unit 30; a function of reading data from the memory unit 30; a function of holding the read data, and so on.

輸入電路425具有保持信號WDA的功能。輸入電路425中保持的資料輸出到列驅動器424。輸入電路425的輸出資料是寫入記憶單元30的資料(Din)。列驅動器424從記憶單元30讀出的資料(Dout)被輸出至輸出電路426。輸出電路426具有保持Dout的功能。此外,輸出電路426具有將Dout輸出到半導體裝置400的外部的功能。從輸出電路426輸出的資料信號為信號RDA。The input circuit 425 has a function of holding the signal WDA. The data held in the input circuit 425 is output to the column driver 424. The output data of the input circuit 425 is the data (Din) written in the memory unit 30. The data (Dout) read from the memory unit 30 by the column driver 424 is output to the output circuit 426. The output circuit 426 has a function of holding Dout. In addition, the output circuit 426 has a function of outputting Dout to the outside of the semiconductor device 400. The data signal output from the output circuit 426 is the signal RDA.

PSW241具有控制向週邊電路415供給VDD 的功能。PSW242具有控制向行驅動器423供給VHM 的功能。在此,半導體裝置400的高電源電壓為VDD ,低電源電壓為GND(地電位)。此外,VHM 是用來使字線成為高位準的高電源電壓,其高於VDD 。利用信號PON1控制PSW241的開/關,利用信號PON2控制PSW242的開/關。在圖43中,週邊電路415中被供應VDD 的電源域的個數為1,但是也可以為多個。此時,可以對各電源域設置功率開關。The PSW 241 has a function of controlling the supply of V DD to the peripheral circuit 415. The PSW242 has a function of controlling the supply of V HM to the row driver 423. Here, the high power supply voltage of the semiconductor device 400 is V DD , and the low power supply voltage is GND (ground potential). In addition, V HM is a high power supply voltage used to make the word line a high level, which is higher than V DD . The signal PON1 is used to control the on/off of PSW241, and the signal PON2 is used to control the on/off of PSW242. In FIG. 43, the number of power supply domains to which V DD is supplied in the peripheral circuit 415 is 1, but it may be more than one. At this time, power switches can be set for each power domain.

作為記憶單元30,可以使用記憶體串200。此外,也可以使用記憶體串200以外的記憶單元作為記憶單元30。以下參照圖44A至圖45B說明可以應用於記憶單元30的記憶單元的結構例子。As the memory unit 30, a memory string 200 can be used. In addition, a memory unit other than the memory string 200 may also be used as the memory unit 30. Hereinafter, a structural example of a memory unit that can be applied to the memory unit 30 will be described with reference to FIGS. 44A to 45B.

[DOSRAM] 圖44A示出DRAM型的記憶單元的電路結構例子。在本說明書等中,將使用OS電晶體的DRAM稱為DOSRAM(Dynamic Oxide Semiconductor Random Access Memory:氧化物半導體動態隨機存取記憶體)。記憶單元31包括電晶體M1和電容器CA。電晶體M1包括前閘極(有時簡稱為閘極)及背閘極。[DOSRAM] FIG. 44A shows an example of the circuit structure of a DRAM type memory cell. In this specification and the like, DRAM using OS transistors is referred to as DOSRAM (Dynamic Oxide Semiconductor Random Access Memory). The memory unit 31 includes a transistor M1 and a capacitor CA. The transistor M1 includes a front gate (sometimes referred to as a gate for short) and a back gate.

電晶體M1的第一端子與電容器CA的第一端子連接,電晶體M1的第二端子與佈線BIL連接,電晶體M1的閘極與佈線WOL連接,電晶體M1的背閘極與佈線BGL連接。電容器CA的第二端子與佈線CAL連接。The first terminal of the transistor M1 is connected to the first terminal of the capacitor CA, the second terminal of the transistor M1 is connected to the wiring BIL, the gate of the transistor M1 is connected to the wiring WOL, and the back gate of the transistor M1 is connected to the wiring BGL . The second terminal of the capacitor CA is connected to the wiring CAL.

佈線BIL用作位元線,佈線WOL用作字線。佈線CAL被用作用來對電容器CA的第二端子施加指定的電位的佈線。在資料的寫入及讀出時,較佳為對佈線CAL施加低位準電位(有時稱為參考電位)。The wiring BIL is used as a bit line, and the wiring WOL is used as a word line. The wiring CAL is used as a wiring for applying a specified potential to the second terminal of the capacitor CA. When writing and reading data, it is preferable to apply a low-level potential (sometimes referred to as a reference potential) to the wiring CAL.

佈線BGL用作對電晶體M1的背閘極施加電位的佈線。藉由對佈線BGL施加任意電位,可以增減電晶體M1的臨界電壓。The wiring BGL is used as a wiring for applying a potential to the back gate of the transistor M1. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M1 can be increased or decreased.

資料的寫入及讀出藉由對佈線WOL施加高位準電位使電晶體M1變為導通狀態而使佈線BIL與電容器CA的第一端子連接而進行。Data writing and reading are performed by applying a high-level potential to the wiring WOL, turning the transistor M1 into a conductive state, and connecting the wiring BIL to the first terminal of the capacitor CA.

此外,可用於記憶單元30的記憶單元不侷限於記憶單元31,也可以改變電路結構。例如,可以具有圖44B所示的記憶單元32的結構。在記憶單元32中,電晶體M1的背閘極與佈線WOL連接而不與佈線BGL連接。藉由採用該結構,可以將與電晶體M1的閘極相同的電位施加到電晶體M1的背閘極,由此當電晶體M1為導通狀態時可以增加流過電晶體M1的電流。In addition, the memory unit that can be used for the memory unit 30 is not limited to the memory unit 31, and the circuit structure can also be changed. For example, it may have the structure of the memory unit 32 shown in FIG. 44B. In the memory cell 32, the back gate of the transistor M1 is connected to the wiring WOL and not to the wiring BGL. By adopting this structure, the same potential as the gate of the transistor M1 can be applied to the back gate of the transistor M1, thereby increasing the current flowing through the transistor M1 when the transistor M1 is in a conducting state.

此外,例如,可用於記憶單元30的記憶單元也可以由單閘極結構的電晶體,也就是說不具有背閘極的電晶體M1構成。圖44C示出該記憶單元的電路結構例。圖44C所示的記憶單元33具有從記憶單元31的電晶體M1刪除了背閘極的結構。此外,藉由將記憶單元33應用於記憶單元30,因電晶體M1不具有背閘極而與記憶單元31及記憶單元32相比可以縮短記憶單元30的製程。In addition, for example, the memory cell that can be used for the memory cell 30 may also be composed of a transistor with a single gate structure, that is, a transistor M1 without a back gate. FIG. 44C shows an example of the circuit configuration of the memory cell. The memory cell 33 shown in FIG. 44C has a structure in which the back gate is deleted from the transistor M1 of the memory cell 31. In addition, by applying the memory cell 33 to the memory cell 30, since the transistor M1 does not have a back gate, the manufacturing process of the memory cell 30 can be shortened compared with the memory cell 31 and the memory cell 32.

電晶體M1較佳為使用OS電晶體。OS電晶體具有關態電流極小的特性。藉由作為電晶體M1使用OS電晶體,可以使電晶體M1的洩漏電流變得非常低。也就是說,可以利用電晶體M1長時間地保持寫入資料,由此可以降低記憶單元的更新頻率。此外,可以省略記憶單元的更新工作。此外,由於洩漏電流非常低,所以可以對記憶單元31、記憶單元32、記憶單元33保持多值資料或類比資料。The transistor M1 preferably uses an OS transistor. The OS transistor has the characteristic of very small off-state current. By using the OS transistor as the transistor M1, the leakage current of the transistor M1 can be very low. In other words, the transistor M1 can be used to keep the written data for a long time, thereby reducing the update frequency of the memory cell. In addition, the updating of the memory unit can be omitted. In addition, since the leakage current is very low, the memory unit 31, the memory unit 32, and the memory unit 33 can hold multi-value data or analog data.

[NOSRAM] 圖44D示出包括兩個電晶體和一個電容器的增益單元型的記憶單元的電路結構例子。記憶單元34包括電晶體M2、電晶體M3和電容器CB。電晶體M2包括前閘極及背閘極。在本說明書等中,有時將包括將OS電晶體用於電晶體M2的增益單元型記憶單元的記憶體裝置稱為NOSRAM(Nonvolatile Oxide Semiconductor RAM)。[NOSRAM] FIG. 44D shows a circuit configuration example of a gain cell type memory cell including two transistors and one capacitor. The memory unit 34 includes a transistor M2, a transistor M3, and a capacitor CB. The transistor M2 includes a front gate and a back gate. In this specification and the like, a memory device including a gain cell type memory cell using an OS transistor for the transistor M2 is sometimes referred to as NOSRAM (Nonvolatile Oxide Semiconductor RAM).

電晶體M2的第一端子與電容器CB的第一端子連接,電晶體M2的第二端子與佈線WBL連接,電晶體M2的閘極與佈線WOL連接,電晶體M2的背閘極與佈線BGL連接。電容器CB的第二端子與佈線CAL連接。電晶體M3的第一端子與佈線RBL連接,電晶體M3的第二端子與佈線SL連接,電晶體M3的閘極與電容器CB的第一端子連接。The first terminal of the transistor M2 is connected to the first terminal of the capacitor CB, the second terminal of the transistor M2 is connected to the wiring WBL, the gate of the transistor M2 is connected to the wiring WOL, and the back gate of the transistor M2 is connected to the wiring BGL . The second terminal of the capacitor CB is connected to the wiring CAL. The first terminal of the transistor M3 is connected to the wiring RBL, the second terminal of the transistor M3 is connected to the wiring SL, and the gate of the transistor M3 is connected to the first terminal of the capacitor CB.

佈線WBL用作寫入位元線,佈線RBL用作讀出位元線,佈線WOL用作字線。佈線CAL用作對電容器CB的第二端子施加預定電位的佈線。資料寫入時、正在進行資料保持時、資料讀出時,較佳為對佈線CAL施加低位準電位(有時稱為參考電位)。The wiring WBL is used as a write bit line, the wiring RBL is used as a read bit line, and the wiring WOL is used as a word line. The wiring CAL is used as a wiring that applies a predetermined potential to the second terminal of the capacitor CB. When data is being written, when data is being held, and when data is being read, it is preferable to apply a low-level potential (sometimes referred to as a reference potential) to the wiring CAL.

佈線BGL用作對電晶體M2的背閘極施加電位的佈線。藉由對佈線BGL施加任意電位可以控制電晶體M2的臨界電壓。The wiring BGL is used as a wiring for applying a potential to the back gate of the transistor M2. The threshold voltage of the transistor M2 can be controlled by applying an arbitrary potential to the wiring BGL.

資料的寫入藉由對佈線WOL施加高位準電位使電晶體M2變為導通狀態以使佈線WBL與電容器CB的第一端子連接來進行。明確地說,在電晶體M2為導通狀態時,對佈線WBL施加對應於要記錄的資訊的電位來對電容器CB的第一端子及電晶體M3的閘極寫入該電位。然後,對佈線WOL施加低位準電位使電晶體M2變為非導通狀態,由此儲存電容器CB的第一端子的電位及電晶體M3的閘極的電位。The writing of data is performed by applying a high-level potential to the wiring WOL to turn the transistor M2 into a conductive state so that the wiring WBL is connected to the first terminal of the capacitor CB. Specifically, when the transistor M2 is in the conductive state, a potential corresponding to the information to be recorded is applied to the wiring WBL to write the potential to the first terminal of the capacitor CB and the gate of the transistor M3. Then, a low-level potential is applied to the wiring WOL to turn the transistor M2 into a non-conductive state, thereby storing the potential of the first terminal of the capacitor CB and the potential of the gate of the transistor M3.

資料的讀出藉由對佈線SL施加預定的電位來進行。由於電晶體M3的源極-汲極間流過的電流及電晶體M3的第一端子的電位由電晶體M3的閘極的電位及電晶體M3的第二端子的電位決定,所以藉由讀出與電晶體M3的第一端子連接的佈線RBL的電位,可以讀出電容器CB的第一端子(或電晶體M3的閘極)所保持的電位。也就是說,可以從電容器CB的第一端子(或電晶體M3的閘極)所保持的電位讀出該記憶單元中寫入的資訊。Data reading is performed by applying a predetermined potential to the wiring SL. Since the current flowing between the source and drain of the transistor M3 and the potential of the first terminal of the transistor M3 are determined by the potential of the gate of the transistor M3 and the potential of the second terminal of the transistor M3, read Based on the potential of the wiring RBL connected to the first terminal of the transistor M3, the potential held by the first terminal of the capacitor CB (or the gate of the transistor M3) can be read. In other words, the information written in the memory cell can be read from the potential held by the first terminal of the capacitor CB (or the gate of the transistor M3).

此外,可用於記憶單元30的記憶單元不侷限於記憶單元34,也可以適當地改變電路結構。In addition, the memory unit that can be used for the memory unit 30 is not limited to the memory unit 34, and the circuit structure may be appropriately changed.

此外,可用於記憶單元30的記憶單元也可以具有圖44E所示的記憶單元35的結構。在記憶單元35中,與圖44B所示的記憶單元32所具有的電晶體M1同樣,電晶體M2的背閘極與佈線WOL連接而不與佈線BGL連接。藉由採用該結構,可以將與電晶體M2的閘極相同的電位施加到電晶體M2的背閘極,由此當電晶體M2為導通狀態時可以增加流過電晶體M2的電流。In addition, the memory unit that can be used for the memory unit 30 may also have the structure of the memory unit 35 shown in FIG. 44E. In the memory cell 35, like the transistor M1 included in the memory cell 32 shown in FIG. 44B, the back gate of the transistor M2 is connected to the wiring WOL and not to the wiring BGL. By adopting this structure, the same potential as the gate of the transistor M2 can be applied to the back gate of the transistor M2, thereby increasing the current flowing through the transistor M2 when the transistor M2 is in the on state.

此外,例如,可用於記憶單元30的記憶單元也可以由不具有背閘極的電晶體M2構成。圖44F示出該記憶單元的電路結構例。記憶單元36具有從記憶單元34的電晶體M2刪除了背閘極的結構。此外,藉由將記憶單元36應用於記憶單元30,因電晶體M2不具有背閘極而與記憶單元34及記憶單元35相比可以縮短記憶單元30的製程。In addition, for example, the memory cell that can be used for the memory cell 30 may also be composed of a transistor M2 that does not have a back gate. FIG. 44F shows an example of the circuit configuration of the memory cell. The memory cell 36 has a structure in which the back gate is removed from the transistor M2 of the memory cell 34. In addition, by applying the memory cell 36 to the memory cell 30, since the transistor M2 does not have a back gate, the manufacturing process of the memory cell 30 can be shortened compared with the memory cell 34 and the memory cell 35.

例如,也可以採用將佈線WBL與佈線RBL合為一個佈線BIL的結構。圖44G示出該情況下的記憶單元的電路結構例子。在記憶單元37中,記憶單元34的佈線WBL與佈線RBL合為一個佈線BIL,電晶體M2的第二端子及電晶體M3的第一端子與佈線BIL連接。也就是說,記憶單元37將寫入位元線和讀出位元線合為一個佈線BIL工作。For example, it is also possible to adopt a structure in which the wiring WBL and the wiring RBL are combined into one wiring BIL. FIG. 44G shows an example of the circuit configuration of the memory cell in this case. In the memory cell 37, the wiring WBL and the wiring RBL of the memory cell 34 are combined into a wiring BIL, and the second terminal of the transistor M2 and the first terminal of the transistor M3 are connected to the wiring BIL. In other words, the memory cell 37 combines the write bit line and the read bit line into one wiring BIL.

此外,電晶體M2及/或電晶體M3的通道形成區域可以使用包含銦、元素M、鋅中的至少一個的氧化物半導體。也就是說,電晶體M2及/或電晶體M3較佳為使用OS電晶體。尤其是,電晶體M2及/或電晶體M3的通道形成區域較佳為包括含有銦、鎵、鋅的氧化物半導體。In addition, the channel formation region of the transistor M2 and/or the transistor M3 may use an oxide semiconductor containing at least one of indium, element M, and zinc. In other words, the transistor M2 and/or the transistor M3 preferably use an OS transistor. In particular, the channel formation region of the transistor M2 and/or the transistor M3 preferably includes an oxide semiconductor containing indium, gallium, and zinc.

因為OS電晶體具有關態電流極小的特性,所以藉由作為電晶體M2及/或電晶體M3使用OS電晶體,可以使電晶體M2及/或電晶體M3的洩漏電流變得非常低。尤其是,可以利用電晶體M2長時間地保持寫入資料,由此可以降低記憶單元的更新頻率。此外,可以省略記憶單元的更新工作。此外,由於洩漏電流非常低,所以可以對記憶單元34、記憶單元35、記憶單元36及記憶單元37保持多值資料或類比資料。Because the OS transistor has the characteristics of extremely low off-state current, by using the OS transistor as the transistor M2 and/or the transistor M3, the leakage current of the transistor M2 and/or the transistor M3 can be very low. In particular, the transistor M2 can be used to keep the written data for a long time, thereby reducing the update frequency of the memory cell. In addition, the updating of the memory unit can be omitted. In addition, since the leakage current is very low, the memory unit 34, the memory unit 35, the memory unit 36, and the memory unit 37 can hold multi-value data or analog data.

作為電晶體M2使用了OS電晶體的記憶單元34、記憶單元35、記憶單元36及記憶單元37是NOSRAM的一個實施方式。The memory cell 34, the memory cell 35, the memory cell 36, and the memory cell 37 using the OS transistor as the transistor M2 are an embodiment of NOSRAM.

作為電晶體M3也可以使用Si電晶體。Si電晶體的場效移動率根據用於半導體層的矽的結晶狀態等有時比OS電晶體的場效移動率高。As the transistor M3, a Si transistor can also be used. The field effect mobility of the Si transistor may be higher than that of the OS transistor depending on the crystal state of silicon used in the semiconductor layer and the like.

此外,當作為電晶體M3使用OS電晶體時,記憶單元可以由單極性電路構成。In addition, when the OS transistor is used as the transistor M3, the memory unit can be formed by a unipolar circuit.

此外,圖45A示出3個電晶體1個電容器的增益單元型記憶單元。記憶單元38包括電晶體M4、電晶體M5、電晶體M6及電容器CC。此外,電晶體M4具有前閘極及背閘極。In addition, FIG. 45A shows a gain cell type memory cell with 3 transistors and 1 capacitor. The memory unit 38 includes a transistor M4, a transistor M5, a transistor M6, and a capacitor CC. In addition, the transistor M4 has a front gate and a back gate.

電晶體M4的第一端子與電容器CC的第一端子連接,電晶體M4的第二端子與佈線BIL連接,電晶體M4的閘極與佈線WWL連接,電晶體M4的背閘極與佈線BGL電連接。電容器CC的第二端子與電晶體M5的第一端子、佈線GNDL電連接。電晶體M5的第二端子與電晶體M6的第一端子連接,電晶體M5的閘極與電容器CC的第一端子連接。電晶體M6的第二端子與佈線BIL連接,電晶體M6的閘極與佈線RWL連接。The first terminal of the transistor M4 is connected to the first terminal of the capacitor CC, the second terminal of the transistor M4 is connected to the wiring BIL, the gate of the transistor M4 is connected to the wiring WWL, and the back gate of the transistor M4 is connected to the wiring BGL. connect. The second terminal of the capacitor CC is electrically connected to the first terminal of the transistor M5 and the wiring GNDL. The second terminal of the transistor M5 is connected to the first terminal of the transistor M6, and the gate of the transistor M5 is connected to the first terminal of the capacitor CC. The second terminal of the transistor M6 is connected to the wiring BIL, and the gate of the transistor M6 is connected to the wiring RWL.

佈線BIL用作位元線,佈線WWL用作寫入字線,佈線RWL用作讀出字線。The wiring BIL is used as a bit line, the wiring WWL is used as a write word line, and the wiring RWL is used as a read word line.

佈線BGL用作對電晶體M4的背閘極施加電位的佈線。藉由對佈線BGL施加任意電位可以增減電晶體M4的臨界電壓。The wiring BGL is used as a wiring for applying a potential to the back gate of the transistor M4. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M4 can be increased or decreased.

佈線GNDL是供應低位準電位的佈線。The wiring GNDL is a wiring for supplying a low-level potential.

資料的寫入藉由對佈線WWL施加高位準電位使電晶體M4變為導通狀態以使佈線BIL與電容器CC的第一端子連接來進行。明確地說,在電晶體M4為導通狀態時,對佈線BIL施加對應於要記錄的資訊的電位來對電容器CC的第一端子及電晶體M5的閘極寫入該電位。然後,對佈線WWL施加低位準電位使電晶體M4變為非導通狀態,由此儲存電容器CC的第一端子的電位及電晶體M5的閘極的電位。The writing of data is performed by applying a high-level potential to the wiring WWL to turn the transistor M4 into a conductive state so that the wiring BIL is connected to the first terminal of the capacitor CC. Specifically, when the transistor M4 is in the conductive state, a potential corresponding to the information to be recorded is applied to the wiring BIL to write the potential to the first terminal of the capacitor CC and the gate of the transistor M5. Then, a low-level potential is applied to the wiring WWL to turn the transistor M4 into a non-conducting state, thereby storing the potential of the first terminal of the capacitor CC and the potential of the gate of the transistor M5.

資料的讀出藉由將佈線BIL預充電至預定的電位之後使佈線BIL變為電浮動狀態並對佈線RWL施加高位準電位來進行。藉由使佈線RWL變為高位準電位,電晶體M6變為導通狀態,佈線BIL與電晶體M5的第二端子變為電連接狀態。此時,電晶體M5的第二端子被施加佈線BIL的電位,但是電晶體M5的第二端子的電位及佈線BIL的電位會對應電容器CC的第一端子(或電晶體M5的閘極)所保持的電位改變。這裡,可以藉由讀出佈線BIL的電位來讀出電容器CC的第一端子(或電晶體M5的閘極)所保持的電位。也就是說,可以從電容器CC的第一端子(或電晶體M5的閘極)所保持的電位讀出被寫入該記憶單元的資訊。The reading of data is performed by precharging the wiring BIL to a predetermined potential and then turning the wiring BIL into an electrically floating state and applying a high-level potential to the wiring RWL. By changing the wiring RWL to a high-level potential, the transistor M6 becomes conductive, and the wiring BIL and the second terminal of the transistor M5 become electrically connected. At this time, the potential of the wiring BIL is applied to the second terminal of the transistor M5, but the potential of the second terminal of the transistor M5 and the potential of the wiring BIL will correspond to the first terminal of the capacitor CC (or the gate of the transistor M5). The maintained potential changes. Here, the potential held by the first terminal of the capacitor CC (or the gate of the transistor M5) can be read by reading the potential of the wiring BIL. In other words, the information written into the memory cell can be read from the potential held by the first terminal of the capacitor CC (or the gate of the transistor M5).

此外,可用於記憶單元30的記憶單元可以適當地改變電路結構。例如,像圖44B所示的記憶單元32的電晶體M1及圖44E所示的記憶單元35的電晶體M2那樣,在記憶單元38中,電晶體M4的背閘極與佈線WOL連接而不與佈線BGL連接。藉由採用該結構,可以將與電晶體M4的閘極相同的電位施加到電晶體M4的背閘極,由此當電晶體M4為導通狀態時可以增加流過電晶體M4的電流。此外,例如,像圖44C所示的記憶單元33的電晶體M1及圖44F所示的記憶單元36的電晶體M2那樣,記憶單元38的電晶體M4也可以不具有背閘極。藉由採用這種結構,因電晶體M4不具有背閘極而可以縮短記憶單元的製程。In addition, the circuit structure of the memory unit that can be used for the memory unit 30 can be appropriately changed. For example, like the transistor M1 of the memory cell 32 shown in FIG. 44B and the transistor M2 of the memory cell 35 shown in FIG. 44E, in the memory cell 38, the back gate of the transistor M4 is connected to the wiring WOL instead of Wire the BGL connection. By adopting this structure, the same potential as the gate of the transistor M4 can be applied to the back gate of the transistor M4, thereby increasing the current flowing through the transistor M4 when the transistor M4 is in a conducting state. In addition, for example, like the transistor M1 of the memory cell 33 shown in FIG. 44C and the transistor M2 of the memory cell 36 shown in FIG. 44F, the transistor M4 of the memory cell 38 may not have a back gate. By adopting this structure, since the transistor M4 does not have a back gate, the manufacturing process of the memory cell can be shortened.

電晶體M4至電晶體M6較佳為使用OS電晶體。OS電晶體具有關態電流極小的特性,由此藉由作為電晶體M4至電晶體M6使用OS電晶體,可以使電晶體M4至電晶體M6的洩漏電流變得非常低。尤其是,可以利用電晶體M4長時間地保持寫入資料,由此可以降低記憶單元的更新頻率。此外,可以省略記憶單元的更新工作。Transistors M4 to M6 preferably use OS transistors. The OS transistor has the characteristic of very small off-state current. Therefore, by using the OS transistor as the transistor M4 to the transistor M6, the leakage current from the transistor M4 to the transistor M6 can be very low. In particular, the transistor M4 can be used to keep the written data for a long time, thereby reducing the update frequency of the memory cell. In addition, the updating of the memory unit can be omitted.

本實施方式中說明的電晶體M5及M6也可以使用Si電晶體。如上所述,Si電晶體的場效移動率根據用於半導體層的矽的結晶狀態等有時比OS電晶體的場效移動率高。The transistors M5 and M6 described in this embodiment may also use Si transistors. As described above, the field effect mobility of the Si transistor may be higher than that of the OS transistor depending on the crystal state of silicon used in the semiconductor layer and the like.

此外,當作為電晶體M5及M6使用OS電晶體時,記憶單元可以由單極性電路構成。In addition, when OS transistors are used as transistors M5 and M6, the memory unit can be constituted by a unipolar circuit.

[OS-SRAM] 圖45B示出使用OS電晶體的SRAM(Static Random Access Memory:靜態隨機存取記憶體)的一個例子。在本說明書等中,將使用OS電晶體的SRAM稱為OS-SRAM(Oxide Semiconductor-SRAM)。此外,圖45B所示的記憶單元39是能夠進行備份的SRAM型的記憶單元。[OS-SRAM] FIG. 45B shows an example of SRAM (Static Random Access Memory) using OS transistors. In this specification and the like, SRAM using OS transistors is referred to as OS-SRAM (Oxide Semiconductor-SRAM). In addition, the memory unit 39 shown in FIG. 45B is an SRAM type memory unit capable of backup.

記憶單元39包括電晶體M7至電晶體M10、電晶體MS1至電晶體MS4、電容器CD1和電容器CD2。電晶體M7至電晶體M10具有前閘極及背閘極。電晶體MS1及電晶體MS2是p通道型電晶體,電晶體MS3及電晶體MS4是n通道型電晶體。The memory unit 39 includes transistors M7 to M10, transistors MS1 to MS4, capacitor CD1 and capacitor CD2. The transistor M7 to the transistor M10 have a front gate and a back gate. The transistor MS1 and the transistor MS2 are p-channel type transistors, and the transistor MS3 and the transistor MS4 are n-channel type transistors.

電晶體M7的第一端子與佈線BIL連接,電晶體M7的第二端子與電晶體MS1的第一端子、電晶體MS3的第一端子、電晶體MS2的閘極、電晶體MS4的閘極及電晶體M10的第一端子連接。電晶體M7的閘極與佈線WOL連接,電晶體M7的背閘極與佈線BGL1連接。電晶體M8的第一端子與佈線BILB連接,電晶體M8的第二端子與電晶體MS2的第一端子、電晶體MS4的第一端子、電晶體MS1的閘極、電晶體MS3的閘極及電晶體M9的第一端子連接。電晶體M8的閘極與佈線WOL連接,電晶體M8的背閘極與佈線BGL2連接。The first terminal of the transistor M7 is connected to the wiring BIL, the second terminal of the transistor M7 is connected to the first terminal of the transistor MS1, the first terminal of the transistor MS3, the gate of the transistor MS2, the gate of the transistor MS4 and The first terminal of the transistor M10 is connected. The gate of the transistor M7 is connected to the wiring WOL, and the back gate of the transistor M7 is connected to the wiring BGL1. The first terminal of the transistor M8 is connected to the wiring BILB, the second terminal of the transistor M8 is connected to the first terminal of the transistor MS2, the first terminal of the transistor MS4, the gate of the transistor MS1, the gate of the transistor MS3 and The first terminal of the transistor M9 is connected. The gate of the transistor M8 is connected to the wiring WOL, and the back gate of the transistor M8 is connected to the wiring BGL2.

電晶體MS1的第二端子與佈線VDL電連接。電晶體MS2的第二端子與佈線VDL電連接。電晶體MS3的第二端子與佈線GNDL電連接。電晶體MS4的第二端子與佈線GNDL電連接。The second terminal of the transistor MS1 is electrically connected to the wiring VDL. The second terminal of the transistor MS2 is electrically connected to the wiring VDL. The second terminal of the transistor MS3 is electrically connected to the wiring GNDL. The second terminal of the transistor MS4 is electrically connected to the wiring GNDL.

電晶體M9的第二端子與電容器CD1的第一端子連接,電晶體M9的閘極與佈線BRL連接,電晶體M9的背閘極與佈線BGL3連接。電晶體M10的第二端子與電容器CD2的第一端子連接,電晶體M10的閘極與佈線BRL連接,電晶體M10的背閘極與佈線BGL4連接。The second terminal of the transistor M9 is connected to the first terminal of the capacitor CD1, the gate of the transistor M9 is connected to the wiring BRL, and the back gate of the transistor M9 is connected to the wiring BGL3. The second terminal of the transistor M10 is connected to the first terminal of the capacitor CD2, the gate of the transistor M10 is connected to the wiring BRL, and the back gate of the transistor M10 is connected to the wiring BGL4.

電容器CD1的第二端子與佈線GNDL連接,電容器CD2的第二端子與佈線GNDL連接。The second terminal of the capacitor CD1 is connected to the wiring GNDL, and the second terminal of the capacitor CD2 is connected to the wiring GNDL.

佈線BIL及佈線BILB用作位元線,佈線WOL用作字線,佈線BRL是用來控制電晶體M9及電晶體M10的導通狀態、非導通狀態的佈線。The wiring BIL and the wiring BILB are used as bit lines, the wiring WOL is used as a word line, and the wiring BRL is a wiring used to control the conduction state and the non-conduction state of the transistor M9 and the transistor M10.

佈線BGL1至佈線BGL4分別用作對電晶體M7至電晶體M10的背閘極施加電位的佈線。藉由對佈線BGL1至佈線BGL4施加任意的電位可以分別增減電晶體M7至電晶體M10的臨界電壓。The wirings BGL1 to BGL4 serve as wirings for applying potentials to the back gates of the transistors M7 to M10, respectively. By applying arbitrary potentials to the wirings BGL1 to BGL4, the threshold voltages of the transistors M7 to M10 can be increased or decreased, respectively.

佈線VDL是提供高位準電位的佈線,佈線GNDL是提供低位準電位的佈線。The wiring VDL is a wiring that provides a high-level potential, and the wiring GNDL is a wiring that provides a low-level potential.

資料的寫入藉由對佈線WOL施加高位準電位並對佈線BRL施加高位準電位來進行。明確地說,在電晶體M10變為導通狀態時,對佈線BIL施加對應於要記錄的資訊的電位,使該電位寫入電晶體M10的第二端子一側。The writing of data is performed by applying a high-level potential to the wiring WOL and applying a high-level potential to the wiring BRL. Specifically, when the transistor M10 is turned on, a potential corresponding to the information to be recorded is applied to the wiring BIL, and the potential is written to the second terminal side of the transistor M10.

記憶單元39利用電晶體MS1至電晶體MS2構成反相器環路,所以對應於該電位的資料信號的反相信號被輸入電晶體M8的第二端子一側。由於電晶體M8為導通狀態,所以施加到佈線BIL的電位,亦即,被輸入佈線BIL的信號的反相信號輸出至佈線BILB。此外,由於電晶體M9及電晶體M10為導通狀態,電晶體M7的第二端子的電位及電晶體M8的第二端子的電位分別由電容器CD2的第一端子及電容器CD1的第一端子保持。然後,藉由對佈線WOL施加低位準電位並對佈線BRL施加低位準電位使電晶體M7至電晶體M10變為非導通狀態,來儲存電容器CD1的第一端子的電位及電容器CD2的第一端子的電位。The memory unit 39 uses the transistor MS1 to the transistor MS2 to form an inverter loop, so the inverted signal of the data signal corresponding to the potential is input to the second terminal side of the transistor M8. Since the transistor M8 is in the ON state, the potential applied to the wiring BIL, that is, the inverted signal of the signal input to the wiring BIL is output to the wiring BILB. In addition, since the transistor M9 and the transistor M10 are in the conductive state, the potential of the second terminal of the transistor M7 and the potential of the second terminal of the transistor M8 are held by the first terminal of the capacitor CD2 and the first terminal of the capacitor CD1, respectively. Then, by applying a low-level potential to the wiring WOL and a low-level potential to the wiring BRL to make the transistors M7 to M10 non-conductive, the potential of the first terminal of the capacitor CD1 and the first terminal of the capacitor CD2 are stored The potential.

資料的讀出藉由如下方法進行:首先將佈線BIL及佈線BILB預充電至預定的電位後對佈線WOL施加高位準電位並對佈線BRL施加高位準電位,由此電容器CD1的第一端子的電位被記憶單元39的反相器環路更新而輸出至佈線BILB。此外,電容器CD2的第一端子的電位被記憶單元39的反相器環路更新而輸出至佈線BIL。由於佈線BIL及佈線BILB分別從預充電的電位變為電容器CD2的第一端子的電位及電容器CD1的第一端子的電位,所以可以從佈線BIL或佈線BILB的電位讀出記憶單元所保持電位。The data is read by the following method: firstly, the wiring BIL and the wiring BILB are precharged to a predetermined potential, and then a high-level potential is applied to the wiring WOL and a high-level potential is applied to the wiring BRL, thereby the potential of the first terminal of the capacitor CD1 It is updated by the inverter loop of the memory unit 39 and output to the wiring BILB. In addition, the potential of the first terminal of the capacitor CD2 is updated by the inverter loop of the memory unit 39 and output to the wiring BIL. Since the wiring BIL and the wiring BILB change from the precharged potential to the potential of the first terminal of the capacitor CD2 and the potential of the first terminal of the capacitor CD1, respectively, the potential held by the memory cell can be read from the potential of the wiring BIL or the wiring BILB.

電晶體M7至電晶體M10較佳為使用OS電晶體。尤其是,電晶體M7至電晶體M10的通道形成區域較佳為包括含有銦、鎵、鋅的氧化物半導體。含有銦、鎵、鋅的氧化物半導體的OS電晶體具有關態電流極小的特性,由此藉由作為電晶體M7至電晶體M10使用OS電晶體,可以使電晶體M7至電晶體M10的洩漏電流變得非常低。尤其是,可以利用電晶體M7至電晶體M10長時間地保持寫入資料,由此可以降低記憶單元的更新頻率。此外,可以省略記憶單元的更新工作。Transistors M7 to M10 preferably use OS transistors. In particular, the channel formation region of the transistor M7 to the transistor M10 preferably includes an oxide semiconductor containing indium, gallium, and zinc. OS transistors containing indium, gallium, and zinc oxide semiconductors have extremely small off-state currents. Therefore, by using OS transistors as transistors M7 to M10, the leakage of transistors M7 to M10 can be achieved. The current becomes very low. In particular, the transistor M7 to the transistor M10 can be used to maintain the written data for a long time, thereby reducing the update frequency of the memory cell. In addition, the updating of the memory unit can be omitted.

此外,作為電晶體MS1至電晶體MS4較佳為使用Si電晶體。In addition, it is preferable to use Si transistors as the transistors MS1 to MS4.

藉由使用記憶體串200作為記憶單元30,可以將半導體裝置400用作NAND型記憶體裝置。此外,藉由使用記憶單元31至記憶單元39作為記憶單元30,可以將半導體裝置400用作NOR型記憶體裝置。By using the memory string 200 as the memory cell 30, the semiconductor device 400 can be used as a NAND-type memory device. In addition, by using the memory cell 31 to the memory cell 39 as the memory cell 30, the semiconductor device 400 can be used as a NOR type memory device.

半導體裝置400所具有的驅動電路410及記憶體陣列420設置在同一平面上。此外,如圖46A所示,驅動電路410與記憶體陣列420也可以重疊。藉由使驅動電路410與記憶體陣列420重疊,可以縮短信號傳輸距離。如圖46B所示,也可以在驅動電路410上層疊多個記憶體陣列420。The driving circuit 410 and the memory array 420 included in the semiconductor device 400 are arranged on the same plane. In addition, as shown in FIG. 46A, the driving circuit 410 and the memory array 420 may also overlap. By overlapping the driving circuit 410 and the memory array 420, the signal transmission distance can be shortened. As shown in FIG. 46B, a plurality of memory arrays 420 may also be stacked on the driving circuit 410.

此外,如圖46C所示,也可以在驅動電路410的上層和下層中設置記憶體陣列420。圖46C示出在驅動電路410的上層和下層中分別設置一層記憶體陣列420的例子。藉由以多個記憶體陣列420夾持驅動電路410,可以進一步縮短信號傳輸距離。此外,層疊在驅動電路410的上層中的記憶體陣列420和層疊在驅動電路410的下層中的記憶體陣列420的層數都是一層以上即可。層疊在驅動電路410的上層中的記憶體陣列420個數和層疊在驅動電路410的下層中的記憶體陣列420個數較佳為相等。In addition, as shown in FIG. 46C, a memory array 420 may also be provided in the upper and lower layers of the driving circuit 410. FIG. 46C shows an example in which one layer of the memory array 420 is provided in the upper layer and the lower layer of the driving circuit 410, respectively. By sandwiching the driving circuit 410 with a plurality of memory arrays 420, the signal transmission distance can be further shortened. In addition, the number of layers of the memory array 420 stacked in the upper layer of the driving circuit 410 and the memory array 420 stacked in the lower layer of the driving circuit 410 may be more than one layer. The number of memory arrays 420 stacked in the upper layer of the driving circuit 410 and the number of memory arrays 420 stacked in the lower layer of the driving circuit 410 are preferably equal.

本實施方式可以與其他本實施方式等所示的結構適當地組合而實施。This embodiment mode can be implemented in appropriate combination with other structures shown in this embodiment mode and the like.

實施方式4 本實施方式示出形成上述實施方式所示的半導體裝置等的半導體晶圓及組裝有該半導體裝置的電子構件的一個例子。Embodiment 4 This embodiment mode shows an example of a semiconductor wafer on which the semiconductor device and the like described in the above embodiment mode are formed and an electronic component in which the semiconductor device is assembled.

<半導體晶圓> 首先,使用圖47A說明形成有半導體裝置等的半導體晶圓的例子。<Semiconductor wafers> First, an example of a semiconductor wafer on which a semiconductor device and the like are formed will be described using FIG. 47A.

圖47A所示的半導體晶圓4800包括晶圓4801及設置在晶圓4801的頂面的多個電路部4802。在晶圓4801的頂面上沒設置有電路部4802的部分相當於空隙4803,其為用於切割的區域。The semiconductor wafer 4800 shown in FIG. 47A includes a wafer 4801 and a plurality of circuit portions 4802 provided on the top surface of the wafer 4801. The portion where the circuit portion 4802 is not provided on the top surface of the wafer 4801 corresponds to the gap 4803, which is an area for dicing.

半導體晶圓4800可以藉由在前製程中在晶圓4801的表面上形成多個電路部4802來製造。此外,也可以之後對晶圓4801的形成有多個電路部4802的面的背面進行拋光來減薄晶圓4801。藉由上述製程,可以減少晶圓4801翹曲等而實現構件的小型化。The semiconductor wafer 4800 can be manufactured by forming a plurality of circuit portions 4802 on the surface of the wafer 4801 in the previous process. In addition, the back surface of the surface of the wafer 4801 on which the plurality of circuit portions 4802 are formed may be polished later to thin the wafer 4801. Through the above process, the warpage of the wafer 4801 can be reduced and the miniaturization of the components can be achieved.

下面進行切割製程。沿點劃線所示的劃分線SCL1及劃分線SCL2(有時稱為切割線或截斷線)進行切割。為了容易進行切割製程,較佳為以多個劃分線SCL1平行,多個劃分線SCL2平行,且劃分線SCL1與劃分線SCL2垂直的方式設置空隙4803。Next, the cutting process will be carried out. The cutting is performed along the dividing line SCL1 and the dividing line SCL2 (sometimes referred to as a cutting line or a cutting line) shown by the dashed-dotted line. In order to facilitate the cutting process, it is preferable to provide the gap 4803 in such a manner that the plurality of dividing lines SCL1 are parallel, the plurality of dividing lines SCL2 are parallel, and the dividing line SCL1 is perpendicular to the dividing line SCL2.

藉由進行切割製程,可以從半導體晶圓4800切割出圖47B所示的晶片4800a。晶片4800a包括晶圓4801a、電路部4802以及空隙4803a。此外,空隙4803a較佳為儘可能小。在此情況下,相鄰的電路部4802之間的空隙4803的寬度只要與劃分線SCL1的劃分用部及劃分線SCL2的劃分用部大致相等即可。Through the dicing process, the semiconductor wafer 4800 can be diced out of the wafer 4800a shown in FIG. 47B. The chip 4800a includes a wafer 4801a, a circuit portion 4802, and a gap 4803a. In addition, the gap 4803a is preferably as small as possible. In this case, the width of the gap 4803 between the adjacent circuit portions 4802 may be substantially equal to the dividing portion of the dividing line SCL1 and the dividing portion of the dividing line SCL2.

此外,本發明的一個實施方式的元件基板的形狀不侷限於圖47A所示的半導體晶圓4800的形狀。例如,可以為矩形形狀的半導體晶圓。此外,可以根據元件的製程及製造用設備適當地改變元件基板的形狀。In addition, the shape of the element substrate of one embodiment of the present invention is not limited to the shape of the semiconductor wafer 4800 shown in FIG. 47A. For example, it may be a rectangular semiconductor wafer. In addition, the shape of the component substrate can be appropriately changed according to the manufacturing process and manufacturing equipment of the component.

<電子構件> 圖47C示出電子構件4700及安裝有電子構件4700的基板(安裝基板4704)的立體圖。圖47C所示的電子構件4700在模子4711中包括晶片4800a。作為電路部4802可以使用上述實施方式所說明的半導體裝置。<Electronic components> FIG. 47C shows a perspective view of the electronic component 4700 and the substrate (mounting substrate 4704) on which the electronic component 4700 is mounted. The electronic component 4700 shown in FIG. 47C includes a wafer 4800a in a mold 4711. As the circuit portion 4802, the semiconductor device described in the above-mentioned embodiment can be used.

在圖47C中,省略電子構件4700的一部分以表示其內部。電子構件4700在模子4711的外側包括連接盤(land)4712。連接盤4712與電極焊盤4713電連接,電極焊盤4713藉由引線4714與晶片4800a電連接。電子構件4700例如安裝於印刷電路板4702。藉由組合多個該電子構件並使其分別在印刷電路板4702上電連接,由此完成安裝基板4704。In FIG. 47C, a part of the electronic component 4700 is omitted to show the inside thereof. The electronic component 4700 includes a land 4712 on the outside of the mold 4711. The land 4712 is electrically connected to the electrode pad 4713, and the electrode pad 4713 is electrically connected to the chip 4800a through the lead 4714. The electronic component 4700 is mounted on a printed circuit board 4702, for example. By combining a plurality of the electronic components and electrically connecting them on the printed circuit board 4702, the mounting substrate 4704 is completed.

圖47D示出電子構件4730的立體圖。電子構件4730是SiP(System in package:系統封裝)或MCM(Multi Chip Module:多晶片封裝)的一個例子。在電子構件4730中,封裝基板4732(印刷電路板)上設置有插板(interposer)4731,插板4731上設置有半導體裝置4735及多個半導體裝置4710。FIG. 47D shows a perspective view of the electronic component 4730. FIG. The electronic component 4730 is an example of SiP (System in package) or MCM (Multi Chip Module). In the electronic component 4730, an interposer 4731 is provided on a packaging substrate 4732 (printed circuit board), and a semiconductor device 4735 and a plurality of semiconductor devices 4710 are provided on the interposer 4731.

半導體裝置4710例如可以使用晶片4800a、在上述實施方式中說明的半導體裝置、寬頻記憶體(HBM:High Bandwidth Memory:高寬頻記憶體)等。此外,半導體裝置4735可以使用CPU、GPU、FPGA、記憶體裝置等積體電路(半導體裝置)。As the semiconductor device 4710, for example, the chip 4800a, the semiconductor device described in the above embodiment, a broadband memory (HBM: High Bandwidth Memory), etc. can be used. In addition, the semiconductor device 4735 can use an integrated circuit (semiconductor device) such as a CPU, GPU, FPGA, or memory device.

封裝基板4732可以使用陶瓷基板、塑膠基板或玻璃環氧基板等。插板4731可以使用矽插板、樹脂插板等。The packaging substrate 4732 may use a ceramic substrate, a plastic substrate, a glass epoxy substrate, or the like. For the board 4731, a silicon board, a resin board, etc. can be used.

插板4731具有多個佈線且具有與端子間距不同的多個積體電路電連接的功能。多個佈線由單層或多層構成。此外,插板4731具有將設置於插板4731上的積體電路與設置於封裝基板4732上的電極電連接的功能。因此,有時也將插板稱為“重佈線基板(rewiring substrate)”或“中間基板”。此外,有時藉由在插板4731中設置貫通電極,藉由該貫通電極使積體電路與封裝基板4732電連接。此外,在使用矽插板的情況下,也可以使用TSV(Through Silicon Via:矽通孔)作為貫通電極。The interposer 4731 has a plurality of wirings and has a function of electrically connecting to a plurality of integrated circuits having different terminal pitches. The multiple wirings are composed of a single layer or multiple layers. In addition, the interposer 4731 has a function of electrically connecting the integrated circuit provided on the interposer 4731 and the electrodes provided on the package substrate 4732. Therefore, the interposer is sometimes referred to as "rewiring substrate" or "intermediate substrate". In addition, by providing a through electrode in the interposer 4731, the integrated circuit and the package substrate 4732 are electrically connected by the through electrode. In addition, in the case of using a silicon board, TSV (Through Silicon Via) can also be used as a through electrode.

作為插板4731較佳為使用矽插板。由於矽插板不需要設置主動元件,所以可以以比積體電路更低的成本製造。矽插板的佈線形成可以在半導體製程中進行,樹脂插板更易於形成微細的佈線。As the interposer 4731, a silicon interposer is preferably used. Since the silicon board does not need to be equipped with active components, it can be manufactured at a lower cost than an integrated circuit. The wiring formation of the silicon interposer can be carried out in the semiconductor manufacturing process, and the resin interposer is easier to form fine wiring.

在HBM中,為了實現寬記憶體頻寬需要連接許多佈線。為此,要求安裝HBM的插板上能夠高密度地形成微細的佈線。因此,作為安裝HBM的插板較佳為使用矽插板。In HBM, in order to achieve a wide memory bandwidth, many wires need to be connected. For this reason, it is required to form fine wiring with high density on the board on which the HBM is mounted. Therefore, it is preferable to use a silicon board as the board for mounting the HBM.

此外,在使用矽插板的SiP或MCM等中,不容易發生因積體電路與插板間的膨脹係數的不同而導致的可靠性下降。此外,由於矽插板的表面平坦性高,所以設置在矽插板上的積體電路與矽插板間不容易產生連接不良。尤其較佳為將矽插板用於2.5D封裝(2.5D安裝),其中多個積體電路橫著排放並配置於插板上。In addition, in SiP or MCM using silicon interposers, it is not easy to cause reliability degradation due to the difference in expansion coefficient between the integrated circuit and the interposer. In addition, due to the high flatness of the surface of the silicon plug-in board, it is not easy to cause poor connection between the integrated circuit provided on the silicon plug-in board and the silicon plug-in board. It is particularly preferable to use a silicon interposer for 2.5D packaging (2.5D mounting), in which a plurality of integrated circuits are arranged sideways and arranged on the interposer.

此外,也可以與電子構件4730重疊地設置散熱器(散熱板)。在設置散熱器的情況下,較佳為設置於插板4731上的積體電路的高度一致。例如,在本實施方式所示的電子構件4730中,較佳為使半導體裝置4710與半導體裝置4735的高度一致。In addition, a heat sink (heat sink) may be provided so as to overlap with the electronic component 4730. In the case of providing a heat sink, it is preferable that the height of the integrated circuit provided on the plug-in board 4731 is the same. For example, in the electronic component 4730 shown in this embodiment mode, it is preferable to make the height of the semiconductor device 4710 and the semiconductor device 4735 match.

為了將電子構件4730安裝在其他的基板上,可以在封裝基板4732的底部設置電極4733。圖47D示出用焊球形成電極4733的例子。藉由在封裝基板4732的底部以矩陣狀設置焊球,可以實現BGA(Ball Grid Array:球柵陣列)安裝。此外,電極4733也可以使用導電針形成。藉由在封裝基板4732的底部以矩陣狀設置導電針,可以實現PGA(Pin Grid Array:針柵陣列)安裝。In order to mount the electronic component 4730 on another substrate, an electrode 4733 may be provided on the bottom of the packaging substrate 4732. FIG. 47D shows an example in which the electrode 4733 is formed with solder balls. By arranging solder balls in a matrix on the bottom of the package substrate 4732, BGA (Ball Grid Array) mounting can be realized. In addition, the electrode 4733 may also be formed using conductive needles. By arranging conductive pins in a matrix on the bottom of the package substrate 4732, PGA (Pin Grid Array) mounting can be realized.

電子構件4730可以藉由各種安裝方式安裝在其他基板上,而不侷限於BGA及PGA。例如,可以採用SPGA(Staggered Pin Grid Array:交錯針柵陣列)、LGA(Land Grid Array:地柵陣列)、QFP(Quad Flat Package:四面扁平封裝)、QFJ(Quad Flat J-leaded package:四側J形引腳扁平封裝)或QFN(Quad Flat Non-leaded package:四側無引腳扁平封裝)等安裝方法。The electronic component 4730 can be mounted on other substrates by various mounting methods, not limited to BGA and PGA. For example, SPGA (Staggered Pin Grid Array: Staggered Pin Grid Array), LGA (Land Grid Array: Ground Grid Array), QFP (Quad Flat Package), QFJ (Quad Flat J-leaded package), QFJ (Quad Flat J-leaded package) can be used J-pin flat package) or QFN (Quad Flat Non-leaded package: four-side no-lead flat package) and other mounting methods.

本實施方式可以與本說明書所示的其他實施方式適當地組合。This embodiment mode can be appropriately combined with other embodiment modes shown in this specification.

實施方式5 在本實施方式中,說明可以具備上述實施方式所示的記憶體裝置等半導體裝置的運算處理裝置的一個例子。Embodiment 5 In this embodiment, an example of an arithmetic processing device that can include a semiconductor device such as the memory device described in the above embodiment will be described.

圖48是中央處理器1100的結構例子的方塊圖。圖48示出CPU的結構例子作為可以用於中央處理器1100的結構例子。FIG. 48 is a block diagram of a configuration example of the central processing unit 1100. FIG. 48 shows a configuration example of a CPU as a configuration example that can be used for the central processing unit 1100.

圖48所示的中央處理器1100在基板1190上具有:ALU1191(ALU:Arithmetic logic unit:算術邏輯單元)、ALU控制器1192、指令解碼器1193、中斷控制器1194、時序控制器1195、暫存器1196、暫存器控制器1197、匯流排介面1198、緩存1199以及緩存介面1189。作為基板1190使用半導體基板、SOI基板、玻璃基板等。還可以包括能夠改寫的ROM及ROM介面。緩存1199及緩存介面1189也可以設置在不同的晶片上。The central processing unit 1100 shown in FIG. 48 has on the substrate 1190: ALU 1191 (ALU: Arithmetic logic unit), ALU controller 1192, instruction decoder 1193, interrupt controller 1194, timing controller 1195, temporary storage The device 1196, the register controller 1197, the bus interface 1198, the cache 1199, and the cache interface 1189. As the substrate 1190, a semiconductor substrate, an SOI substrate, a glass substrate, or the like is used. Can also include rewritable ROM and ROM interface. The cache 1199 and the cache interface 1189 can also be provided on different chips.

緩存1199藉由緩存介面1189與設置在不同晶片上的主記憶體連接。緩存介面1189具有將儲存在主記憶體中的資料的一部分供應到緩存1199的功能。緩存1199具有儲存該資料的功能。The cache 1199 is connected to a main memory provided on a different chip through a cache interface 1189. The cache interface 1189 has a function of supplying part of the data stored in the main memory to the cache 1199. The cache 1199 has the function of storing the data.

當然,圖48所示的中央處理器1100只是簡化其結構而所示的一個例子而已,所以實際上的中央處理器1100根據其用途具有各種各樣的結構。例如,也可以以包括圖48所示的中央處理器1100或運算電路的結構為核心,設置多個該核心並使其同時工作,亦即像GPU那樣工作。此外,在中央處理器1100的內部運算電路或資料匯流排中能夠處理的位元數例如可以為8位元、16位元、32位元、64位元等。Of course, the central processing unit 1100 shown in FIG. 48 is only an example shown by simplifying its structure. Therefore, the actual central processing unit 1100 has various structures according to its use. For example, a structure including the central processing unit 1100 or arithmetic circuit shown in FIG. 48 may be used as the core, and multiple cores may be provided and operated at the same time, that is, they may work like a GPU. In addition, the number of bits that can be processed in the internal arithmetic circuit or data bus of the central processing unit 1100 can be, for example, 8-bit, 16-bit, 32-bit, 64-bit, etc.

藉由匯流排介面1198輸入到中央處理器1100的指令在輸入到指令解碼器1193並被解碼後輸入到ALU控制器1192、中斷控制器1194、暫存器控制器1197、時序控制器1195。The instructions input to the central processing unit 1100 through the bus interface 1198 are input to the instruction decoder 1193 and decoded and then input to the ALU controller 1192, the interrupt controller 1194, the register controller 1197, and the timing controller 1195.

ALU控制器1192、中斷控制器1194、暫存器控制器1197、時序控制器1195根據被解碼的指令進行各種控制。明確而言,ALU控制器1192生成用來控制ALU1191的工作的信號。此外,中斷控制器1194在執行中央處理器1100的程式時,根據其優先度或遮罩狀態來判斷來自外部的輸入/輸出裝置或週邊電路的中斷要求而對該要求進行處理。暫存器控制器1197生成暫存器1196的位址,並對應於中央處理器1100的狀態來進行暫存器1196的讀出或寫入。The ALU controller 1192, the interrupt controller 1194, the register controller 1197, and the timing controller 1195 perform various controls according to the decoded instructions. Specifically, the ALU controller 1192 generates a signal for controlling the operation of the ALU 1191. In addition, when the interrupt controller 1194 executes the program of the central processing unit 1100, it judges the interrupt request from the external input/output device or peripheral circuit according to its priority or mask status and processes the request. The register controller 1197 generates the address of the register 1196, and reads or writes the register 1196 according to the state of the central processing unit 1100.

此外,時序控制器1195生成用來控制ALU1191、ALU控制器1192、指令解碼器1193、中斷控制器1194以及暫存器控制器1197的工作時序的信號。例如,時序控制器1195具有根據基準時脈信號來生成內部時脈信號的內部時脈生成器,並將內部時脈信號供應到上述各種電路。In addition, the timing controller 1195 generates signals for controlling the operation timing of the ALU 1191, the ALU controller 1192, the command decoder 1193, the interrupt controller 1194, and the register controller 1197. For example, the timing controller 1195 has an internal clock generator that generates an internal clock signal based on a reference clock signal, and supplies the internal clock signal to the various circuits described above.

在圖48所示的中央處理器1100中,在暫存器1196及緩存1199中設置有記憶體裝置。作為該記憶體裝置,可以使用上述實施方式所示的記憶體裝置等。In the central processing unit 1100 shown in FIG. 48, a memory device is provided in the register 1196 and the cache 1199. As the memory device, the memory device described in the above-mentioned embodiment or the like can be used.

在圖48所示的中央處理器1100中,暫存器控制器1197根據ALU1191的指令進行暫存器1196中的保持工作的選擇。換言之,暫存器控制器1197選擇在暫存器1196所具有的記憶單元中由正反器保持資料還是由電容器保持資料。在選擇由正反器保持資料的情況下,對暫存器1196中的記憶單元供應電源電壓。在選擇由電容器保持資料的情況下,對電容器進行資料的重寫,而可以停止對暫存器1196中的記憶單元供應電源電壓。In the central processing unit 1100 shown in FIG. 48, the register controller 1197 selects the holding operation in the register 1196 according to the instruction of the ALU 1191. In other words, the register controller 1197 selects whether the data is held by the flip-flop or the capacitor in the memory unit of the register 1196. In the case where the data is retained by the flip-flop, the power supply voltage is supplied to the memory cell in the register 1196. In the case of selecting the capacitor to hold the data, the capacitor is rewritten to the data, and the power supply voltage to the memory cell in the register 1196 can be stopped.

上述實施方式所示的半導體裝置400與中央處理器1100可以重疊。圖49A及圖49B是半導體裝置1150A的立體圖。半導體裝置1150A在中央處理器1100上包括用作記憶體裝置的半導體裝置400。中央處理器1100與半導體裝置400包括彼此重疊的區域。為了容易理解半導體裝置1150A的結構,圖49B分別示出中央處理器1100和半導體裝置400。The semiconductor device 400 and the central processing unit 1100 shown in the above embodiments may overlap. 49A and 49B are perspective views of the semiconductor device 1150A. The semiconductor device 1150A includes a semiconductor device 400 used as a memory device on the central processing unit 1100. The central processing unit 1100 and the semiconductor device 400 include regions overlapping each other. In order to easily understand the structure of the semiconductor device 1150A, FIG. 49B shows the central processing unit 1100 and the semiconductor device 400 respectively.

藉由使半導體裝置400與中央處理器1100重疊,可以縮短兩者之間的連接距離。由此,可以提高兩者之間的通訊速度。此外,因為連接距離較短,所以可以降低功耗。By overlapping the semiconductor device 400 and the central processing unit 1100, the connection distance between the two can be shortened. As a result, the communication speed between the two can be improved. In addition, because the connection distance is short, power consumption can be reduced.

如上述實施方式所示,藉由將OS NAND型記憶體裝置用於半導體裝置400,可以將半導體裝置400所具有的多個記憶單元30的一部或全部用作RAM。因此,半導體裝置400可以用作主記憶體。用作主記憶體的半導體裝置400藉由緩存介面1189與緩存1199連接。As shown in the above embodiment, by using an OS NAND type memory device for the semiconductor device 400, part or all of the plurality of memory cells 30 included in the semiconductor device 400 can be used as RAM. Therefore, the semiconductor device 400 can be used as a main memory. The semiconductor device 400 used as the main memory is connected to the cache 1199 through the cache interface 1189.

半導體裝置400用作主記憶體(RAM)還是用作暫存器取決於圖43所示的控制電路412。控制電路412可以根據從中央處理器1100被供應的信號而將半導體裝置400含有的多個記憶單元30的一部用作RAM。Whether the semiconductor device 400 is used as a main memory (RAM) or a register depends on the control circuit 412 shown in FIG. 43. The control circuit 412 can use a part of the plurality of memory cells 30 included in the semiconductor device 400 as a RAM in accordance with a signal supplied from the central processing unit 1100.

半導體裝置400可以將多個記憶單元30的一部分用作RAM,並將其他部分用作暫存器。藉由將OS NAND型的記憶體裝置用於半導體裝置400,可以兼具作為主記憶體的功能及作為暫存器的功能。本發明的一個實施方式的半導體裝置400例如可以用作通用記憶體。The semiconductor device 400 may use a part of the plurality of memory cells 30 as a RAM, and other parts as a temporary memory. By using an OS NAND type memory device for the semiconductor device 400, it is possible to have both a function as a main memory and a function as a register. The semiconductor device 400 of one embodiment of the present invention can be used as a general-purpose memory, for example.

當將半導體裝置400用作主記憶體時,可以根據需要增減記憶容量。此外,當將半導體裝置400用作緩存時,可以根據需要增減記憶容量。When the semiconductor device 400 is used as a main memory, the memory capacity can be increased or decreased as needed. In addition, when the semiconductor device 400 is used as a cache, the memory capacity can be increased or decreased as needed.

此外,圖43所示的控制電路412也可以具有在半導體裝置400的用作暫存器的區域與用作主記憶體的區域之間轉移或複製資料時進行錯誤檢查和糾正的功能(也稱為ECC:Error Check and Correct)。此外,控制電路412也可以具有在半導體裝置400的用作主記憶體的區域與緩存1199之間轉移或複製資料時進行ECC的功能。In addition, the control circuit 412 shown in FIG. 43 may also have a function of performing error checking and correction (also called ECC: Error Check and Correct). In addition, the control circuit 412 may also have a function of performing ECC when transferring or copying data between the area used as the main memory of the semiconductor device 400 and the buffer 1199.

此外,半導體裝置400與中央處理器1100也可以重疊。圖50A及圖50B是半導體裝置1150B的立體圖。半導體裝置1150B在中央處理器1100上包括半導體裝置400a及半導體裝置400b。中央處理器1100與半導體裝置400a及半導體裝置400b包括彼此重疊的區域。為了容易理解半導體裝置1150B的結構,圖50B分別示出中央處理器1100、半導體裝置400a及半導體裝置400b。In addition, the semiconductor device 400 and the central processing unit 1100 may also overlap. 50A and 50B are perspective views of the semiconductor device 1150B. The semiconductor device 1150B includes a semiconductor device 400a and a semiconductor device 400b on the central processing unit 1100. The central processing unit 1100, the semiconductor device 400a, and the semiconductor device 400b include regions that overlap each other. In order to easily understand the structure of the semiconductor device 1150B, FIG. 50B shows the central processing unit 1100, the semiconductor device 400a, and the semiconductor device 400b, respectively.

半導體裝置400a及半導體裝置400b用作記憶體裝置。例如,作為半導體裝置400a,可以使用NOR型記憶體裝置。此外,作為半導體裝置400b,可以使用NAND型記憶體裝置。NOR型記憶體裝置的工作速度更高於NAND型記憶體裝置,由此例如也可以將半導體裝置400a的一部分用作主記憶體及/或緩存1199。此外,也可以反轉順序半導體裝置400a與半導體裝置400b的重疊順序。The semiconductor device 400a and the semiconductor device 400b are used as memory devices. For example, as the semiconductor device 400a, a NOR type memory device can be used. In addition, as the semiconductor device 400b, a NAND-type memory device can be used. The operating speed of the NOR-type memory device is higher than that of the NAND-type memory device. Therefore, for example, a part of the semiconductor device 400a can also be used as the main memory and/or the cache 1199. In addition, the order of overlapping of the semiconductor device 400a and the semiconductor device 400b may be reversed.

圖51A及圖51B是半導體裝置1150C的立體圖。半導體裝置1150C具有在半導體裝置400a與半導體裝置400b之間夾有中央處理器1100的結構。由此,中央處理器1100與半導體裝置400a及半導體裝置400b包括彼此重疊的區域。為了容易理解半導體裝置1150C的結構,圖51B分別示出中央處理器1100、半導體裝置400a及半導體裝置400b。51A and 51B are perspective views of the semiconductor device 1150C. The semiconductor device 1150C has a structure in which the central processing unit 1100 is sandwiched between the semiconductor device 400a and the semiconductor device 400b. Thus, the central processing unit 1100, the semiconductor device 400a, and the semiconductor device 400b include regions that overlap each other. In order to easily understand the structure of the semiconductor device 1150C, FIG. 51B shows the central processing unit 1100, the semiconductor device 400a, and the semiconductor device 400b, respectively.

藉由採用半導體裝置1150C的結構,可以提高半導體裝置400a與中央處理器1100之間的通訊速度及半導體裝置400b與中央處理器1100之間的通訊速度的兩者。此外,與半導體裝置1150B相比,可以進一步降低功耗。By adopting the structure of the semiconductor device 1150C, both the communication speed between the semiconductor device 400a and the central processing unit 1100 and the communication speed between the semiconductor device 400b and the central processing unit 1100 can be improved. In addition, compared with the semiconductor device 1150B, power consumption can be further reduced.

本實施方式可以與本說明書所示的其他實施方式適當地組合。This embodiment mode can be appropriately combined with other embodiment modes shown in this specification.

實施方式6 在本實施方式中說明本發明的一個實施方式的記憶體裝置的應用例子。Embodiment 6 In this embodiment, an application example of the memory device according to an embodiment of the present invention will be described.

一般而言,在電腦等半導體裝置中,根據其用途可以使用各種記憶體裝置。圖52A示出用於半導體裝置的各級類記憶體裝置。越是上層的記憶體裝置越被要求更快的工作速度,越是下層的記憶體裝置越被要求更大的記憶容量和更高的記錄密度。在圖52A中,從最上層依次示出CPU等運算處理裝置中作為暫存器一起安裝的記憶體、SRAM(Static Random Access Memory)、DRAM(Dynamic Random Access Memory)以及3D NAND記憶體。Generally speaking, in semiconductor devices such as computers, various memory devices can be used according to their applications. FIG. 52A shows various types of memory devices used in semiconductor devices. The higher the upper memory device is, the faster the working speed is, and the lower the lower memory device is, the greater the memory capacity and the higher the recording density. In FIG. 52A, the memory, SRAM (Static Random Access Memory), DRAM (Dynamic Random Access Memory), and 3D NAND memory installed together as a scratchpad in an arithmetic processing device such as a CPU, are shown in order from the top.

因為CPU等運算處理裝置中作為暫存器一起安裝的記憶體用於運算結果的暫時儲存等,所以來自運算處理裝置訪問的頻率高。因此,與記憶容量相比更需求快的工作速度。此外,暫存器具有保持運算處理裝置的設定資訊等的功能。Because the memory installed as a register in the arithmetic processing device such as the CPU is used for temporary storage of calculation results, etc., the frequency of access from the arithmetic processing device is high. Therefore, a faster working speed is required compared to the memory capacity. In addition, the register has a function of holding setting information of the arithmetic processing device and the like.

SRAM例如用於緩存。緩存具有將保持在主記憶體中的資訊的一部分複製並保持的功能。藉由將使用頻率高的資料複製到緩存中,可以提高對資料訪問的速度。緩存所需的記憶容量少於主記憶體,而緩存所需的工作速度高於主記憶體。此外,將在緩存中被改寫的資料複製並供應到主記憶體。SRAM is used for buffering, for example. The cache has the function of copying and retaining part of the information held in the main memory. By copying frequently used data to the cache, the speed of data access can be improved. The memory capacity required for the cache is less than that of the main memory, and the working speed for the cache is higher than that of the main memory. In addition, the data rewritten in the cache is copied and supplied to the main memory.

DRAM例如用於主記憶體。主記憶體具有保持從存儲讀出的程式或資料的功能。DRAM的記錄密度大約為0.1至0.3Gbit/mm2DRAM is used for main memory, for example. The main memory has the function of holding programs or data read from the storage. The recording density of DRAM is approximately 0.1 to 0.3 Gbit/mm 2 .

3D NAND記憶體例如用於存儲。存儲具有保持需要長期保存的資料和運算處理裝置所使用的各種程式等的功能。因此,與更快的工作速度相比,存儲被要求更大的記憶容量和更高的記錄密度。用於存儲的記憶體裝置的記錄密度大約為0.6Gbit/mm2 以上且6.0Gbit/mm2 以下。3D NAND memory is used for storage, for example. The storage has the function of keeping data that needs to be stored for a long time and various programs used by the arithmetic processing device. Therefore, storage is required to have a larger memory capacity and a higher recording density compared to a faster working speed. The recording density of the memory device used for storage is approximately 0.6 Gbit/mm 2 or more and 6.0 Gbit/mm 2 or less.

本發明的一個實施方式的記憶體裝置的工作速度快且能夠長期間保持資料。本發明的一個實施方式的記憶體裝置可以用作位於包括緩存的階層和主記憶體的階層的兩者的邊界區域901的記憶體裝置。此外,本發明的一個實施方式的記憶體裝置可以用作位於包括主記憶體的階層和存儲的階層的兩者的邊界區域902的記憶體裝置。The memory device of one embodiment of the present invention has a fast operating speed and can retain data for a long period of time. The memory device according to one embodiment of the present invention can be used as a memory device located in the boundary area 901 between the hierarchy of the cache and the hierarchy of the main memory. In addition, the memory device of one embodiment of the present invention can be used as a memory device located in the boundary area 902 including both the hierarchy of the main memory and the hierarchy of storage.

此外,本發明的一個實施方式的記憶體裝置適合用於主記憶體的階層和存儲的階層的兩者。此外,本發明的一個實施方式的記憶體裝置適合用於緩存的階層。圖52B示出與圖52A不同的的各級類記憶體裝置。In addition, the memory device according to one embodiment of the present invention is suitable for use in both the main memory hierarchy and the storage hierarchy. In addition, the memory device of one embodiment of the present invention is suitable for use in a cache hierarchy. FIG. 52B shows different types of memory devices from those in FIG. 52A.

在圖52B中,從最上層依次示出CPU等運算處理裝置中作為暫存器一起安裝的記憶體、用作緩存的SRAM以及3D OS NAND記憶體。本發明的一個實施方式的記憶體裝置可以用於緩存、主記憶體以及暫存器。在作為緩存被需求1GHz以上的高速記憶體的情況下,該緩存被安裝在CPU等的運算處理裝置中。In FIG. 52B, the memory installed as a register in an arithmetic processing device such as a CPU, SRAM used as a cache, and 3D OS NAND memory are shown in order from the top. The memory device of an embodiment of the present invention can be used for cache, main memory, and register. When a high-speed memory of 1 GHz or higher is required as a cache, the cache is installed in an arithmetic processing device such as a CPU.

本發明的一個實施方式的記憶體裝置不侷限於NAND型而可以為NOR型。此外,也可以組合使用NAND型和NOR型。The memory device of one embodiment of the present invention is not limited to the NAND type but may be of the NOR type. In addition, NAND type and NOR type can also be used in combination.

本發明的一個實施方式的記憶體裝置例如可以應用於各種電子裝置(例如,資訊終端、電腦、智慧手機、電子書閱讀器終端、數位相機、錄影再現裝置、導航系統、遊戲機等)的記憶體裝置。此外,可以用於影像感測器、IoT(Internet of Things:物聯網)以及醫療等。這裡,電腦包括平板電腦、筆記型電腦、桌上型電腦以及大型電腦諸如伺服器系統。The memory device of one embodiment of the present invention can be applied to, for example, the memory of various electronic devices (for example, information terminals, computers, smartphones, e-book reader terminals, digital cameras, video playback devices, navigation systems, game consoles, etc.)体装置。 Body device. In addition, it can be used for image sensors, IoT (Internet of Things), and medical treatment. Here, computers include tablet computers, notebook computers, desktop computers, and large computers such as server systems.

圖53A至圖53J、圖54A至圖54E示出具有該記憶體裝置的電子構件4700或電子構件4730包括在各電子裝置中的情況。FIGS. 53A to 53J and FIGS. 54A to 54E show a case where the electronic component 4700 or the electronic component 4730 having the memory device is included in each electronic device.

[行動電話機] 圖53A所示的資訊終端5500是資訊終端之一的行動電話機(智慧手機)。資訊終端5500包括外殼5510及顯示部5511,作為輸入介面在顯示部5511中具備觸控面板,並且在外殼5510上設置有按鈕。[Mobile phone] The information terminal 5500 shown in FIG. 53A is a mobile phone (smart phone) which is one of the information terminals. The information terminal 5500 includes a casing 5510 and a display unit 5511. The display unit 5511 is provided with a touch panel as an input interface, and buttons are provided on the casing 5510.

藉由將本發明的一個實施方式的記憶體裝置應用於資訊終端5500,可以儲存在執行程式時暫時生成的文檔(例如,使用網頁瀏覽器時的緩存等)。By applying the memory device of one embodiment of the present invention to the information terminal 5500, it is possible to store documents temporarily generated when the program is executed (for example, cache when using a web browser, etc.).

[可穿戴終端] 此外,圖53B示出可穿戴終端的一個例子的資訊終端5900。資訊終端5900包括外殼5901、顯示部5902、操作開關5903、操作開關5904、錶帶5905等。[Wearable terminal] In addition, FIG. 53B shows an information terminal 5900 which is an example of a wearable terminal. The information terminal 5900 includes a housing 5901, a display portion 5902, an operation switch 5903, an operation switch 5904, a strap 5905, and the like.

與上述資訊終端5500同樣,藉由將本發明的一個實施方式的記憶體裝置應用於可穿戴終端,可以儲存在執行程式時暫時生成的文檔。Similar to the above-mentioned information terminal 5500, by applying the memory device according to an embodiment of the present invention to a wearable terminal, it is possible to store documents temporarily generated when a program is executed.

[資訊終端] 圖53C示出桌上型資訊終端5300。桌上型資訊終端5300包括資訊終端主體5301、顯示部5302及鍵盤5303。[Information Terminal] FIG. 53C shows a desktop information terminal 5300. The desktop information terminal 5300 includes an information terminal main body 5301, a display portion 5302, and a keyboard 5303.

與上述資訊終端5500同樣,藉由將本發明的一個實施方式的記憶體裝置應用於桌上型資訊終端5300,可以儲存在執行程式時暫時生成的文檔。Similar to the above-mentioned information terminal 5500, by applying the memory device according to an embodiment of the present invention to the desktop information terminal 5300, it is possible to store documents temporarily generated when the program is executed.

注意,在上述例子中,圖53A至圖53C示出智慧手機、可穿戴終端及桌上型資訊終端作為電子裝置的例子,但是也可以應用智慧手機、可穿戴終端及桌上型資訊終端以外的資訊終端。作為智慧手機、可穿戴終端及桌上型資訊終端以外的資訊終端,例如可以舉出PDA(Personal Digital Assistant:個人數位助理)、筆記本式資訊終端、工作站等。Note that in the above example, FIGS. 53A to 53C show smart phones, wearable terminals, and desktop information terminals as examples of electronic devices, but smart phones, wearable terminals, and desktop information terminals other than Information terminal. Examples of information terminals other than smart phones, wearable terminals, and desktop information terminals include PDA (Personal Digital Assistant), notebook information terminals, and workstations.

[電器產品] 此外,圖53D示出電器產品的一個例子的電冷藏冷凍箱5800。電冷藏冷凍箱5800包括外殼5801、冷藏室門5802及冷凍室門5803等。例如,電冷藏冷凍箱5800是對應於物聯網(IoT)的電冷藏冷凍箱。[Electrical products] In addition, FIG. 53D shows an electric refrigerator-freezer 5800 which is an example of an electric product. The electric refrigerator-freezer 5800 includes a housing 5801, a refrigerator door 5802, a freezer door 5803, and the like. For example, the electric refrigerator-freezer 5800 is an electric refrigerator-freezer corresponding to the Internet of Things (IoT).

可以將本發明的一個實施方式的記憶體裝置應用於電冷藏冷凍箱5800。藉由利用互聯網等,可以使電冷藏冷凍箱5800對資訊終端等發送儲存在電冷藏冷凍箱5800中的食品或該食品的消費期限等的資訊。電冷藏冷凍箱5800可以在該記憶體裝置中儲存在發送該資訊時暫時生成的文檔。The memory device of one embodiment of the present invention can be applied to the electric refrigerator-freezer 5800. By using the Internet or the like, the electric refrigerator-freezer 5800 can transmit information such as the food stored in the electric refrigerator-freezer 5800 or the expiration date of the food to an information terminal or the like. The electric refrigerator-freezer 5800 can store in the memory device temporarily generated documents when the information is sent.

在上述例子中,作為電器產品說明電冷藏冷凍箱,但是作為其他電器產品,例如可以舉出吸塵器、微波爐、電烤箱、電鍋、熱水器、IH炊具、飲水機、包括空氣調節器的冷暖空調機、洗衣機、乾衣機、視聽設備等。In the above example, the electric refrigerator-freezer is described as an electrical product, but as other electrical products, for example, vacuum cleaners, microwave ovens, electric ovens, electric pots, water heaters, IH cookware, water dispensers, heating and cooling air conditioners including air conditioners can be cited. , Washing machines, dryers, audio-visual equipment, etc.

[遊戲機] 此外,圖53E示出遊戲機的一個例子的可攜式遊戲機5200。可攜式遊戲機5200包括外殼5201、顯示部5202、按鈕5203等。[Game console] In addition, FIG. 53E shows a portable game machine 5200 which is an example of a game machine. The portable game machine 5200 includes a housing 5201, a display portion 5202, buttons 5203, and the like.

此外,圖53F示出遊戲機的一個例子的固定式遊戲機7500。固定式遊戲機7500包括主體7520及控制器7522。主體7520可以以無線方式或有線方式與控制器7522連接。此外,雖然在圖53F中未圖示,但是控制器7522可以包括顯示遊戲的影像的顯示部、作為按鈕以外的輸入介面的觸控面板及控制杆、旋轉式抓手、滑動式抓手等。此外,控制器7522不侷限於圖53F所示的形狀,也可以根據遊戲的種類改變控制器7522的形狀。例如,在FPS(First Person Shooter,第一人稱射擊類遊戲)等射擊遊戲中,作為扳機使用按鈕,可以使用模仿槍的形狀的控制器。此外,例如,在音樂遊戲等中,可以使用模仿樂器、音樂器件等的形狀的控制器。再者,固定式遊戲機也可以設置照相機、深度感測器、麥克風等,由遊戲玩者的手勢及/或聲音等操作以代替控制器的形狀。In addition, FIG. 53F shows a stationary game machine 7500 which is an example of a game machine. The stationary game machine 7500 includes a main body 7520 and a controller 7522. The main body 7520 may be connected with the controller 7522 in a wireless manner or a wired manner. In addition, although not shown in FIG. 53F, the controller 7522 may include a display portion that displays an image of the game, a touch panel and a joystick as an input interface other than buttons, a rotary gripper, a sliding gripper, and the like. In addition, the controller 7522 is not limited to the shape shown in FIG. 53F, and the shape of the controller 7522 may be changed according to the type of game. For example, in shooting games such as FPS (First Person Shooter), a button is used as a trigger, and a controller that mimics the shape of a gun can be used. In addition, for example, in a music game or the like, a controller that imitates the shape of a musical instrument, a music device, or the like can be used. Furthermore, the stationary game machine may also be equipped with a camera, a depth sensor, a microphone, etc., and the shape of the controller can be replaced by the gesture and/or voice of the game player.

此外,上述遊戲機的影像可以由電視機、個人電腦用顯示器、遊戲用顯示器、頭戴顯示器等顯示裝置輸出。In addition, the video of the above-mentioned game machine can be output from a display device such as a television, a personal computer monitor, a game monitor, and a head-mounted display.

藉由將上述實施方式所說明的記憶體裝置用於可攜式遊戲機5200或固定式遊戲機7500,可以實現低功耗的可攜式遊戲機5200或固定式遊戲機7500。此外,借助於低功耗,可以降低來自電路的發熱,由此可以減少因發熱而給電路本身、週邊電路以及模組帶來的負面影響。By using the memory device described in the above embodiment in the portable game machine 5200 or the stationary game machine 7500, a portable game machine 5200 or a stationary game machine 7500 with low power consumption can be realized. In addition, with low power consumption, heat from the circuit can be reduced, thereby reducing the negative impact on the circuit itself, peripheral circuits, and modules due to heat.

並且,藉由將上述實施方式所說明的記憶體裝置用於可攜式遊戲機5200或固定式遊戲機7500,可以儲存在執行遊戲時暫時生成的運算用文檔。In addition, by using the memory device described in the above-mentioned embodiment in the portable game machine 5200 or the stationary game machine 7500, it is possible to store a calculation file temporarily generated when the game is executed.

在圖53E中,作為遊戲機的例子示出可攜式遊戲機。圖53F示出家用固定式遊戲機。本發明的一個實施方式的電子裝置不侷限於此。作為應用本發明的一個實施方式的電子裝置,例如可以舉出設置在娛樂設施(遊戲中心,遊樂園等)的街機遊戲機、設置在體育設施的擊球練習用投球機等。In FIG. 53E, a portable game machine is shown as an example of the game machine. Fig. 53F shows a home stationary game machine. The electronic device of one embodiment of the present invention is not limited to this. As an electronic device to which an embodiment of the present invention is applied, for example, an arcade game machine installed in an amusement facility (game center, amusement park, etc.), a pitching machine installed in a sports facility, etc. can be cited.

[移動體] 上述實施方式所說明的記憶體裝置可以應用於作為移動體的汽車及汽車的駕駛座位附近。[Moving body] The memory device described in the above-mentioned embodiment can be applied to a car as a moving body and the vicinity of the driver's seat of the car.

圖53G示出作為移動體的一個例子的汽車5700。FIG. 53G shows a car 5700 as an example of a moving body.

汽車5700的駕駛座位附近設置有能夠顯示速度表、轉速計、行駛距離、加油量、排檔狀態、空調的設定等以提供各種資訊的儀表板。此外,駕駛座位附近也可以設置有表示上述資訊的顯示裝置。Near the driver’s seat of the car 5700, there is a dashboard that can display various information such as speedometer, tachometer, distance traveled, refueling amount, gearshift status, and air conditioning settings. In addition, a display device for displaying the above-mentioned information may also be installed near the driver's seat.

尤其是,藉由將由設置在汽車5700上的攝像裝置(未圖示)拍攝的影像顯示在上述顯示裝置上,可以將被支柱等遮擋的視野、駕駛座位的死角等提供給駕駛員,從而可以提高安全性。也就是說,藉由顯示設定在汽車5700外側的拍攝裝置所拍攝的影像,可以補充視野來避免死角,以提高安全性。In particular, by displaying images taken by a camera device (not shown) installed on the car 5700 on the above display device, the field of view blocked by pillars, etc., blind spots in the driver’s seat, etc. can be provided to the driver. Improve safety. In other words, by displaying the images taken by the camera set on the outside of the car 5700, the field of view can be supplemented to avoid blind spots and improve safety.

上述實施方式所說明的記憶體裝置能夠暫時儲存資料,例如,可以將該記憶體裝置應用於汽車5700的自動駕駛系統、進行導航、危險預測等的系統等來暫時儲存必要資料。此外,也可以儲存安裝在汽車5700上的行車記錄儀的錄影。The memory device described in the above embodiment can temporarily store data. For example, the memory device can be applied to an automatic driving system of a car 5700, a system for navigation, risk prediction, etc., to temporarily store necessary data. In addition, you can also store the video of the dash cam installed on the car 5700.

雖然在上述例子中作為移動體的一個例子說明汽車,但是移動體不侷限於汽車。例如,作為移動體,也可以舉出電車、單軌鐵路、船舶、飛行物(直升機、無人駕駛飛機(無人機)、飛機、火箭)等。Although a car is described as an example of a moving body in the above example, the moving body is not limited to a car. For example, as a moving body, there may also be a tram, a monorail, a ship, a flying object (helicopter, unmanned aerial vehicle (unmanned aerial vehicle), airplane, rocket), and the like.

[照相機] 上述實施方式所說明的記憶體裝置可以應用於照相機。[camera] The memory device described in the above embodiment can be applied to a camera.

圖53H示出攝像裝置的一個例子的數位相機6240。數位相機6240包括外殼6241、顯示部6242、操作開關6243、快門按鈕6244等,並且安裝有可裝卸的鏡頭6246。在此,數位相機6240採用能夠從外殼6241拆卸下鏡頭6246的結構,但是鏡頭6246及外殼6241被形成為一體。此外,數位相機6240還可以具備另外安裝的閃光燈裝置及取景器等。FIG. 53H shows a digital camera 6240 which is an example of an imaging device. The digital camera 6240 includes a housing 6241, a display portion 6242, an operation switch 6243, a shutter button 6244, etc., and a removable lens 6246 is attached. Here, the digital camera 6240 adopts a structure in which the lens 6246 can be detached from the housing 6241, but the lens 6246 and the housing 6241 are formed as one body. In addition, the digital camera 6240 can also be equipped with an additional flash unit and a viewfinder.

藉由將上述實施方式所說明的記憶體裝置用於數位相機6240,可以實現低功耗的數位相機6240。此外,借助於低功耗,可以降低來自電路的發熱,由此可以減少因發熱而給電路本身、週邊電路以及模組帶來的負面影響。By using the memory device described in the above embodiments for the digital camera 6240, a digital camera 6240 with low power consumption can be realized. In addition, with low power consumption, heat from the circuit can be reduced, thereby reducing the negative impact on the circuit itself, peripheral circuits, and modules due to heat.

[視頻攝影機] 上述實施方式所說明的記憶體裝置可以應用於視頻攝影機。[Video Camera] The memory device described in the above embodiment can be applied to a video camera.

圖53I示出攝像裝置的一個例子的視頻攝影機6300。視頻攝影機6300包括第一外殼6301、第二外殼6302、顯示部6303、操作開關6304、鏡頭6305、連接部6306等。操作開關6304及鏡頭6305設置在第一外殼6301上,顯示部6303設置在第二外殼6302上。第一外殼6301與第二外殼6302由連接部6306連接,第一外殼6301與第二外殼6302間的角度可以由連接部6306改變。顯示部6303的影像也可以根據連接部6306中的第一外殼6301與第二外殼6302間的角度切換。FIG. 53I shows a video camera 6300 which is an example of a camera device. The video camera 6300 includes a first housing 6301, a second housing 6302, a display portion 6303, operation switches 6304, a lens 6305, a connection portion 6306, and the like. The operation switch 6304 and the lens 6305 are provided on the first housing 6301, and the display portion 6303 is provided on the second housing 6302. The first housing 6301 and the second housing 6302 are connected by a connecting portion 6306, and the angle between the first housing 6301 and the second housing 6302 can be changed by the connecting portion 6306. The image of the display portion 6303 can also be switched according to the angle between the first housing 6301 and the second housing 6302 in the connecting portion 6306.

當記錄由視頻攝影機6300拍攝的影像時,需要進行根據資料記錄方式的編碼。借助於上述記憶體裝置,上述視頻攝影機6300可以儲存在進行編碼時暫時生成的文檔。When recording images taken by the video camera 6300, encoding according to the data recording method is required. With the aid of the above-mentioned memory device, the above-mentioned video camera 6300 can store files temporarily generated during encoding.

[ICD] 可以將上述實施方式所說明的記憶體裝置應用於埋藏式心律轉複除顫器(ICD)。[ICD] The memory device described in the above embodiment can be applied to an embedded cardioverter defibrillator (ICD).

圖53J是示出ICD的一個例子的剖面示意圖。ICD主體5400至少包括電池5401、電子構件4700、調節器、控制電路、天線5404、向右心房的金屬絲5402、向右心室的金屬絲5403。Fig. 53J is a schematic cross-sectional view showing an example of an ICD. The ICD main body 5400 includes at least a battery 5401, an electronic component 4700, a regulator, a control circuit, an antenna 5404, a right atrium wire 5402, a right ventricle wire 5403.

ICD主體5400藉由手術設置在體內,兩個金屬絲穿過人體的鎖骨下靜脈5405及上腔靜脈5406,並且其一方金屬絲的先端設置於右心室,另一方金屬絲的先端設置於右心房。The ICD body 5400 is installed in the body by surgery. Two wires pass through the subclavian vein 5405 and the superior vena cava 5406. The tip of one wire is set in the right ventricle, and the tip of the other wire is set in the right atrium. .

ICD主體5400具有心臟起搏器的功能,並在心律在規定範圍之外時對心臟進行起搏。此外,在即使進行起搏也不改善心律時(快速的心室頻脈或心室顫動等)進行利用去顫的治療。The ICD main body 5400 has the function of a pacemaker, and paces the heart when the heart rhythm is outside the prescribed range. In addition, treatment with defibrillation is performed when the heart rhythm is not improved even if pacing is performed (rapid ventricular frequency, ventricular fibrillation, etc.).

為了適當地進行起搏及去顫,ICD主體5400需要經常監視心律。因此,ICD主體5400包括用來檢測心律的感測器。此外,ICD主體5400可以在電子構件4700中儲存藉由該感測器測得的心律的資料、利用起搏進行治療的次數、時間等。In order to properly perform pacing and defibrillation, the ICD subject 5400 needs to constantly monitor the heart rhythm. Therefore, the ICD main body 5400 includes a sensor for detecting the heart rhythm. In addition, the ICD main body 5400 can store in the electronic component 4700 the data of the heart rhythm measured by the sensor, the number of pacing treatments, and the time.

此外,因為由天線5404接收電力,且該電力被充電到電池5401。此外,藉由使ICD主體5400包括多個電池,可以提高安全性。明確而言,即使ICD主體5400中的部分電池產生故障,其他電池可以起作用而被用作輔助電源。In addition, because the power is received by the antenna 5404, and the power is charged to the battery 5401. In addition, by making the ICD main body 5400 include multiple batteries, safety can be improved. Specifically, even if some of the batteries in the ICD main body 5400 fail, other batteries can function and be used as an auxiliary power source.

此外,除了能夠接收電力的天線5404,還可以包括能夠發送生理信號的天線,例如,也可以構成能夠由外部的監視裝置確認脈搏、呼吸數、心律、體溫等生理信號的監視心臟活動的系統。Furthermore, in addition to the antenna 5404 capable of receiving power, an antenna capable of transmitting physiological signals may also be included. For example, an external monitoring device may also constitute a system for monitoring cardiac activity that can confirm physiological signals such as pulse, respiration, heart rate, and body temperature.

[PC用擴展裝置] 上述實施方式所說明的記憶體裝置可以應用於PC(Personal Computer;個人電腦)等電腦、資訊終端用擴展裝置。[Expansion device for PC] The memory device described in the above embodiment can be applied to a PC (Personal Computer) and other computers and expansion devices for information terminals.

圖54A示出該擴展裝置的一個例子的可以攜帶且安裝有能夠儲存資料的晶片的設置在PC的外部的擴展裝置6100。擴展裝置6100例如藉由由USB(Universal Serial Bus;通用序列匯流排)等連接於PC,可以儲存資料。注意,雖然圖54A示出可攜帶的擴展裝置6100,但是根據本發明的一個實施方式的擴展裝置不侷限於此,例如也可以採用安裝冷卻風機等的較大結構的擴展裝置。FIG. 54A shows an example of the expansion device 6100 which can be carried and is equipped with a chip capable of storing data, which is provided outside the PC. The expansion device 6100 is connected to a PC via a USB (Universal Serial Bus), etc., and can store data. Note that although FIG. 54A shows a portable expansion device 6100, the expansion device according to an embodiment of the present invention is not limited to this. For example, a larger structure expansion device with a cooling fan and the like may also be used.

擴展裝置6100包括外殼6101、蓋子6102、USB連接器6103及基板6104。基板6104被容納在外殼6101中。基板6104設置有驅動上述實施方式所說明的記憶體裝置等的電路。例如,基板6104安裝有電子構件4700、控制器晶片6106。USB連接器6103被用作連接於外部裝置的介面。The extension device 6100 includes a housing 6101, a cover 6102, a USB connector 6103, and a base 6104. The substrate 6104 is accommodated in the housing 6101. The substrate 6104 is provided with a circuit for driving the memory device and the like described in the above embodiment. For example, an electronic component 4700 and a controller chip 6106 are mounted on the substrate 6104. The USB connector 6103 is used as an interface for connecting to external devices.

[SD卡] 上述實施方式所說明的記憶體裝置可以應用於能夠安裝在資訊終端或數位相機等電子裝置上的SD卡。[SD card] The memory device described in the above embodiment can be applied to an SD card that can be mounted on an electronic device such as an information terminal or a digital camera.

圖54B是SD卡的外觀示意圖,圖54C是SD卡的內部結構的示意圖。SD卡5110包括外殼5111、連接器5112及基板5113。連接器5112具有連接到外部裝置的介面的功能。基板5113被容納在外殼5111中。基板5113設置有記憶體裝置及驅動該記憶體裝置的電路。例如,基板5113安裝有電子構件4700、控制器晶片5115。此外,電子構件4700及控制器晶片5115的各電路結構不侷限於上述記載,可以根據情況適當地改變電路結構。例如,電子構件所具備的寫入電路、行驅動器、讀出電路等也可以不安裝在電子構件4700上而安裝在控制器晶片5115上。FIG. 54B is a schematic diagram of the external appearance of the SD card, and FIG. 54C is a schematic diagram of the internal structure of the SD card. The SD card 5110 includes a housing 5111, a connector 5112, and a substrate 5113. The connector 5112 has a function of connecting to an interface of an external device. The substrate 5113 is accommodated in the housing 5111. The substrate 5113 is provided with a memory device and a circuit for driving the memory device. For example, the electronic component 4700 and the controller chip 5115 are mounted on the substrate 5113. In addition, the circuit structures of the electronic component 4700 and the controller chip 5115 are not limited to those described above, and the circuit structures can be appropriately changed according to the situation. For example, the write circuit, row driver, readout circuit, etc. included in the electronic component may not be mounted on the electronic component 4700 but may be mounted on the controller chip 5115.

藉由在基板5113的背面一側也設置電子構件4700,可以增大SD卡5110的容量。此外,也可以將具有無線通訊功能的無線晶片設置於基板5113。由此,可以進行外部裝置與SD卡5110之間的無線通訊,可以進行電子構件4700的資料的讀出及寫入。By also providing the electronic component 4700 on the back side of the substrate 5113, the capacity of the SD card 5110 can be increased. In addition, a wireless chip with wireless communication function may also be provided on the substrate 5113. As a result, wireless communication between the external device and the SD card 5110 can be performed, and the reading and writing of data of the electronic component 4700 can be performed.

[SSD] 上述實施方式所說明的記憶體裝置可以應用於能夠安裝在資訊終端等電子裝置上的固體狀態驅動機(SSD)。[SSD] The memory device described in the above embodiment can be applied to a solid state drive (SSD) that can be mounted on an electronic device such as an information terminal.

圖54D是SSD的外觀示意圖,圖54E是SSD的內部結構的示意圖。SSD5150包括外殼5151、連接器5152及基板5153。連接器5152具有連接到外部裝置的介面的功能。基板5153被容納在外殼5151中。基板5153設置有記憶體裝置及驅動該記憶體裝置的電路。例如,基板5153安裝有電子構件4700、記憶體晶片5155、控制器晶片5156。藉由在基板5153的背面一側也設置電子構件4700,可以增大SSD5150的容量。記憶體晶片5155中安裝有工作記憶體。例如,可以將DRAM晶片用於記憶體晶片5155。控制器晶片5156中安裝有處理器、ECC電路等。注意,電子構件4700、記憶體晶片5155及控制器晶片5156的各電路結構不侷限於上述記載,可以根據情況適當地改變電路結構。例如,控制器晶片5156中也可以設置用作工作記憶體的記憶體。FIG. 54D is a schematic diagram of the appearance of the SSD, and FIG. 54E is a schematic diagram of the internal structure of the SSD. The SSD5150 includes a housing 5151, a connector 5152, and a substrate 5153. The connector 5152 has a function of connecting to an interface of an external device. The substrate 5153 is accommodated in the housing 5151. The substrate 5153 is provided with a memory device and a circuit for driving the memory device. For example, the substrate 5153 is mounted with electronic components 4700, a memory chip 5155, and a controller chip 5156. By arranging the electronic component 4700 on the back side of the substrate 5153, the capacity of the SSD 5150 can be increased. Working memory is installed in the memory chip 5155. For example, a DRAM chip can be used for the memory chip 5155. The controller chip 5156 is equipped with a processor, an ECC circuit, and the like. Note that the circuit configurations of the electronic component 4700, the memory chip 5155, and the controller chip 5156 are not limited to the above description, and the circuit configuration can be changed appropriately according to the situation. For example, the controller chip 5156 may also be provided with a memory used as a working memory.

[電腦] 圖55A所示的電腦5600是大型電腦的例子。在電腦5600中,多個機架式電腦5620收納在機架5610中。[computer] The computer 5600 shown in FIG. 55A is an example of a large computer. In the computer 5600, a plurality of rack-mounted computers 5620 are housed in a rack 5610.

電腦5620例如可以具有圖55B所示的立體圖的結構。在圖55B中,電腦5620包括主機板5630,主機板5630包括多個插槽5631以及多個連接端子等。插槽5631插入有個人電腦卡5621。並且,個人電腦卡5621包括連接端子5623、連接端子5624、連接端子5625,它們連接到主機板5630。The computer 5620 may have the structure of the perspective view shown in FIG. 55B, for example. In FIG. 55B, the computer 5620 includes a motherboard 5630, and the motherboard 5630 includes a plurality of slots 5631 and a plurality of connection terminals. A personal computer card 5621 is inserted into the slot 5631. In addition, the personal computer card 5621 includes a connection terminal 5623, a connection terminal 5624, and a connection terminal 5625, which are connected to the main board 5630.

圖55C所示的個人電腦卡5621是包括CPU、GPU、記憶體裝置等的處理板的一個例子。個人電腦卡5621具有板5622。此外,板5622包括連接端子5623、連接端子5624、連接端子5625、半導體裝置5626、半導體裝置5627、半導體裝置5628以及連接端子5629。注意,圖55C示出半導體裝置5626、半導體裝置5627以及半導體裝置5628以外的半導體裝置,關於這些半導體裝置的說明,參照以下記載的半導體裝置5626、半導體裝置5627以及半導體裝置5628的說明。The personal computer card 5621 shown in FIG. 55C is an example of a processing board including a CPU, a GPU, a memory device, and the like. The personal computer card 5621 has a board 5622. In addition, the board 5622 includes a connection terminal 5623, a connection terminal 5624, a connection terminal 5625, a semiconductor device 5626, a semiconductor device 5627, a semiconductor device 5628, and a connection terminal 5629. Note that FIG. 55C shows a semiconductor device 5626, a semiconductor device 5627, and a semiconductor device other than the semiconductor device 5628. For the description of these semiconductor devices, refer to the description of the semiconductor device 5626, the semiconductor device 5627, and the semiconductor device 5628 described below.

連接端子5629具有可以插入主機板5630的插槽5631的形狀,連接端子5629被用作連接個人電腦卡5621與主機板5630的介面。作為連接端子5629的規格例如可以舉出PCIe等。The connecting terminal 5629 has a shape that can be inserted into the slot 5631 of the motherboard 5630, and the connecting terminal 5629 is used as an interface for connecting the personal computer card 5621 and the motherboard 5630. Examples of the specifications of the connection terminal 5629 include PCIe.

連接端子5623、連接端子5624、連接端子5625例如可以被用作用來對個人電腦卡5621供電或輸入信號等的介面。此外,例如,可以被用作用來進行個人電腦卡5621所計算的信號的輸出等的介面。作為連接端子5623、連接端子5624、連接端子5625各自的規格例如可以舉出USB(通用序列匯流排)、SATA(串列ATA)、SCSI(小型電腦系統介面)等。此外,當從連接端子5623、連接端子5624、連接端子5625輸出視訊信號時,作為各規格可以舉出HDMI(註冊商標)等。The connection terminal 5623, the connection terminal 5624, and the connection terminal 5625 can be used, for example, as an interface for supplying power or inputting signals to the personal computer card 5621. In addition, for example, it can be used as an interface for outputting signals calculated by the personal computer card 5621. The respective specifications of the connection terminal 5623, the connection terminal 5624, and the connection terminal 5625 include, for example, USB (Universal Serial Bus), SATA (Serial ATA), SCSI (Small Computer System Interface), and the like. In addition, when a video signal is output from the connection terminal 5623, the connection terminal 5624, and the connection terminal 5625, HDMI (registered trademark) and the like can be cited as the respective standards.

半導體裝置5626包括進行信號的輸入及輸出的端子(未圖示),藉由將該端子插入板5622所包括的插座(未圖示),可以電連接半導體裝置5626與板5622。The semiconductor device 5626 includes a terminal (not shown) for signal input and output. By inserting the terminal into a socket (not shown) included in the board 5622, the semiconductor device 5626 and the board 5622 can be electrically connected.

半導體裝置5627包括多個端子,藉由將該端子以回流焊方式銲接到板5622所具備的佈線,可以電連接半導體裝置5627與板5622。作為半導體裝置5627,例如,可以舉出FPGA、GPU、CPU等。作為半導體裝置5627,例如可以使用電子構件4730。The semiconductor device 5627 includes a plurality of terminals. By reflow soldering the terminals to the wiring provided in the board 5622, the semiconductor device 5627 and the board 5622 can be electrically connected. As the semiconductor device 5627, for example, FPGA, GPU, CPU, etc. can be cited. As the semiconductor device 5627, for example, an electronic member 4730 can be used.

半導體裝置5628包括多個端子,藉由將該端子以回流焊方式銲接到板5622所具備的佈線,可以電連接半導體裝置5628與板5622。作為半導體裝置5628,例如,可以舉出記憶體裝置等。作為半導體裝置5628,例如可以使用電子構件4700。The semiconductor device 5628 includes a plurality of terminals. By reflow soldering the terminals to the wiring provided on the board 5622, the semiconductor device 5628 and the board 5622 can be electrically connected. As the semiconductor device 5628, for example, a memory device or the like can be cited. As the semiconductor device 5628, for example, an electronic component 4700 can be used.

電腦5600可以用作平行電腦。藉由將電腦5600用作平行電腦,例如可以進行人工智慧的學習及推論所需要的大規模計算。The computer 5600 can be used as a parallel computer. By using the computer 5600 as a parallel computer, for example, large-scale calculations required for artificial intelligence learning and inference can be performed.

藉由將本發明的一個實施方式的半導體裝置用於上述各種電子裝置,可以實現電子裝置的小型化、高速化或低功耗化。此外,本發明的一個實施方式的半導體裝置的耗電量少,由此可以降低電路發熱。由此,可以減少因該發熱而給電路本身、週邊電路及模組帶來的負面影響。此外,藉由使用本發明的一個實施方式的半導體裝置,可以實現高溫環境下也穩定工作的電子裝置。由此,可以提高電子裝置的可靠性。By using the semiconductor device of one embodiment of the present invention in the above-mentioned various electronic devices, it is possible to achieve miniaturization, high speed, or low power consumption of the electronic device. In addition, the semiconductor device according to an embodiment of the present invention consumes less power, thereby reducing heat generation in the circuit. As a result, it is possible to reduce the negative impact on the circuit itself, peripheral circuits, and modules due to the heat. In addition, by using the semiconductor device of one embodiment of the present invention, an electronic device that operates stably even in a high-temperature environment can be realized. As a result, the reliability of the electronic device can be improved.

接下來,說明可以應用於電腦5600的電腦系統的結構例子。圖56是說明電腦系統700的結構例子的圖。電腦系統700包括軟體和硬體。注意,有時將電腦系統所包括的硬體稱為信號處理裝置。Next, an example of the structure of a computer system that can be applied to the computer 5600 will be described. FIG. 56 is a diagram illustrating a configuration example of the computer system 700. The computer system 700 includes software and hardware. Note that the hardware included in the computer system is sometimes referred to as a signal processing device.

構成電腦系統700的軟體包括含有器件驅動器的作業系統、中介軟體、各種開發環境、AI應用程式以及與AI無關的應用程式等。The software constituting the computer system 700 includes an operating system containing device drivers, intermediary software, various development environments, AI applications, and applications unrelated to AI.

器件驅動器包括用來控制輔助記憶體裝置、顯示裝置以及印表機等外部連接設備的應用程式等。The device driver includes application programs used to control externally connected devices such as auxiliary memory devices, display devices, and printers.

構成電腦系統700的硬體包括第一運算處理裝置、第二運算處理裝置以及第一記憶體裝置等。此外,第二運算處理裝置包括第二記憶體裝置。The hardware constituting the computer system 700 includes a first arithmetic processing device, a second arithmetic processing device, a first memory device, and so on. In addition, the second arithmetic processing device includes a second memory device.

作為第一運算處理裝置,例如較佳為使用Noff OS CPU等中央處理器。Noff OS CPU包括使用OS電晶體的記憶單元(例如,非揮發性記憶體),在不需要工作的情況下,具有將所需要的資訊儲存在記憶單元中並停止供應中央處理器電力的功能。藉由使用Noff OS CPU作為第一運算處理裝置,可以降低電腦系統700的耗電量。As the first arithmetic processing device, for example, it is preferable to use a central processing unit such as a Noff OS CPU. The Noff OS CPU includes a memory unit (for example, non-volatile memory) that uses OS transistors, and has the function of storing required information in the memory unit and stopping power supply to the central processing unit when it does not need to work. By using the Noff OS CPU as the first arithmetic processing device, the power consumption of the computer system 700 can be reduced.

作為第二運算處理裝置,例如可以使用GPU或FPGA等。較佳為使用AI OS加速器作為第二運算處理裝置。AI OS加速器由OS電晶體構成,並包括積和運算電路等運算單元。AI OS加速器的耗電量比一般的GPU等少。藉由使用使用AI OS加速器作為第二運算處理裝置,可以降低算機系統700的耗電量。As the second arithmetic processing device, for example, a GPU or FPGA can be used. Preferably, an AI OS accelerator is used as the second arithmetic processing device. The AI OS accelerator is composed of OS transistors and includes arithmetic units such as product-sum operation circuits. AI OS accelerators consume less power than general GPUs. By using the AI OS accelerator as the second arithmetic processing device, the power consumption of the computer system 700 can be reduced.

作為第一記憶體裝置及第二記憶體裝置,較佳為使用本發明的一個實施方式的記憶體裝置。例如,較佳為使用3D OS NAND型記憶體裝置。3D OS NAND型記憶體裝置可以用作緩存、主記憶體以及暫存器。此外,藉由使用3D OS NAND型記憶體裝置,容易實現非諾依曼型電腦系統。As the first memory device and the second memory device, it is preferable to use the memory device of one embodiment of the present invention. For example, it is preferable to use a 3D OS NAND type memory device. The 3D OS NAND type memory device can be used as a cache, main memory, and register. In addition, by using a 3D OS NAND type memory device, it is easy to realize a non-Neumann type computer system.

3D OS NAND型記憶體裝置的耗電量比使用Si電晶體的3D NAND型記憶體裝置少。藉由使用3D OS NAND型記憶體裝置作為記憶體裝置,可以降低電腦系統700的耗電量。再加上,3D OS NAND型記憶體裝置能夠用作通用記憶體,由此可以降低構成電腦系統700的記憶體裝置的零件個數。3D OS NAND type memory devices consume less power than 3D NAND type memory devices using Si transistors. By using a 3D OS NAND type memory device as the memory device, the power consumption of the computer system 700 can be reduced. In addition, the 3D OS NAND type memory device can be used as a general-purpose memory, thereby reducing the number of parts constituting the memory device of the computer system 700.

構成硬體的半導體裝置由包括OS電晶體的半導體裝置構成,使得包括中央處理器、運算處理裝置以及記憶體裝置的硬體容易被單體化(monolithic)。藉由硬體的單體化,不但可以實現小型化、輕量化、薄型化而且還可以容易降低耗電量。The semiconductor device constituting the hardware is composed of a semiconductor device including an OS transistor, so that the hardware including a central processing unit, an arithmetic processing device, and a memory device can be easily monolithic. Through the singulation of the hardware, not only can be reduced in size, weight, and thickness, but also can easily reduce power consumption.

本實施方式可以與本說明書所示的其他實施方式適當地組合。This embodiment mode can be appropriately combined with other embodiment modes shown in this specification.

實施方式7 藉由利用本說明書等中所示的記憶單元或記憶體裝置等,可以實現常關閉CPU(也稱為“Noff-CPU”)。Noff-CPU是指包括即使閘極電壓為0V也處於非導通狀態(也稱為關閉狀態)的常關閉型電晶體的積體電路。Embodiment 7 By using the memory unit or memory device shown in this manual, etc., a normally-off CPU (also called "Noff-CPU") can be realized. Noff-CPU refers to an integrated circuit including a normally-off type transistor that is in a non-conducting state (also called an off state) even if the gate voltage is 0V.

在Noff-CPU中,可以停止向Noff-CPU中的不需要工作的電路的供電,使該電路處於待機狀態。在供電停止而處於待機狀態的電路中,沒有電力消耗。因此,Noff-CPU可以將用電量抑制到最小限度。此外,即使供電停止,Noff-CPU也可以長時間保持設定條件等工作所需要的資訊。當從待機狀態恢復時,只要再次開始向該電路的供電即可,而不需要設定條件等的再次寫入。就是說,可以高速從待機狀態恢復。如此,Noff-CPU可以降低功耗,而無需大幅度降低工作速度。In the Noff-CPU, you can stop the power supply to the circuit in the Noff-CPU that does not need to work, and put the circuit in a standby state. There is no power consumption in the circuit where the power supply is stopped and in the standby state. Therefore, Noff-CPU can minimize power consumption. In addition, even if the power supply is stopped, the Noff-CPU can maintain the information required for work such as setting conditions for a long time. When recovering from the standby state, it is only necessary to restart the power supply to the circuit, and there is no need to rewrite the setting conditions or the like. In other words, it is possible to recover from the standby state at a high speed. In this way, Noff-CPU can reduce power consumption without drastically reducing the working speed.

作為用來在對Noff-CPU供電停止時也保持設定條件等資訊的記憶體裝置,可以使用本發明的一個實施方式的記憶體裝置。此外,本發明的一個實施方式的記憶體裝置可以應用於Noff-CPU的緩存,還可以應用於Noff-CPU的主記憶體。As a memory device for holding information such as setting conditions even when the power supply to the Noff-CPU is stopped, the memory device according to an embodiment of the present invention can be used. In addition, the memory device of an embodiment of the present invention can be applied to the cache of the Noff-CPU, and can also be applied to the main memory of the Noff-CPU.

可以將Noff-CPU例如應用於IoT領域的IoT終端設備(“也稱為端點微電腦”)803等小規模系統。The Noff-CPU can be applied to small-scale systems such as IoT terminal devices ("also called endpoint microcomputers") 803 in the IoT field, for example.

圖57示出IoT網路的分層結構以及需求規格的傾向。在圖57中,作為需求規格示出功耗804以及處理性能805。在IoT網路的分層結構中大致分為上層部的雲領域801以及下層部的嵌入式領域802。例如,伺服器包括在雲領域801中。例如,機械、工業機器人、車載設備、家電產品等包括在嵌入式領域802中。Figure 57 shows the hierarchical structure of the IoT network and the trend of demand specifications. In FIG. 57, power consumption 804 and processing performance 805 are shown as required specifications. The hierarchical structure of the IoT network is roughly divided into the cloud domain 801 in the upper layer and the embedded domain 802 in the lower layer. For example, the server is included in the cloud domain 801. For example, machinery, industrial robots, vehicle-mounted equipment, home appliances, etc. are included in the embedded field 802.

越是上層,對高處理性能的要求越比對低功耗的要求高。因此,在雲領域801中,使用高性能CPU、高性能GPU以及大規模SoC(System on a Chip:系統級晶片)等。此外,越是下層,對低功耗的要求越比對高處理性能的要求高,器件個數也急劇增加。本發明的一個實施方式的半導體裝置可以應用於被要求低功耗的IoT終端設備的通訊裝置。The higher the level, the higher the requirement for high processing performance than the requirement for low power consumption. Therefore, in the cloud field 801, high-performance CPU, high-performance GPU, and large-scale SoC (System on a Chip) are used. In addition, the lower the layer, the higher the requirement for low power consumption than the requirement for high processing performance, and the number of devices has also increased sharply. The semiconductor device of one embodiment of the present invention can be applied to communication devices of IoT terminal devices that require low power consumption.

此外,“端點”是指嵌入式領域802的終端區域。例如,在工廠、家電產品、基礎設施、農業等中使用的微電腦相當於在端點使用的設備。In addition, "endpoint" refers to the terminal area of the embedded field 802. For example, microcomputers used in factories, home appliances, infrastructure, agriculture, etc. are equivalent to devices used at endpoints.

在圖58中,作為端點微電腦的應用例子,示出工廠自動化的示意圖。工廠884藉由互聯網(Internet)與雲883連接。此外,雲883藉由互聯網與家庭881及公司882連接。互聯網既可以是有線通訊方式,又可以是無線通訊方式。例如,在是無線通訊方式的情況下,可以使用第四代移動通訊系統(4G)或第五代移動通訊系統(5G)。工廠884可以藉由互聯網與工廠885及工廠886連接。In FIG. 58, a schematic diagram of factory automation is shown as an application example of the endpoint microcomputer. The factory 884 is connected to the cloud 883 via the Internet. In addition, Cloud 883 is connected to home 881 and company 882 via the Internet. The Internet can be either a wired communication method or a wireless communication method. For example, in the case of wireless communication, the fourth-generation mobile communication system (4G) or the fifth-generation mobile communication system (5G) can be used. The factory 884 can be connected to the factory 885 and the factory 886 via the Internet.

工廠884包括主設備(控制設備)831。主設備831具有與雲883連接而進行資訊的發送及接收的功能。此外,主設備831藉由M2M(機器對機器)介面832與包括在IoT終端設備841的多個工業機器人842連接。作為M2M介面832,例如,可以使用有線通訊方式之一的工業乙太網或者無線通訊方式之一的局部5G(Local5G)等。The factory 884 includes main equipment (control equipment) 831. The main device 831 has a function of connecting to the cloud 883 to send and receive information. In addition, the main device 831 is connected to a plurality of industrial robots 842 included in the IoT terminal device 841 through an M2M (machine-to-machine) interface 832. As the M2M interface 832, for example, Industrial Ethernet, which is one of wired communication methods, or Local 5G, which is one of wireless communication methods, can be used.

工廠的管理者可以在家庭881或公司882藉由雲883連接到工廠884而確認工作狀況等。此外,可以進行產品的錯誤及短缺的檢查、放置地方的指示以及節拍時間(takt time)的測量等。The manager of the factory can connect to the factory 884 through the cloud 883 at home 881 or company 882 to confirm the working status and so on. In addition, product errors and shortages can be checked, placement instructions, and takt time measurement, etc. can be performed.

近年來,在“智慧工廠”的推動下IoT在全球範圍被導入工廠。作為智慧工廠的實例,已知有如下實例:不僅利用端點微電腦進行檢查以及監查,而且進行故障檢測或異常預測等。In recent years, IoT has been introduced into factories around the world under the impetus of "smart factories". As an example of a smart factory, the following examples are known: not only the endpoint microcomputer is used for inspection and monitoring, but also for fault detection or abnormality prediction.

在端點微電腦等小規模系統中,在很多情況下,工作時的系統整體的功耗低,因此CPU的耗電量佔比容易變大。由此,在端點微電腦等小規模系統中,Noff-CPU所帶來的待機狀態中的功率降低效應變大。另一方面,IoT的嵌入式領域有時被要求快速反應能力,藉由使用Noff-CPU可以高速從待機狀態恢復。In small-scale systems such as endpoint microcomputers, in many cases, the power consumption of the entire system during operation is low, so the power consumption of the CPU tends to increase. As a result, in small-scale systems such as endpoint microcomputers, the power reduction effect in the standby state brought about by the Noff-CPU becomes greater. On the other hand, the embedded field of IoT is sometimes required to respond quickly, and by using Noff-CPU, it can recover from standby at a high speed.

本實施方式所示的構成、結構、方法等可以與其他本實施方式所示的構成、結構、方法等適當地組合而使用。The structure, structure, method, etc. shown in this embodiment can be used in appropriate combination with other structures, structures, methods, etc. shown in this embodiment.

100:記憶單元 101:絕緣體 102:導電體 103:導電體 108:中心軸 111:絕緣體 112:導電體 113:半導體 114:半導體 115:絕緣體 116:半導體 117:絕緣體 118:導電體 121:半導體 122:絕緣體 123:絕緣體 130:結構體 131:開口 132:區域 135:區域 136:區域 140:疊層體 141:犧牲層100: memory unit 101: Insulator 102: Conductor 103: Conductor 108: central axis 111: Insulator 112: Conductor 113: Semiconductor 114: Semiconductor 115: Insulator 116: Semiconductor 117: Insulator 118: Conductor 121: Semiconductor 122: Insulator 123: Insulator 130: structure 131: opening 132: area 135: area 136: area 140: laminated body 141: Sacrifice Layer

在圖式中: [圖1A]是記憶單元的立體圖,[圖1B]是記憶單元的剖面圖; [圖2A]和[圖2B]是記憶單元的剖面圖; [圖3A]和[圖3B]是記憶單元的剖面圖; [圖4A]至[圖4C]是記憶單元的等效電路圖; [圖5A]和[圖5B]是記憶單元的等效電路圖; [圖6]是記憶體串的剖面圖; [圖7]是記憶體串的等效電路圖; [圖8]是記憶體串的等效電路圖; [圖9]是記憶體串的等效電路圖; [圖10]是記憶體串的等效電路圖; [圖11A]和[圖11B]是記憶體串的俯視圖; [圖12A]和[圖12B]是記憶單元的剖面圖; [圖13A]和[圖13B]是記憶單元的剖面圖; [圖14]是記憶單元的剖面圖; [圖15]是記憶單元的剖面圖; [圖16A]和[圖16B]是記憶單元的剖面圖; [圖17A]和[圖17B]是記憶單元的剖面圖; [圖18A]是記憶單元的立體圖,[圖18B]是記憶單元的剖面圖; [圖19A]是記憶單元的立體圖,[圖19B]是記憶單元的剖面圖; [圖20A]和[圖20B]是記憶單元的剖面圖; [圖21]是記憶單元的剖面圖; [圖22A]和[圖22B]是記憶單元的剖面圖; [圖23A]和[圖23B]是記憶單元的剖面圖; [圖24A]和[圖24B]是記憶單元的剖面圖; [圖25A]和[圖25B]是記憶單元的剖面圖; [圖26]是記憶體串的俯視圖; [圖27A]是說明氧化物半導體的晶體結構的分類的圖,[圖27B]是說明CAAC-IGZO膜的XRD譜圖的圖,[圖27C]是說明CAAC-IGZO膜的奈米束電子繞射圖案的圖, [圖28A]和[圖28B]是說明記憶單元的製造方法的剖面圖; [圖29A]和[圖29B]是說明記憶單元的製造方法的剖面圖; [圖30A]和[圖30B]是說明記憶單元的製造方法的剖面圖; [圖31A]和[圖31B]是說明記憶單元的製造方法的剖面圖; [圖32A]和[圖32B]是說明記憶單元的製造方法的剖面圖; [圖33A]和[圖33B]是說明記憶單元的製造方法的剖面圖; [圖34A]是說明記憶單元的製造方法的剖面圖,[圖34B]是說明記憶單元的製造方法的立體圖; [圖35A]和[圖35B]是說明記憶單元的製造方法的剖面圖; [圖36A]和[圖36B]是說明記憶單元的製造方法的剖面圖; [圖37]是半導體裝置的電路圖; [圖38A]和[圖38B]是說明半導體裝置的工作例子的時序圖; [圖39A]是說明半導體裝置的結構例子的立體圖,[圖39B]是說明半導體裝置的結構例子的俯視圖,[圖39C]是說明半導體裝置的結構例子的剖面圖; [圖40A]是說明半導體裝置的結構例子的立體圖,[圖40B]是說明半導體裝置的結構例子的俯視圖,[圖40C]是說明半導體裝置的結構例子的剖面圖; [圖41A]和[圖41B]是說明半導體裝置的剖面圖; [圖42A]和[圖42B]是說明半導體裝置的剖面圖; [圖43]是說明半導體裝置的結構例子的方塊圖, [圖44A]至[圖44G]是說明記憶單元的電路結構例子的圖; [圖45A]和[圖45B]是說明記憶單元的電路結構例子的圖; [圖46A]至[圖46C]是說明半導體裝置的結構例子的立體圖; [圖47A]是示出半導體晶圓的一個例子的立體圖,[圖47B]是示出半導體晶片的一個例子的立體圖,[圖47C]和[圖47D]是示出電子部件的一個例子的立體圖; [圖48]是說明CPU的方塊圖; [圖49A]和[圖49B]是半導體裝置的立體圖; [圖50A]和[圖50B]是半導體裝置的立體圖; [圖51A]和[圖51B]是半導體裝置的立體圖; [圖52A]和[圖52B]是示出各級類記憶體裝置的圖; [圖53A]至[圖53J]是說明電子裝置的一個例子的立體圖或示意圖; [圖54A]至[圖54E]是說明電子裝置的一個例子的立體圖或示意圖; [圖55A]至[圖55C]是說明電子裝置的一個例子的圖; [圖56]是說明電腦系統的結構例子的圖; [圖57]是示出IoT網路的級別結構及需求規格的圖; [圖58]是工廠自動化的示意圖。In the schema: [FIG. 1A] is a perspective view of the memory unit, [FIG. 1B] is a cross-sectional view of the memory unit; [FIG. 2A] and [FIG. 2B] are cross-sectional views of the memory unit; [FIG. 3A] and [FIG. 3B] are cross-sectional views of the memory unit; [FIG. 4A] to [FIG. 4C] are equivalent circuit diagrams of the memory cell; [Fig. 5A] and [Fig. 5B] are equivalent circuit diagrams of the memory cell; [Figure 6] is a cross-sectional view of the memory string; [Figure 7] is the equivalent circuit diagram of the memory string; [Figure 8] is an equivalent circuit diagram of the memory string; [Figure 9] is an equivalent circuit diagram of the memory string; [Figure 10] is the equivalent circuit diagram of the memory string; [FIG. 11A] and [FIG. 11B] are top views of the memory string; [FIG. 12A] and [FIG. 12B] are cross-sectional views of the memory unit; [FIG. 13A] and [FIG. 13B] are cross-sectional views of the memory unit; [Figure 14] is a cross-sectional view of the memory unit; [Figure 15] is a cross-sectional view of the memory unit; [FIG. 16A] and [FIG. 16B] are cross-sectional views of the memory unit; [FIG. 17A] and [FIG. 17B] are cross-sectional views of the memory unit; [FIG. 18A] is a perspective view of the memory unit, [FIG. 18B] is a cross-sectional view of the memory unit; [FIG. 19A] is a perspective view of the memory unit, [FIG. 19B] is a cross-sectional view of the memory unit; [FIG. 20A] and [FIG. 20B] are cross-sectional views of the memory unit; [Fig. 21] is a cross-sectional view of the memory unit; [FIG. 22A] and [FIG. 22B] are cross-sectional views of the memory unit; [FIG. 23A] and [FIG. 23B] are cross-sectional views of the memory unit; [FIG. 24A] and [FIG. 24B] are cross-sectional views of the memory unit; [FIG. 25A] and [FIG. 25B] are cross-sectional views of the memory unit; [Figure 26] is a top view of the memory string; [FIG. 27A] is a diagram illustrating the classification of the crystal structure of an oxide semiconductor, [FIG. 27B] is a diagram illustrating the XRD spectrum of the CAAC-IGZO film, and [FIG. 27C] is a diagram illustrating the nanobeam electron winding of the CAAC-IGZO film. Shot patterns, [FIG. 28A] and [FIG. 28B] are cross-sectional views illustrating the manufacturing method of the memory cell; [FIG. 29A] and [FIG. 29B] are cross-sectional views illustrating a method of manufacturing a memory cell; [FIG. 30A] and [FIG. 30B] are cross-sectional views illustrating the manufacturing method of the memory cell; [FIG. 31A] and [FIG. 31B] are cross-sectional views illustrating a method of manufacturing a memory cell; [FIG. 32A] and [FIG. 32B] are cross-sectional views illustrating the manufacturing method of the memory cell; [FIG. 33A] and [FIG. 33B] are cross-sectional views illustrating a method of manufacturing a memory cell; [FIG. 34A] is a cross-sectional view illustrating the method of manufacturing the memory cell, and [FIG. 34B] is a perspective view illustrating the method of manufacturing the memory cell; [FIG. 35A] and [FIG. 35B] are cross-sectional views illustrating a method of manufacturing a memory cell; [FIG. 36A] and [FIG. 36B] are cross-sectional views illustrating a method of manufacturing a memory cell; [FIG. 37] is a circuit diagram of the semiconductor device; [FIG. 38A] and [FIG. 38B] are timing diagrams illustrating an example of the operation of the semiconductor device; [FIG. 39A] is a perspective view illustrating a structure example of a semiconductor device, [FIG. 39B] is a plan view illustrating a structure example of a semiconductor device, and [FIG. 39C] is a cross-sectional view illustrating a structure example of a semiconductor device; [FIG. 40A] is a perspective view illustrating a structure example of a semiconductor device, [FIG. 40B] is a plan view illustrating a structure example of a semiconductor device, and [FIG. 40C] is a cross-sectional view illustrating a structure example of a semiconductor device; [FIG. 41A] and [FIG. 41B] are cross-sectional views illustrating the semiconductor device; [FIG. 42A] and [FIG. 42B] are cross-sectional views illustrating the semiconductor device; [FIG. 43] is a block diagram illustrating a structural example of a semiconductor device, [FIG. 44A] to [FIG. 44G] are diagrams illustrating examples of the circuit structure of the memory unit; [FIG. 45A] and [FIG. 45B] are diagrams illustrating examples of the circuit structure of the memory unit; [FIG. 46A] to [FIG. 46C] are perspective views illustrating structural examples of semiconductor devices; [FIG. 47A] is a perspective view showing an example of a semiconductor wafer, [FIG. 47B] is a perspective view showing an example of a semiconductor wafer, [FIG. 47C] and [FIG. 47D] are perspective views showing an example of electronic components ; [Figure 48] is a block diagram illustrating the CPU; [FIG. 49A] and [FIG. 49B] are perspective views of the semiconductor device; [FIG. 50A] and [FIG. 50B] are perspective views of the semiconductor device; [FIG. 51A] and [FIG. 51B] are perspective views of the semiconductor device; [FIG. 52A] and [FIG. 52B] are diagrams showing various types of memory devices; [FIG. 53A] to [FIG. 53J] are perspective views or schematic diagrams illustrating an example of an electronic device; [FIG. 54A] to [FIG. 54E] are perspective views or schematic diagrams illustrating an example of an electronic device; [FIG. 55A] to [FIG. 55C] are diagrams illustrating an example of an electronic device; [Fig. 56] is a diagram illustrating an example of the structure of a computer system; [Figure 57] is a diagram showing the hierarchical structure and demand specifications of the IoT network; [Figure 58] is a schematic diagram of factory automation.

100:記憶單元 100: memory unit

101:絕緣體 101: Insulator

102:導電體 102: Conductor

103:導電體 103: Conductor

108:中心軸 108: central axis

111:絕緣體 111: Insulator

112:導電體 112: Conductor

113:半導體 113: Semiconductor

114:半導體 114: Semiconductor

115:絕緣體 115: Insulator

116:半導體 116: Semiconductor

117:絕緣體 117: Insulator

118:導電體 118: Conductor

123:絕緣體 123: Insulator

130:結構體 130: structure

Claims (9)

一種半導體裝置,包括: 在第一方向上延伸的結構體; 在第二方向上延伸的第一導電體;以及 在該第二方向上延伸的第二導電體, 其中,該結構體包括: 在該第一方向上延伸的第三導電體; 與該第三導電體相鄰的第一絕緣體; 與該第一絕緣體相鄰的第一半導體;以及 與該第一半導體相鄰的第二絕緣體, 在該結構體與該第一導電體交叉的第一交叉部,該半導體裝置在該結構體與該第一導電體之間包括: 與該第二絕緣體相鄰的第二半導體;以及 與該第二半導體相鄰的第三絕緣體, 在該結構體與該第二導電體交叉的第二交叉部,該結構體包括: 與該第二絕緣體相鄰的第四導電體;以及 與該第四導電體相鄰的第四絕緣體, 在該第一交叉部,該第一絕緣體、該第一半導體、該第二絕緣體、該第二半導體以及該第三絕緣體圍繞該第三導電體設置為同心狀, 並且,在該第二交叉部,該第一絕緣體、該第一半導體、該第二絕緣體、該第四導電體以及該第四絕緣體圍繞該第三導電體設置為同心狀。A semiconductor device including: A structure extending in the first direction; A first electrical conductor extending in the second direction; and A second electrical conductor extending in the second direction, Among them, the structure includes: A third electrical conductor extending in the first direction; A first insulator adjacent to the third electrical conductor; A first semiconductor adjacent to the first insulator; and A second insulator adjacent to the first semiconductor, At the first intersection where the structure and the first electrical conductor intersect, the semiconductor device includes between the structure and the first electrical conductor: A second semiconductor adjacent to the second insulator; and A third insulator adjacent to the second semiconductor, At the second intersection where the structure and the second electrical conductor intersect, the structure includes: A fourth electrical conductor adjacent to the second insulator; and A fourth insulator adjacent to the fourth electrical conductor, At the first intersection, the first insulator, the first semiconductor, the second insulator, the second semiconductor, and the third insulator are arranged concentrically around the third conductive body, And, at the second intersection, the first insulator, the first semiconductor, the second insulator, the fourth electrical conductor, and the fourth insulator are arranged concentrically around the third electrical conductor. 如請求項1之半導體裝置, 其中該第一方向與該第二方向正交。Such as the semiconductor device of claim 1, The first direction is orthogonal to the second direction. 如請求項1之半導體裝置, 其中該第一交叉部用作第一電晶體, 並且該第二交叉部用作第二電晶體及電容器。Such as the semiconductor device of claim 1, Where the first intersection is used as the first transistor, And the second intersection is used as a second transistor and a capacitor. 如請求項1之半導體裝置, 其中該第一半導體為氧化物半導體。Such as the semiconductor device of claim 1, The first semiconductor is an oxide semiconductor. 如請求項4之半導體裝置, 其中該氧化物半導體包含銦和鋅中的至少一個。Such as the semiconductor device of claim 4, Wherein the oxide semiconductor contains at least one of indium and zinc. 如請求項1之半導體裝置, 其中該第二半導體為氧化物半導體。Such as the semiconductor device of claim 1, The second semiconductor is an oxide semiconductor. 如請求項6之半導體裝置, 其中該氧化物半導體包含銦和鋅中的至少一個。Such as the semiconductor device of claim 6, Wherein the oxide semiconductor contains at least one of indium and zinc. 如請求項1之半導體裝置,用作NAND型記憶體裝置。The semiconductor device of claim 1 is used as a NAND-type memory device. 一種電子裝置,包括: 如請求項1之半導體裝置;以及 操作開關、電池以及顯示部中的至少一個。An electronic device, including: Such as the semiconductor device of claim 1; and At least one of a switch, a battery, and a display unit is operated.
TW109129691A 2019-10-31 2020-08-31 Semiconductor device and electronic device TW202135286A (en)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP2019-199005 2019-10-31
JP2019199005 2019-10-31
JP2019203738 2019-11-11
JP2019-203738 2019-11-11
JP2019-208041 2019-11-18
JP2019208041 2019-11-18
JP2019-216249 2019-11-29
JP2019216249 2019-11-29
JP2019230250 2019-12-20
JP2019-230250 2019-12-20

Publications (1)

Publication Number Publication Date
TW202135286A true TW202135286A (en) 2021-09-16

Family

ID=75645714

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129691A TW202135286A (en) 2019-10-31 2020-08-31 Semiconductor device and electronic device

Country Status (5)

Country Link
US (3) US11335812B2 (en)
JP (1) JP2021100101A (en)
KR (1) KR20210052196A (en)
CN (1) CN112750832A (en)
TW (1) TW202135286A (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11309332B2 (en) * 2019-09-12 2022-04-19 Sandisk Technologies Llc Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal-containing conductive elements and method of making thereof
JPWO2021094844A1 (en) 2019-11-11 2021-05-20
US11963352B2 (en) 2020-08-31 2024-04-16 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
WO2022239199A1 (en) * 2021-05-13 2022-11-17 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Memory device using semiconductor element
US20230066107A1 (en) * 2021-08-26 2023-03-02 International Business Machines Corporation Ai accelerator with integrated pcm and mram

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9634097B2 (en) * 2014-11-25 2017-04-25 Sandisk Technologies Llc 3D NAND with oxide semiconductor channel
US9761732B2 (en) * 2015-02-25 2017-09-12 Snaptrack Inc. Tunnel thin film transistor with hetero-junction structure
JP6343256B2 (en) * 2015-05-29 2018-06-13 東芝メモリ株式会社 Semiconductor device and manufacturing method thereof
JP6400536B2 (en) * 2015-08-04 2018-10-03 東芝メモリ株式会社 Semiconductor memory device
KR20180012640A (en) * 2016-07-27 2018-02-06 삼성전자주식회사 Vertical memory device and method of manufacturing the same
JP6693907B2 (en) 2017-06-08 2020-05-13 株式会社半導体エネルギー研究所 Semiconductor device, storage device, and electronic device
US10593693B2 (en) * 2017-06-16 2020-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
JP7195068B2 (en) * 2017-06-26 2022-12-23 株式会社半導体エネルギー研究所 Semiconductor equipment, electronic equipment
CN110832640B (en) 2017-06-27 2023-12-08 株式会社半导体能源研究所 Semiconductor device, semiconductor wafer, memory device, and electronic apparatus

Also Published As

Publication number Publication date
CN112750832A (en) 2021-05-04
US20240105855A1 (en) 2024-03-28
US20210135010A1 (en) 2021-05-06
US11843059B2 (en) 2023-12-12
JP2021100101A (en) 2021-07-01
US20220223739A1 (en) 2022-07-14
US11335812B2 (en) 2022-05-17
KR20210052196A (en) 2021-05-10

Similar Documents

Publication Publication Date Title
TW202135286A (en) Semiconductor device and electronic device
KR20200032122A (en) Semiconductor device and manufacturing method of semiconductor device
WO2021144661A1 (en) Semiconductor apparatus, driving method of semiconductor apparatus, and electronic device
WO2021090092A1 (en) Storage device, method for operating storage device, information processing device, information processing system and electronic device
US20220350571A1 (en) Information processing device
US20230320100A1 (en) Semiconductor device
WO2021059074A1 (en) Memory device
WO2021024071A1 (en) Memory device
WO2021111243A1 (en) Semiconductor device and electronic apparatus
WO2021099885A1 (en) Semiconductor device and electronic apparatus
WO2021099879A1 (en) Computer system, and method for operating information processing device
WO2021094844A1 (en) Information processing device and operation method for information processing device
WO2023187544A1 (en) Semiconductor device, memory device, and electronic device
WO2022064318A1 (en) Semiconductor device, method for driving semiconductor device, and electronic apparatus
WO2023047229A1 (en) Semiconductor device, storage device, and electronic device
JP2024058633A (en) Semiconductor Device
WO2023156883A1 (en) Semiconductor device and method for manufacturing semiconductor device
WO2021028770A1 (en) Memory device
KR20240052666A (en) Semiconductor device
KR20230003476A (en) semiconductor device
TW202401740A (en) Semiconductor device, storage device, and electronic device
CN117896981A (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
TW202343579A (en) Semiconductor device
TW202337000A (en) Semiconductor device and method for manufacturing semiconductor device