TW202128540A - 需要轉動對準之邊緣環的自動化轉移 - Google Patents

需要轉動對準之邊緣環的自動化轉移 Download PDF

Info

Publication number
TW202128540A
TW202128540A TW109118419A TW109118419A TW202128540A TW 202128540 A TW202128540 A TW 202128540A TW 109118419 A TW109118419 A TW 109118419A TW 109118419 A TW109118419 A TW 109118419A TW 202128540 A TW202128540 A TW 202128540A
Authority
TW
Taiwan
Prior art keywords
storage station
ring storage
processing system
substrate processing
finger
Prior art date
Application number
TW109118419A
Other languages
English (en)
Inventor
達蒙 蒂龍 杰納堤
羅納德 史考特 鮑威爾
達里爾 安吉洛
韓慧玲
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202128540A publication Critical patent/TW202128540A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D85/00Containers, packaging elements or packages, specially adapted for particular articles or materials
    • B65D85/02Containers, packaging elements or packages, specially adapted for particular articles or materials for annular articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • B65G1/04Storage devices mechanical
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

輸送消耗性部件至基板處理系統的環儲存站包含外殼,外殼包含底板與設置於底板上方的旋轉板。末端執行器開口係設置於外殼的第一側處而服務窗開口係設置於外殼的第二側處。一組指支撐結構係連接至旋轉板。每一指支撐結構包含一支撐柱及設置於其上的複數支撐件。該組支撐柱中的兩支撐柱包含具有複數索引銷的複數支撐件,以在消耗性部件被放置至環儲存站中時徑向對準消耗性部件。在一組態中,可設計消耗性部件以匹配旋轉角度銜合,以確保捕捉環儲存站與處理模組之間的角度對準。

Description

需要轉動對準之邊緣環的自動化轉移
本發明實施例係關於用於製造半導體晶圓之叢集設備組件,更具體而言係關於在將消耗性部件輸送至叢集設備組件內之處理模組之前用以預先對準消耗性部件的環儲存站。
在處理半導體晶圓中所用之典型叢集設備組件包含晶圓儲存盒如用以輸送及儲存基板的前開口統一艙(FOUP)、在FOUP與加載互鎖腔室如氣鎖之間之介面處的設備前端模組(EFEM)、及耦合至加載互鎖腔室的一或多個處理模組。每一處理模組係用以進行特定製造操作如清理操作、沉積、蝕刻操作、沖洗操作、乾燥操作等。用以進行此些操作的化學品及/或處理條件可能會磨耗常暴露至處理模組內之嚴峻條件之處理模組的某些硬體元件。此些受到磨耗的硬體元件需要被週期性地置換以確保受到磨耗的硬體元件不會在處理模組中造成嚴峻的化學品或雜質生成,而在半導體晶圓處理期間沉積在或磨耗處理模組中的下方硬體元件。硬體元件可能例如是在處理模組內與半導體晶圓相鄰的邊緣環。在蝕刻操作期間,邊緣環可能會因為連續地暴露至處理模組內所產生之電漿的離子轟擊而被磨耗。受到磨耗的邊緣環需要被置換以確保處理之批次與批次之間的重覆性以及下方之硬體元件如夾頭的功能不會受損。
本發明之實施例係於此背景下產生。
本發明之實施例定義可耦合至基板處理系統的環儲存站,環儲存站被設計用於在毋須破真空(即將基板處理系統暴露至大氣條件)的情況下移除及置換基板處理系統內之處理模組之經磨耗或用過的硬體元件如邊緣環。基板處理系統在文中亦稱為叢集設備組件。可被置換之經磨耗或用過的硬體元件在文中亦稱為消耗性部件。基板處理系統包含一或多個處理模組,每一處理模組係用以進行半導體晶圓處理操作。當消耗性部件被暴露至處理模組中之化學品與處理條件時,消耗性部件受到磨耗、使用、或消耗而必須及時置換。藉著置換儲存在設置至基板處理系統之環儲存站中的新部件,可在不開啟基板處理系統的情況下更換用過的消耗性部件。環儲存站包含具有隔室之部件緩衝件,隔室係用以儲存新的消耗性部件及/或已被移除之用過的消耗性部件。環儲存站及包含處理模組(複數處理模組)之基板處理系統的各種模組及元件係耦合至控制器,使控制器能協調當處理模組(複數處理模組)維持在真空狀態下時環儲存站與處理模組(複數處理模組)之間的接取,以在受控的環境中置換消耗性部件。
為了容易接取用過的或受到損耗的消耗性部件,基板處理系統的處理模組被設計為包含舉升銷機構。當銜合時,舉升銷機構係用以使消耗性部件自安裝位置移動至置換位置,因此基板處理系統內可用之機器人的末端執行器可用以自處理模組接取及取回已經舉升之消耗性部件。自環儲存站取出置換之消耗性部件(即新的消耗性部件)並將其輸送至處理模組,舉升銷機構係用以接收新的消耗性部件並將其降下至處理模組中的位置中。
環儲存站與基板處理系統的設計能消除為了接取用過之消耗性部件而將基板處理系統開放至大氣條件的需要。例如,基板處理系統可包含維持在大氣條件下的設備前端模組(EFEM)。EFEM的第一側可耦合至一或多個用以將基板傳送出、入基板處理系統的基板儲存站(如FOUP)。除了基板儲存站之外,一或多個環儲存站可耦合至EFEM的第二側或第三側。真空傳送模組可經由一或多個加載互鎖腔室(在文中亦稱為氣鎖)而耦合至EFEM的第三側。一或多個處理模組可耦合至真空傳送模組。
EFEM之機器人可用以在環儲存站與氣鎖之間傳送消耗性部件。在此類實施例中,氣鎖藉由被維持在大氣條件下時能自EFEM接收消耗性部件而具有介面的功能。在接收消耗性部件之後,將氣鎖泵抽至真空,真空傳送模組之機器人係用以將消耗性部件移動至處理模組。真空傳送模組之機器人係用以將消耗性部件移動至處理模組中。處理模組內的舉升銷機構藉著舉升及降低消耗性部件而提供對消耗性部件之接取,因此真空傳送模組的機器人可在真空條件下置換消耗性部件。
真空傳送模組的機器人及處理模組的舉升銷機構能精準地輸送及取回消耗性部件,藉此消除在置換消耗性部件期間損傷處理模組之任何硬體元件的風險。當以受到控制之方式將消耗性部件移動至處理模組中時,可實質上減少在置換用過之消耗性部件之後重新調校處理模組以使處理模組能進入活化操作狀態所需的時間。
在一實施例中,揭露一種用以將消耗性部件輸送至基板處理系統的環儲存站。環儲存站包含外殼。環儲存站之外殼包含基板及設置於基板上方的旋轉板。末端執行器接取開口係設置於外殼的第一側處。服務窗開口係設置在外殼的第二側處。一組指支撐結構係連接至外殼內的旋轉板。每一該組指支撐結構包含一支撐柱及定義於其上的複數支撐件。該組指支撐結構中的至少兩者包含具有索引銷的支撐指。索引銷係用以在消耗性部件係置於環儲存站中時提供消耗性部件用的旋轉對準。
在另一實施例中,揭露一種用以將消耗性部件輸送至基板處理系統的環儲存站。環儲存站包含外殼。外殼包含基板、設置在外殼之第一側處的末端執行器接取開口、設置在外殼之第二側上的服務窗開口、一組指支撐結構,其中指支撐結構包含設置在對應支撐柱上的支撐件。該組指支撐結構係連接至外殼內的基板。指支撐結構中的至少一者為可調整之指支撐結構。在更另一實施例中,揭露一種用以將消耗性部件輸送至基板處理系統的環儲存站。環儲存站包含基板、設置在環儲存站之第一側上的第一開口、設置在環儲存站之第二側上的第二開口、及定義於基板上的一組指支撐結構。每一該指支撐結構包含一支撐柱及複數支撐件,複數支撐件自支撐柱延伸至環儲存站的中心。指支撐結構中的至少兩者包含具有索引銷的支撐件。索引銷係用以在消耗性部件係置於環儲存站中時提供消耗性部件用的旋轉對準。載具板外殼係定義於基板上。載具板外殼係用以容納載具板,載具板係用於將消耗性部件移動往、返環儲存站。
在另一實施例中,可藉由在固定環儲存站之前端處的可移動的FOUP而裝載新的及/或用過的消耗性部件,固定環儲存站可附接至EFEM。此實施例能自動裝載及卸載消耗性部件。
自下面以實例說明本發明原理的詳細說明、附圖,當能明白本發明之其他態樣。
文中之實施例定義耦合至基板處理系統的環儲存站,基板處理系統係用以處理半導體基板。基板處理系統包含複數模組如設備前端模組(EFEM)、真空傳送模組(VTM)、設置在EFEM與VTM之間之一或多個加載互鎖腔室、及用以在半導體基板上進行處理操作的一或多個處理模組。處理模組及一或多個加載互鎖腔室係繞著VTM對稱分佈。可在不同處理模組中進行的某些處理操作包含清理操作、沉積、蝕刻操作、沖洗操作、乾燥操作等。晶圓儲存站係安裝至定義在EFEM之第一側上的裝載接口且用以提供處理用的基板。環儲存站係安裝至EFEM的一側並用以將消耗性部件(如邊緣環)輸送至基板處理系統以用於處理模組內之置換。基板處理系統內之專用機器人係用以自處理模組取回用過的消耗性部件並以自環儲存站所取回的新的消耗性部件取代。消耗性部件係於處理模組內以受到控制的方式受到置換,俾以避免對處理模組或基板處理系統之元件的任何污染風險。
基板處理系統的傳統設計需要開放基板處理系統以接取並置換處理模組內的消耗性部件如邊緣環。開放基板處理系統需要使基板處理系統離線並將基板處理系統吹淨至大氣條件以接取處理模組。一旦基板處理系統開放,受過訓練的技術人員可手動自處理模組移除消耗性部件並加以更換。一旦置換消耗性部件後,必須重新調校基板處理系統俾以處理半導體基板。由於半導體基板為有價值的產品,在調校基板處理系統時必須要格外小心。調校需要清理基板處理系統、將基板處理系統泵抽至真空、調整基板處理系統的條件、及利用測試運行使基板處理系統符合特定品質。除了在調校基板處理系統之每一步驟所需的時間外,當在調校基板處理系統期間的一或多個步驟處遇到問題時可能會經歷額外的遲延。
在調校基板處理系統期間常遇到的某些問題可包含在更換期間消耗性部件的失準、在置換用過之消耗性部件時對新消耗性部件的損傷、在取回或置換消耗性部件期間對處理模組中的其他硬體元件的損傷、在泵抽之後基板處理系統無法達到真空、基板處理系統無法達到處理效能等。基於每一問題的嚴重性,可能需要額外的時間及努力,因而更進行一步地遲延基板處理系統上線的時間、直接影響製造商的利潤空間。消耗性部件之設計允許環儲存站內之預先對準,俾使消耗性部件在被輸送至處理模組時能與處理模組內所用之舉升銷機構的舉升銷對準。消耗性部件的設計及環儲存站的設計使基板處理系統的機器人及處理模組內用的舉升銷機構能在不損傷消耗性部件或處理模組或基板處理系統內之任何元件的情況下可靠地置換消耗性部件。溝槽係設置於消耗性部件之下側表面上,且用以在消耗性部件被裝載至環儲存站中時與設置在環儲存站內之指支撐件上的索引銷對準。環儲存站的底板結構係用以提供環儲存站之指支撐結構對邊緣環之溝槽的旋轉對準。
設置在消耗性部件之下側表面上的溝槽定義「防移動」特徵部,因為在此些溝槽與舉升銷銜合時能協助消耗性部件維持於定位,藉此避免消耗性部件「移動」或滑動。使用溝槽特徵部之消耗性部件的預先對準以及使用機器人確保可以精確對準的方式輸送邊緣環,俾以在受控的環境中進行邊緣環的及時置換。在受控的環境中及時置換消耗性部件能減少調校基板處理系統所需的時間量,藉此增加定義在半導體基板上之半導體元件的品質及良率。
在一實施例中,環儲存站係安裝至基板處理系統之設備前端模組(EFEM)的一側。EFEM之機器人係與基板處理系統的一或多個機器人一起使用,以自處理模組接取及置換消耗性部件。在其他實施例中,環儲存站亦被設計為安裝至基板處理系統的不同模組,以毋須令基板處理系統開放至大氣條件而接取消耗性部件的方式置換消耗性部件。
例如,環儲存站可直接安裝至基板處理系統內的真空傳送模組(VTM)。安裝環儲存站能確保維持VTM的對稱性。用以將半導體基板移出、入處理模組之VTM的機器人可用以取回及置換放置在一或多個處理模組內的消耗性部件。
在另一實例中,環儲存站可直接安裝至基板處理系統之需要置換消耗性部件的處理模組。在此類實施例中,環儲存站可包含用以自處理模組取回及置換消耗性部件的機器人。
將環儲存站安裝至基板處理系統並經由環儲存站接取消耗性部件能節省維持基板處理系統所需的大量時間及努力。藉著使用基板處理系統可用的機器人(複數機器人)及處理模組內可用的舉升銷機構於置換消耗性部件,可最少化對消耗性部件、處理模組、及/或基板處理系統的損傷。當在受控的環境中進行消耗性部件之置換時能最小化污染的風險,藉此避免將基板處理系統的內部暴露至外部大氣。處理模組的舉升銷機構能致使處理模組中之消耗性部件的更精確對準。因此,可大幅減少調校基板處理系統所需的時間。及時置換消耗性部件能增加定義在半導體晶圓中之半導體元件的品質及良率。
在具有對本發明實施例之大致瞭解後,下面將參考各種圖示討論特定實施例的細節。
圖1例示在一實施例中簡單基板處理系統100之簡化概圖,基板處理系統100係用以處理半導體基板,環儲存站108可耦合至基板處理系統。基板處理系統100包含複數模組以使半導體基板在受控的環境中受到處理。例如,圖中所示之基板處理系統100包含設備前端模組(EFEM)102、共用的真空傳送模組(VTM)104、及繞著VTM 104定義以與一或多個處理模組(如112)整合的一或多個刻面。刻面係定義用以對VTM 104提供對稱性。一或多個裝載接口101a-101c係定義在EFEM 102的第一側102a上,在此側上容納基板儲存站(如前開口統一艙或FOUP)115。EFEM 102係於周遭環境(即大氣)條件下操作,藉此允許自基板儲存站115將半導體基板帶至整合式的基板處理系統100中以進行處理並允許半導體基板在處理後返回。
VTM 104及處理模組係於真空下操作,以最少化處理期間當半導體基板自一處理模組移動至另一處理模組時半導體基板表面對大氣之暴露。由於VTM 104係於真空下操作但EFEM 102係於大氣條件下操作,一或多個加載互鎖腔室110係位於EFEM 102與VTM 104之間的介面處,以提供經由EFEM 102將半導體基板自基板儲存站115傳送至VTM 104用的受控介面。在圖1中顯示,右側的加載互鎖腔室110R及左側的加載互鎖腔室110L係設置於EFEM 102與VTM 104之間。
在一實施例中,環儲存站108a係耦合至EFEM 102的第二側102b(即圖1中所示的選擇1位置)且一或多個加載互鎖腔室110A係耦合至第三側102c,第三側102c係與EFEM 102的第一側101a相對。環儲存站108a包含具有以水平位向堆疊的隔室或槽口的部件緩衝件,以支撐消耗性部件如邊緣環。在一實施例中,耦合至EFEM 102之第二側的環儲存站108a可設置在耦合至EFEM 102之第二側之晶圓儲存站115’(未顯示)之上部上。
圖1A例示一此類實施例,其中環儲存站108a係耦合至定義在EFEM 102之第二側之晶圓儲存站115’上方的EFEM 102。
參考回圖1,在一替代性實施例中,環儲存站108b可定義在第三側上,一或多個加載互鎖腔室110係耦合至第三側(即圖1中所示之選擇2位置)。在此實施例中,環儲存站108b可設置在加載互鎖腔室110(110L或110R)的上方。應注意,環儲存站耦合至EFEM的側及位置係提供作為實例,只要EFEM的設計允許的耦合,可考慮其他側及位置。
EFEM 102包含機器人103以將半導體基板自基板儲存站115移動至加載互鎖腔室110。由於EFEM 102被維持在大氣條件,機器人103為乾式機器人。用以移動基板之EFEM 102之相同的機器人103亦可用以將消耗性部件自環儲存站(108a或108b)移動至加載互鎖腔室110。
在一實施例中,可限制消耗性部件在環儲存站與VTM 104之間的移動,使其通過一加載互鎖腔室110以控制所引發的旋轉。在圖1所示之例示性實施例中,使用右側的加載互鎖腔室110R例如使邊緣環200在定義於基板儲存站115’上方之第二側上的環儲存站108(即定義在基板儲存站115’上方之第二側上之環儲存站108a、或定義在左側的加載互鎖腔室110L上方之第三側上的環儲存站108b、或自環儲存站108a、108b兩者)與VTM 104之間移動以輸送至處理模組112。使用哪一氣鎖110(即右側氣鎖110R或左側氣鎖110L)的決定可取決於基板處理系統中所用之VTM 102的設計、邊緣環被放置到每一處理模組中的角度、氣鎖110、及環儲存站。除了氣鎖(110R, 110L)之外,VTM 102的設計可取決於與VTM 102整合之處理模組的數目。
使用在VTM 104內提供的分離機器人105自加載互鎖腔室110取回半導體基板並將半導體基板傳送出、入處理模組(如112)。由於其位置,在某些實施例中,加載互鎖腔室亦被稱為「介面腔室」、或「氣鎖」。可選擇性地將氣鎖(複數氣鎖)110維持在周遭條件或真空。例如,當藉由EFEM 102在基板儲存站115與氣鎖110之間移動基板時,將氣鎖110維持在周遭條件,將在氣鎖110與VTM 104之間移動晶圓時,將氣鎖110維持在真空下。當在環儲存站108a或108b與氣鎖110之間移動消耗性部件時,EFEM 102之機器人103可使用類似的處理, 當在氣鎖110與處理模組112之間傳送消耗性部件時,VTM 104之機器人105可使用類似的處理。
在一替代性實施例中,可使用左側的氣鎖110L在環儲存站108 與VTM 104之間移動邊緣環200。在此替代性實施例中,環儲存站108可自行被定義在EFEM 102之第二側上、或定義在基板儲存站115’的上部上、或右側的氣鎖110R(即氣鎖110係位於移動邊緣環中所用之氣鎖110的相反側中)上方之EFEM 102的第三側上。在一不同的實施例中,例如環儲存站108b可設置在左側的氣鎖110L上方之EFEM 102的第三側上,而EFEM 102之機器人103可用以將邊緣環200自環儲存站移動並放置到環儲存站108b下方之左側的氣鎖110L中。或者,環儲存站108b可設置在右側的氣鎖110R的上方而EFEM 102之機器人103可用以將邊緣環200自環儲存站108b移動至環儲存站108b下方的右側的氣鎖110R。被選定用以移動消耗性部件的氣鎖(即右側或左側氣鎖)110可取決於VTM的設計、VTM 104之機器人將消耗性部件放置到氣鎖中的角度、及EFEM之機器人102將消耗性部件放置到環儲存站中的角度。VTM 104的設計可取決於定義在VTM 104中用以整合處理模組之刻面的數目。在圖1所示的實施例中,VTM 104之設計包含6個刻面,其中4個刻面係用以容納4個處理模組而第五及第六刻面係用以容納氣鎖110。除了用以耦合氣鎖之外的兩個刻面之外,可用於基板處理系統中之VTM 104的其他設計可包含5個、或6個、或大於6個、或少於4個刻面以與處理模組連接。環儲存站係用以接收及儲存處理模組中用的消耗性部件如邊緣環。
在處理模組中,當在處理模組內接收基板時,邊緣環係與基板之外緣相鄰。由於邊緣環係設置於處理模組中俾使處理模組之上表面係與基板的上表面共平面,因此邊緣環亦被稱為「上環」。
定義VTM 104的刻面俾使處理模組112及其他處理模組(未顯示)與氣鎖110係繞著VTM 104對稱設置。在某些實施例中,繞著VTM 104對稱設置的處理模組係用以進行不同的處理操作。利用處理模組能進行之處理操作中的某些者包含蝕刻操作、沖洗、清理、乾燥操作、電漿操作、沉積操作、電鍍操作等。例如,可使用處理模組112進行沉積操作、可使用第二處理模組(未顯示)進行清理操作、可使用第三處理模組(未顯示)進行第二沉積操作、可使用第四處理模組(未顯示)進行蝕刻或移除操作等。具有受控環境的VTM 104使半導體基板能在無污染風險的情況下傳輸出、入處理模組,且VTM 104內的機器人協助半導體基板傳輸出、入與VTM 104整合的各種處理模組。
置換消耗性部件亦在整合式基板處理系統中的受控的環境內進行,最少化基板表面暴露至周圍大氣。此受控之處理環境能最少化在置換消耗性部件之後調校基板處理系統以開始處理基板所需的時間量,並能確保在置換消耗性部件期間處理環境不會受到污染。
當環儲存站係耦合至EFEM的第二側或定義了氣鎖的第三側時,可提供隔離閥或遮板以形成環儲存站與EFEM 102之間的界面。隔離閥/遮板係用以隔離環儲存站。在將消耗性部件載入至環儲存站中時,隔離環儲存站可能是有用的。可提供額外的隔離閥(複數隔離閥)或閘216以形成處理模組與VTM 104之間的界面。可協調隔離閥、遮板(複數遮板)及閘(複數閘)(若存在任何者)的操作以對處理模組及環儲存站提供受控之接取。
環儲存站為可移除之模組式單元,其設計使其能暫時地安裝至基板處理系統的EFEM 102以完成置換消耗性部件如邊緣環的所需操作。在處理模組處置換消耗性部件之後,可卸載環儲存站。可收回並儲存經卸載的環儲存站,直到在相同之處理模組112中或不同之處理模組(未顯示)中需要置換消耗性部件為止。或者,環儲存站可永久地安裝在EFEM的一側,且可活化分離環儲存站與EFEM的遮板或隔離閥以使環儲存站與剩下的基板處理系統隔離。
環儲存站包含具有複數隔室的部件緩衝件,隔室係用以接收及支撐消耗性部件。在環儲存站中可定義分離之組別的隔室以儲存自處理模組所收回之用過的消耗性部件以及欲被輸送至處理模組之新的消耗性部件。在一實施例中,環儲存站中之開口及定義在每一模組或一模組(如EFEM、氣鎖、或處理模組)處之隔離閥、遮板的設計使消耗性部件能在環儲存站與處理模組之間移動。
由於消耗性部件鄰近處理模組中之半導體基板且消耗性部件連續被暴露至處理半導體基板期間所用之嚴峻的處理條件,必須緊密監控並及時置換消耗性部件。在處理模組中用的消耗性部件為可更換之元件。除了是可更換之元件外,亦可以舉升銷上或下調整消耗性部件(即邊緣環)的高度以調整晶圓邊緣的電漿。
例如,在蝕刻處理模組中,邊緣環係設置於放置在夾頭組件上之半導體基板的鄰近,以延伸半導體基板的處理區域。在蝕刻操作期間,邊緣環係暴露至來自電漿的離子轟擊,電漿係用以形成半導體基板之表面上的特徵部。例如,在蝕刻操作期間,來自電漿的離子以一角度擊中半導體基板表面,該角度係垂直於形成在處理區域中之電漿鞘,處理區域係定義於處理模組中所容納之半導體基板的上方。在一段時間期間,由於連續暴露至電漿,上環的上表面變得磨損。當邊緣環之膜層因離子轟擊而被消耗時,半導體基板的邊緣受到暴露而造成電漿鞘沿著半導體基板邊緣的輪廓滾動。結果,擊中半導體基板表面的離子依循著電漿鞘的輪廓,藉此造成朝向半導體基板表面之邊緣形成傾斜特徵部。此些傾斜特徵部會影響形成在半導體基板上之半導體元件的總良率。
為了改善良率、減少邊緣排除區域、及避免損及任何下方元件的功能,藉著移除邊緣環而調整邊緣環,使接收欲進行處理的基板時邊緣環的上表面與基板的上表面共平面。邊緣環的調整量係基於邊緣環的厚度以及邊緣環之上表面處所經歷的磨耗量。當調整邊緣環之高度已超過閾值位準時,可置換邊緣環。處理模組112內的舉升銷機構(未顯示)提供對處理模組中之消耗性部件的接取,俾以取回邊緣環並加以置換。
在自處理模組移除用過之邊緣環之後,使用EFEM 102之機器人103將新的邊緣環自環儲存站傳送至氣鎖110,並使用VTM 104的專用機器人105將新的邊緣環自氣鎖110傳送至處理模組。雖然文中所討論的某些實施例參考耦合至EFEM 102之特定側(複數側)及/或位置的環儲存站,但可將本發明之教示延伸至環儲存站係耦合至EFEM 102之不同側及/或位置的其他實施例。又,用以儲存用過之邊緣環的環儲存站108a或108b係不同於用以儲存新的邊緣環的環儲存站。
藉著協調位於不同不同的模組之間及位於EFEM與環儲存站之間之隔離閥及/或閘、遮板(複數遮板)的操作,可致使對環儲存站及處理模組之接取。例如,在一實施例中,EFEM與環儲存站之間及VTM 104與處理模組中之一或多者之間的隔離閥及/或閘、EFEM 102及VTM 104之機器人、及一或多個處理模組之舉升銷機構可皆以可操作方式連接至控制器122。控制器122可為電腦124的一部分或以可通訊之方式連接至電腦124,電腦124可用以提供輸入以協調收回及置換消耗性部件期間隔離閥及/或閘、氣鎖、機器人103、EFEM 之105、VTM中之特定者及處理模組之舉升銷機構的各別操作。
可使用定義於環儲存站與EFEM 102之間的隔離閥或遮板隔離環儲存站,俾以在不影響基板處理系統內之基板之處理的情況下將消耗性部件載至環儲存站上。類似地,使用被定義於基板處理系統100之VTM 104與需要置換消耗性部件之處理模組(如112)之間的第二隔離閥使處理模組112與剩下的基板處理系統100隔離,俾以在不影響基板處理系統100中之其他處理模組之操作的情況下輕易進行處理模組內的消耗性部件置換。提供第二隔離閥可僅使特定的處理模組(112)離線而毋須使整個基板處理系統100離線並同時使基板處理系統100內之剩下的處理模組(未顯示)持續處理半導體基板。又,由於為了置換消耗性部件(複數消耗性部件)僅使特定的處理模組(如112)離線,因此使處理模組(112)及基板處理系統100拻復至完全操作狀態需要時顯較少的時間。因此,大幅縮短調校及驗證基板處理系統100之操作的所需時間。
在某些實施例中,當需要置換一或多個處理模組中的消耗性部件如邊緣環時,可協調機器人及基板處理系統100內之對應之隔離閥的操作,俾以依序置換不同處理模組中的消耗性部件。在此類實施例中,由於選擇性隔離環儲存站及處理模組(複數處理模組),藉此允許剩餘之模組持續基板處理操作,置換複數模組中之消耗性部件所需的時間可能遠遠較短。
在一實施例中,使用環儲存站之部件緩衝件中之隔室一併儲存新的及用過的邊緣環。或者,在第二實施例中,環儲存站之部件緩衝件可包含兩個不同的支撐區域,第一個支撐區域係用以支撐用過的邊緣環而第二個支撐區域係用以支撐新的邊緣環。在此實施例中,可利用分離件板使儲存新的邊緣環的區域與用以儲存用過的邊緣環的區域分離。基於如何配置環儲存站的方式,當需要置換基板處理系統之處理模組中的邊緣環時,適當的環儲存站可耦合至EFEM。
又,在EFEM 102之機器人103將邊緣環200移動至氣鎖110之前,使用環儲存站預先對準邊緣環。進行邊緣環的預先對準俾以在邊緣環200被輸送至處理模組時邊緣環的對準係落在與處理模組112一起使用之舉升銷機構之舉升銷的「捕捉範圍」內。為了協助邊緣環200的預先對準,邊緣環200可包含定義於下側表面上的溝槽。
圖2A-2D例示在某些實施例中基板處理系統之處理模組中所用之需要置換之邊緣環200的不同實施例的幾何特徵。圖2A-2D中所示之邊緣環為可調整且可被置換的邊緣環。圖2A例示邊緣環200之第一實施例之底表面的上視圖。圖2A中所示之邊緣環200的第一實施例包含底側內表面204a、底側外表面204b、及定義於底側內表面204a與底側外表面204b之間的通道206。一組三個溝槽210係定義於底側外表面204b上,俾使溝槽係彼此等距(如設置於120o 處)且係與通道206相鄰設置。每一溝槽210的開放端放開至通道206中,且溝槽的尖端係鄰近邊緣環的圓周定義。
圖2B例示邊緣環200之第一實施例之底表面之一部分的放大圖,其顯示形成於其上之溝槽210的不同元件。定義於底側外表面204b上的溝槽210開放至通道206中。溝槽210定義銷接觸位置212,當舉升銷銜合時,處理模組之舉升銷在銷接觸位置212處接觸邊緣環。溝槽210的設計亦可包含不同的形狀如半球形、圓柱形(指向紙的伸長方向、或如圖2A中所示的三個方向)。
圖2C例示形成在邊緣環200之第一實施例之底表面上之溝槽的展開圖。顯示此實施例中的溝槽210具有傾斜的側壁210a、210b以及相鄰於底側外表面204b的徑向外壁204c,傾斜的側壁210a、210b在定義銷接觸位置212的尖端處相遇。在一實施例中,每一側壁210a、210b的傾斜角度係介於約30o 與約60o 之間。在一替代性實施例中,每一側壁210a、210b的傾斜角度約為45o 。提供之側壁210a、210b的傾斜角度為實例且不應被認為是限制性的。在此實施例中,定義側壁210a、210b相遇之銷接觸位置212的尖端被顯示為尖的。在一實施例中,溝槽的深度係介於約0.075”與0.1”之間。在另一實施例中,溝槽的深度約為0.09”。 在一實施例中,溝槽在開放端處的寬度係介於約0.15”與約0.20”之間。在一替代性實施例中,溝槽的寬度210約為0.180”。由於當舉升銷銜合時溝槽避免邊緣環滑出或「走動」,溝槽定義防移動特徵。又,此處所提供的尺寸僅供例示一實例,只要能提供防移動功能,可對此類尺寸與相關形狀進行調整。
圖2D例示在一實施例中基板處理系統之一或多個處理模組中所用之邊緣環200之第二實施例之底表面之一部分的展開圖。在此實施例中,側壁相遇且舉升銷接觸溝槽之溝槽的尖端(即銷接觸位置212)是圓角的。雖然在圖2D顯示溝槽的垂直側壁210a、210b,但溝槽210之側壁210a、210b係傾斜以允許舉升銷滑至溝槽的底部且座落於銷接觸位置212處(未顯示)。如在第一實施例中,邊緣環之第二實施例中的溝槽210係形成在底側外表面204b上,與通道206相鄰且開放至通道206中。邊緣環之不同元件的幾何特徵僅為例示且不應被認為是限制性的。亦可預見邊緣環之各種元件的其他範圍與量測值。邊緣環的各種實施例定義被定義在底表面上的銷接觸位置,銷接觸位置係用以在邊緣環被輸送至處理模組之前在環儲存站內預先對準邊緣環,俾使邊緣環與處理模組中所用之舉升銷機構的舉升銷對準。
圖3A-3I例示在一實施例中用以將消耗性部件供給至基板處理系統之例示性環儲存站的各種元件。各種元件係用以接收、預先對準及儲存邊緣環,俾以在邊緣環被輸送至處理模組時邊緣環能正確地與舉升銷對準。環儲存站108的不同實施例係基於環儲存站耦合至EFEM 102的哪一側。
圖3A例示在一實施例中耦合至EFEM 102之第二側之環儲存站108a的第一實施例。在第一實施例中,環儲存站108a包含具有前側、後側、彼此平行之第一橫向側與第二橫向側的底板結構。第一橫向側前側之第一端與後側之間延伸而第二橫向側在前側之第二端與後側之間延伸。底板結構形成環儲存站108a之基底。上板係與底板結構相對定義。環儲存站108a包含定義於前側上的第一開口或窗151。第一遮板係定義於前側上以經由第一開口151提供對環儲存站之接取,以放置用過的邊緣環或取出新的邊緣環。前側係用以與EFEM 102的第二側耦合,俾使開口151對EFEM之機器人102提供對儲存在環儲存站108a內部之邊緣環的接取。耦合至EFEM的前側151亦被稱為EFEM接口。第二窗152係定義於沿著底板結構之後側形成的後側壁上,其中後側壁自底板結構垂直延伸至上板。第二遮板係定義於後側壁上以在將邊緣環加載至環儲存站108a或自環儲存站108a卸載期間提供對環儲存站的接取。第一側壁係沿著底板結構的第一橫向側定義且自底板結構垂直延伸至上板。第二側壁係定義於底板結構的第二橫向側上且自底板結構垂直延伸至上板。
複數指支撐結構160a-160d係定義於底板結構1134上。每一指支撐結構包含支撐柱及沿著對應之支撐柱之內長度以水平位向定義的複數指支撐件。每一指支撐柱的指支撐件包含附接至支撐柱的第一端及朝向環儲存站108a之內部區域延伸的第二端。在圖3A所示的實施例中,複數指支撐結構包含沿著底板結構之第一橫向側定義的第一對指支撐結構160a、160b,俾使第一對之第一指支撐結構160a靠近底板結構的前側且第一對的第二指支撐結構160b靠近底板結構的後側。類似地,第二對指支撐結構160c、160d係沿著底板結構的第二橫向側定義,俾使第二對的第三指支撐結構160c靠近底板結構的後側且第二對之第四指支撐結構160d靠近底板結構的前側。支撐柱160a-160d係彼此平行。指支撐結構之第一對與第二對中的複數支撐指的尺寸係相等。
第一與第四指支撐結構160a、160d上的每一支撐指包含定義在上表面上且靠近第二端的索引銷(未顯示)。在一實施例中,索引銷為經舉升之銷且具有經定義之尖端。當在環儲存站中接收邊緣環200時,使用索引銷與定義在邊緣環200之下側表面上的對應溝槽銜合。當邊緣環之溝槽與定義在第一與第四指支撐結構160a、160d上之對應支撐指上的索引銷正確對準時,將邊緣環下降至指支撐結構之上表面上的位置俾使對應之索引銷銜合邊緣環。當在環儲存站中所接收之邊緣環並未與索引銷適當對準時,使邊緣環或底板結構的一部分沿著水平軸旋轉,致使邊緣環之溝槽與對應之指支撐件上的對應之索引銷適當對準。可利用測試運行經由校準驅動底板結構的旋轉量。定義索引銷的高度,俾以提供邊緣環移動至環儲存站中並受到對應支撐指支撐所需的充分間隙。
在一實施例中,支撐柱係由鋁材料所製成。在其他實施例中,支撐柱係由陽極化之鋁或其他抗腐材料所製成。在某些實施例中,支撐指係由鋁或其他輕重量的材料所製成。定義在第二與第三支撐柱上的支撐指可包含支撐墊(未顯示)以在接收邊緣環時為邊緣環提供可靠的接觸面。在某些實施例中支撐墊可由填充了碳的聚醚醚酮(PEEK)材料所製成俾以為邊緣環提供防刮的支撐表面。提供支撐柱、支撐指及支撐墊所用的材料作為實例,其不應被認為是限制性的。只要其他材料能維持指支撐結構之不同元件的功能,可使用其他材料。
環儲存站亦包含外殼以容納載具板1104。在一實施例中,外殼包含一組定義在底板結構之上表面上且用以支撐容納於外殼中之載具板1104的載具支撐件1137。載具支撐件1137係根據載具板1104的形狀而位於底板結構上並設計用以在載具支撐件處容納載具板時固定載具板之位置。例如,當載具板1104具有三角形之形狀時,載具支撐件1137沿著載具板1104的三角形外形放置。在某些實施例中,載具支撐件係由填充了碳的PEEK材料所製成。在其他實施例中,載具支撐件1137可由所選擇的其他極料製成以在對環載具1104提供支撐時避免腐蝕及其他磨損。或者,載具支撐件1137可由金屬及塗有抗腐蝕之材料如環氧樹脂、鐵氟龍等所製成。載具支撐件係定義於底板結構上俾使載具板與底板結構分離。載具支撐件的高度會決定一高度,指支撐件係自該高度沿著指支撐結構之支撐柱之長度定義。載具板1104係用以在邊緣環欲移動出、入環儲存站108a時支撐邊緣環。上板係用以圍繞指支撐結構。
圖3B例示在一實施例中環儲存站108b之第二實施例的簡單方塊圖,環儲存站108b係用以耦合至氣鎖110上方之第三側上的EFEM 102。在此實施例中,指支撐結構及側壁之設置係不同於第一實施例。例如在第二實施例中後側壁係沿著底板結構1134的後側定義,且取決於環儲存站耦合至EFEM 102之哪一氣鎖側,定義第二窗152的側壁可沿著底板結構的第一橫向側或第二橫向側設置。在圖3B所示的實例中,環儲存站108b係耦合至左側的氣鎖110L上方的EFEM 102。因此,用以定義第二窗152的側壁係沿著第二橫向側設置。在一替代性的實例中,當環儲存站係耦合於右側的氣鎖110R上方時,用以定義第二窗152的側壁可沿著第一橫向側設置。第二窗152為服務窗,邊緣環係經由服務窗而裝載至環儲存站108b中/自環儲存站108b卸載。
指支撐結構係定義於環儲存站108b中。針對圖3B中所示之環儲存站的設計,第一指支撐結構160a係定義於沿著第一橫向側設置的第一側壁上。第一對指支撐結構160b、160c係定義於沿著底板結構之後側設置的後側壁上。定義於後側壁上的第一對指支撐結構160b、160c可用以定義邊緣環可移動至環儲存站中的程度。因此,第一對指支撐結構160b、160c上的每一支撐指可包含緩衝件以在當邊緣環相對於第一對指支撐結構移動時避免邊緣環的表面受到損傷。由於服務窗152係沿著第二橫向側定義,第二指支撐結構160d係定義於第二橫向側與底板結構之前側的交會處。每一指支撐結構的位向係以彼此平行。在圖3B所示的實施例中,第一至第三指支撐結構160a-160c係定義為垂直於各別的側壁而第二指支撐結構160d係以小於90o 的角度定義。第二指支撐結構160d為可移動的結構,且可調整其設置在環儲存站內的位置及角度以確保載具板與邊緣環200不受阻礙地移動出、入環儲存站108b。例如,可調整支撐柱在第二指支撐結構之第一端處的位置俾以避免第二指支撐結構的支撐柱干擾邊緣環藉由EFEM接口移動出、入環儲存站108b。亦可調整第二指支撐結構之第二端的位置以避免邊緣環被裝載至環儲存站中/自環儲存站卸載時的任何阻礙。
圖3C例示圖3B中所示之環儲存站108b之第二實施例的俯視透視圖,其中環儲存站係耦合至左側的氣鎖110L上方之EFEM 102的第三側。俯視圖顯示沿著前側定義的EFEM接口151、定義於第一橫向側上的第一指支撐結構、沿著後側定義的第一對指支撐結構160b、160c、及沿著底板結構之第二橫向側定義的服務窗152。
圖3D例示環儲存站108b之第二實施例的另一透視圖。環儲存站之第二實施例之底板結構1134包含形成底板結構1134之基底(即底表面)的底板1134a以及形成底板結構1134之上表面的旋轉板1134b。支撐墊係設置於底板1134a的上表面上以接收旋轉板1134b,支撐墊的數目及位置係定義用以提供旋轉板1134b的運動學支撐。旋轉板1134b的輪廓匹配底板1134a的輪廓。中央樞軸銷162自底板1134a的中心延伸通過旋轉板1134b的中心。中央樞軸銷162係用以使旋轉板1134b沿著水平面旋轉。一組負載路徑調整件1140係定義於旋轉板1134b上鄰近第二指支撐結構160d的支撐柱,第二指支撐結構160d的支撐柱係定義於第二橫向側與底板結構之前側的交會處。負載路徑調整件1140係附接至第二指支撐結構160d並用以調整第二指支撐結構160d之支撐柱在環儲存站108b內的位置。位置調整係用以確保第二指支撐結構不會干擾邊緣環藉由EFEM接口151移動出、入環儲存站108b。
又,索引銷161係設置於第一及第二指支撐結構160a、160d之每一支撐指上並用以與定義在邊緣環之下側表面上的對應溝槽銜合。藉由校正自處理模組所取回之邊緣環的角旋轉及使用針對自環儲存站接收及移除邊緣環用之校正,可決定指支撐結構的設定。當初始將邊緣環裝載至環儲存站108(108a或108b)中時,特別注意以確保銷的溝槽與定義在第一與第四指支撐結構160a、160d上之指支撐件上的索引銷對準。在處理模組處可對對準進行額外調整。
圖3E例示在一實施例中第四指支撐結構之支撐指之一部分的放大側面圖,第四指支撐結構具有與邊緣環之溝槽之第一實施例銜合的索引銷,邊緣環對索引銷之位置的對準可在初始將邊緣環裝載至環儲存站中的期間進行。溝槽的第一實施例為定義在邊緣環200之底表面上的v形溝槽,邊緣環與定義在支撐指163上的索引銷161對準且與銷接觸位置212處的溝槽銜合。基於指支撐結構在環儲存站內的位置設定,對準可導致索引銷161接觸溝槽之傾斜側壁的一部分並滑入銷接觸位置212中。在一實施例中,邊緣環的裝載係手動進行。在此實施例中,裝載邊緣環的人員可使用索引銷161作為導引。在一替代性實施例中,邊緣環係以自動方式裝載至環儲存站中,其中可訓練機器人使用索引銷作為導引裝載邊緣環。
圖3F-3I顯示在某些例示性實施例中環儲存站之第二實施例中所用之第二指支撐結構的展開圖,可調整兩端處之第二指支撐結構以輕易地將邊緣環移動出、入環儲存站108b。如圖3F中所示,負載路徑調整件1140係定義於旋轉板1134b上鄰近支撐柱164且連接至設置在旋轉板1134b上之第二指支撐結構160d。負載路徑調整件1140包含調整板,一或多個開放通道係定義於調整板上。在圖3F所示之例示性負載路徑調整件1140中,兩個開放通道1140a、1140b係彼此平行定義。當欲調整第二指支撐結構之支撐柱之位置時,使用一或兩個開放通道1140a、1140b內的一或多個定錨銷1140c以將旋轉板1134b固定於底板1134a上之第一位置或第二第二位置處。在圖3F所示的實例中,使用開放通道1140a內的定錨銷1140c以將第二指支撐結構160d固定至底板1134a上的第一位置處。
除了負載路徑調整件1140之外,一或多個樞軸點係定義於旋轉板1134b上。在某些實施例中,樞軸點1145a、1145b被定義為延伸通過旋轉板1134b並進入底板1134a中。樞軸點1145a、1145b係鄰近第二指支撐結構160d的第二端並用以移動第二指支撐結構160d的第二端。對應的樞軸錨定點可被定義於第二指支撐結構160d之底支撐指中,以利用自底支撐指延伸通過對應之樞軸點1145a、1145b的樞軸銷1145c將第二指支撐結構160d之第二端定錨至第一樞軸點1145a或1145b之一者處。第一與第二樞軸點1145a、1145b之位置係被定義於旋轉板1134b上俾以與VTM 104的設計相符。例如,對於VTM 104的第一實施例(具有用以與處理模組耦合的4或6個刻面)而言,第一樞軸點1145a可用以定錨第二指支撐結構160d的第二端,對於VTM 104之第二實施例(具有用以與處理模組耦合的5個刻面)而言,第二樞軸點可用以將第二指支撐結構160d的第二端定錨至底板結構1134。第一與第二樞軸點之定義俾使第二指支撐結構避開邊緣環路徑。環儲存站108b之第二實施例之第二指支撐結構160d中之支撐指163的長度比剩餘指支撐結構160a-160c中之支撐指的長度更長。
圖3H例示利用負載路徑調整件被錨定至底板之指支撐結構160d的上視圖。在一實施例中,如所示,指支撐結構160d係利用兩定錨銷1140c受到定錨,通道1140a、1140b中的每一者使用一定錨銷。圖3I顯示展開圖指支撐結構160d的支撐柱。支撐柱164包含定義於其上之指挖除部1146,在環儲存站108b中螺帽如PEM螺帽1147係定義於該位置中。挖除部之設置係用以在利用負載路徑調整件1140移動支撐柱164時避免支撐柱164阻擋PEM螺帽1147行動。
圖4A例示經由環儲存站108b之EFEM接口151觀察到之環儲存站108b之第二實施例。看入環儲存站108b的圖示顯示設置在第一橫向側壁上的第一指支撐結構160a、定義於環儲存站108b之後側壁上的第一對指支撐結構160b、160c、定義於第二橫向側與環儲存站108b之前側之角落處的第二指支撐結構160d。每一指支撐結構包含對應之支撐柱164及附接至支撐柱164的支撐件163。圖示亦顯示設置在底板結構上的載具板支撐件1137及與指支撐結構160d相關的指挖除部1146。
圖4B例示經由環儲存站108b之服務窗152觀察到之環儲存站108b之第二實施例。如前圖所示,經服務窗152之圖示顯示左側的上之第二指支撐結構160d、面向服務窗152之第一橫向側壁上的第一指支撐結構160a、及右側的上之第一對指支撐結構160b、160c。亦顯示設置在底板結構上的載具板支撐件1137。圖4A與4B中所示之圖係針對環儲存站108b係安裝至氣鎖安裝至EFEM 102之側上的第二實施例。經由對應之EFEM接口151及服務窗152所看到之環儲存站108a的第一實施例的圖係有所不同,因為服務窗及指支撐結構的位置有所不同。
圖5A例示在一實施例中容納於氣鎖中之邊緣環位置。藉由運行一或多個測試執行可開始校正以決定邊緣環在環儲存站中的預先對準角度, 測試執行包含自處理模組取回測試邊緣環200並將其移動至環儲存站然後判斷邊緣環被放置至環儲存站中的角度。一旦決定了預先對準角度之後,可設定環儲存站俾使邊緣環之經校正之預先對準角度讓邊緣環的對應溝槽落在舉升銷的捕捉範圍內。作為測試取回的一部分,活化處理模組內的舉升銷機構以使舉升銷延伸超過舉升銷外殼並接觸邊緣環的下側表面。當已將邊緣環適當地放置在處理模組內時,舉升銷將與定義在邊緣環之底側(如v形溝槽)上的溝槽對準。因此,舉升銷將在定義於溝槽之尖端處之邊緣環的銷接觸位置212處接觸邊緣環並將邊緣環自安裝位置舉升至置換位置。作為回應,設置在VTM 104之機器人105之臂上的末端執行器將會延伸進入處理模組中以支撐邊緣環,同時舉升銷縮回至外殼中。接著,自處理模組收回末端執行器並將自處理模組取回之邊緣環傳送至用於此類傳送的氣鎖,在氣鎖處邊緣環將被儲存在定義於其中的指組件上。在圖5A所示的實施例中,右側的氣鎖110R係用以存放VTM機器人105之末端執行器自處理模組所取回的邊緣環200。在替代性的實施例中,若左側的氣鎖110L係用以移動邊緣環,接著可進行類似的處理。在替代性的實施例中,環儲存站可被設置於EFEM 102之右側的氣鎖110R上方或第二側上。在將邊緣環放置至氣鎖後,將末端執行器收回至VTM 104中。
機器人105之末端執行器以垂直處理模組之刻面的方向延伸進入處理模組中,處理模組係與VTM 104介接。是以,對於設置在VTM之不同刻面處的每一處理模組而言,末端執行器相對於處理模組之刻面的進入與離開角度皆為90o ,處理模組之刻面係與VTM 104之對應刻面介接。類似地, VTM 104之機器人105延伸進入氣鎖110R中的角度亦與氣鎖110R之刻面垂直,氣鎖110R之刻面係與VTM 104之對應刻面介接。VTM之刻面係定義用以對VTM 104提供對稱。由於所定義之刻面的每一者皆為等角且橫向等距的,基於在VTM中所定義之刻面的數目,因此VTM 104之刻面的角度會有所不同。基於在基板處理系統中所用之VTM 104的設計(如VTM中刻面的數目),經取回之邊緣環200被放置於氣鎖110R中的角度會取決於與VTM介接之氣鎖之刻面相對於與EFEM介接之氣鎖110R之刻面的設置角度。
在VTM機器人的末端執行器自氣鎖110R縮回之後,使用EFEM 102之機器人103自環儲存站108取回載具板並使用載具板自氣鎖110R取回邊緣環。當具有VTM機器人時,ATM機器人會以垂直氣鎖之刻面的方向進入並離開氣鎖,氣鎖之刻面係與EFEM 102的刻面介接。經取回的邊緣環200被移動至環儲存站。機器人103以垂直於環儲存站之刻面的方向進入環儲存站108並將邊緣環放置在環儲存站之部件緩衝件內之隔室的支撐指上方,環儲存站之刻面係與EFEM 102之對應刻面介接。可在測試運行期間手動評估對準以判斷邊緣環之位置是否需要任何調整以與設置在對應之指支撐結構(例如當環儲存站係耦合於EFEM之第二側上時環儲存站之第一實施例中的第一與第四支撐指結構、或者當環儲存站係耦合至左側的氣鎖110L上方之EFEM之第三側時環儲存站之第二實施例中的第一與第二支撐指結構,在此實例中右側的氣鎖110R係用以移動邊緣環)上之索引銷銜合。
圖5A例示VTM之第一實施例,其中除了兩個刻面係用以與氣鎖(右側與左側的氣鎖110R、110L)介接之外,另外的四或六個刻面係定義用以與處理模組介接。在圖5A中將氣鎖與VTM刻面介接之角度以及氣鎖與VTM 104之第一實施例之EFEM刻面介接之角度顯示為φo ,其應與將邊緣環輸送至氣鎖中之機器人105之末端執行器之移動方向與自氣鎖移除邊緣環之機器人103之末端執行器之移動方向之間的角度相同,其中邊緣環係利用載具板加以移動。在某些實施例中,為了確保邊緣環被容納至氣鎖中,機器人可在氣鎖內旋轉邊緣環。
圖5B例示在基板處理系統內使用之VTM 104的第二實施例。VTM 104之第二實施例可包含除了與氣鎖(右側與左側的氣鎖110R’、110L’(未顯示))介接的兩個刻面之外之用以與不同處理模組介接的另外5個刻面。在此第二實施例中,在圖5B中將氣鎖與VTM刻面介接與氣鎖與EFEM刻面介接之間的角度顯示為αo ,其應與將邊緣環輸送至氣鎖中之機器人105之移動方向與利用載具板自氣鎖移除邊緣環之EFEM之機器人103之移動方向之間的角度相同。又,由於定義VTM之第一與第二實施例之刻面的角度不同,因此VTM之第二實施例之角度αo 係不同於VTM之第二實施例之角度φo (即αo 不等於φo )。
由於邊緣環被輸送至氣鎖之角度取決於VTM的設計(如刻面的數目),因此當邊緣環自處理模組被移動至環儲存站時邊緣環之溝槽的位置會改變且此變化可歸因於邊緣環被輸送至氣鎖的角度及自氣鎖移除邊緣環的角度。當在預先對準期間設定環儲存站時必須考慮此些角度變化。可利用不同的樞軸點、樞軸銷、及負載路徑調整件來設定環儲存站,以容許不同的VTM設計。此外,可使用環儲存站之底板結構對指支撐結構提供額外的旋轉調整,俾使定義於某些指支撐結構上之支撐指上的索引銷可與邊緣環的v形溝槽對準或至少落在v形溝槽的捕捉範圍內。捕捉範圍被定義為,當在處理模組中接收邊緣環時,邊緣環之v形溝槽相對於舉升銷的偏差量。在某些實施例中,環儲存站之用以在環儲存站內預先對準邊緣環的設定會導致將邊緣環輸送至處理模組落在舉升銷之約+/- 0.75o 至約+/- 0.90o 的捕捉範圍內。在一替代性實施例中,環儲存站的預先對準設定會導致將邊緣環輸送至處理模組落在舉升銷之約+/- 0.82o 的捕捉範圍內。
精準的輸送可歸因於利用測試運行所完成的精準校正。當以基板處理系統之機器人移動邊緣環時,自處理模組取出邊緣環的角度及將邊緣環輸送至環儲存站的角度係非常一致。進行額外的測試運行以確認自處理模組取出邊緣環的角度及將邊緣環輸送至環儲存站的角度的一致性。可在相同的處理模組與基板處理系統之環儲存站之間、或其他處理模組與環儲存站之間進行此些額外的測試運行。可基於額外的測試運行細緻調整指支撐結構在環儲存站中的設定。在測試運行後於環儲存站中進行的調整係針對VTM的特定設計。因此,可設定及使用此些調整將邊緣環裝載至環儲存站中,俾以在邊緣環被輸送至處理模組時溝槽能與舉升銷適當對準或落在捕捉範圍內。
圖5A與5B顯示根據邊緣環被導入氣鎖110R中的角度,邊緣環之溝槽在氣鎖110R內的位置。如所示,邊緣環包含定義在邊緣環之底側上的三個溝槽(溝槽1-3),溝槽係以彼此等距方式設置。圖5A之氣鎖110R中之溝槽的位置係稍微不同於圖5B中的位置,此差異可歸因於邊緣環被導入至氣鎖110R中的角度。
圖5C例示環儲存站之上視圖,其中載具板1104與邊緣環200一起被接收。顯示溝槽1-3相對於定義於指支撐結構160a與160d之支撐指上之索引銷的位置。在進行的測試取回運行中可判斷出邊緣環之溝槽係稍微偏離指支撐結構之支撐指上的索引銷。利用基板處理系統之相同處理模組或不同處理模組進行額外的測試運行,每次記錄下溝槽1-3相對於索引銷的位置。此些測試運行的目的在於決定溝槽相對於索引銷的偏差範圍,因為此偏差範圍係與邊緣環之溝槽相對於處理模組(複數處理模組)之舉升銷的位置相關。偏差範圍可用以旋轉調整旋轉板1134b,俾使邊緣環的溝槽可與索引銷對準或落在捕捉範圍內。
在使用第一實施例之VTM的實施例中,環儲存站的設定包含如圖3F所示使用樞軸銷1145c將指支撐結構160d的第二端定錨在第一樞軸點1145a處。類似地,在使用第二實施例之VTM的實施例中如圖3G中所示,使用樞軸銷1145c將指支撐結構160d之第二端固定在第二樞軸點1145b。此外,利用支撐銷(複數銷)1140c將指支撐結構160d的支撐柱定錨於第一端處,俾使邊緣環被傳送出、入環儲存站時支撐柱不會阻礙邊緣環移動。一旦基於基板處理系統中用之VTM的實施例指支撐結構160d已被錨定至兩端後,當邊緣環之溝槽未與定義在支撐指上的索引銷對準時,旋轉板1134b可沿著水平面中的中心樞軸點旋轉調整。
在一實施例中,可使用上述之銷在環儲存站中提供。例如,一或多個對準銷161(即具有銷161之指)可用以控制對準及環旋轉。利用一或多個銷,口袋特徵部167可用以避免繞著銷旋轉及銷沿著徑向v形溝槽滑動。在一實施例中,口袋特徵部167形成一種有形的邊緣停止件。是以,如圖5C中更詳細顯示的,使用口袋特徵部167與銷161完成環水平位置與旋轉控制。在另一實施例中,若對機器及手動/人類傳送維持適當的間隙,可使用三銷之組態。在三銷161的組態中,銷161本身可提供完整的運動對準。
形成環支撐點的另一替代方法為使用單一板而非文中所述之複數支撐指設計。環儲存站中的每一槽口可由裁切為大致上「C」形的板所製成,C形可圍繞環且具有機器環傳送所用的間隙。銷可被安裝至板,板具有依需要而形成於板中的口袋特徵部。目前的實施例具有不同指子組件上之環/槽口用的銷特徵部。若需要針對每一槽口獨立調整預先對準角度,將兩或三個銷安裝至單一板上能促進獨立調整。每一板板為可獨立調整的且可移除共同的旋轉底板。
圖6A-6C例示在一實施例中經由使用VTM之第一實施例之基板處理系統旋轉板可能必須要旋轉一量以調整溝槽對邊緣環用之索引銷之對準。基於偏差的量,旋轉板可能必須移動+或–θo 。例如,如圖6A中所示,旋轉板可能必須移動+θo 。在某些實施例中,θo 的數值可介於約1o 至約5o 之間。在替代性的實施例中,旋轉板可旋轉調整約2o 。或者,如圖6B中所示,溝槽可偏離索引銷一量,此量可使索引銷接觸溝槽之傾斜側壁(即落在捕捉範圍內)而非定義於溝槽210中的銷接觸位置212。在此類情況中,由於邊緣環以類似方式與處理模組內的舉升銷對準,旋轉板毋須被進一步調整。圖6C例示旋轉板可能必須移動–θo (即與圖6A中所示之方向相反的方向)以與索引銷對準的情況。如參考圖6A所述,在某些實施例中,θo 的數值可介於約1o 與約5o 之間。在分佈於底板之表面上的座落點上接收旋轉板。在一實施例中,一組3個座落點係定義於底板上以接收旋轉板,且座落點之分佈係用以提供運動支撐並允許旋轉板繞著中心樞軸點旋轉。
圖6D-6F例示在一替代性實施例中可對旋轉板1134b進行之類似調整,以使環儲存站中之指支撐結構之支撐指上的索引銷與自處理模組所取回的邊緣環的溝槽對準。在此替代性實施例中所用之VTM中的刻面的數目係不同於在圖6A-6C中所用之VTM中之刻面的數目。是以如圖6D中所示,可使旋轉板沿著正方向(即逆時鐘方向)調整約θo ,其中θo 可介於約1o 至約5o 之間,或者如圖6E中所示毋須進行任何調整,或者如圖6F中所示沿著負方向(即順時鐘方向)調整約θo 。應注意,由於機器人以可靠的方式將邊緣環自處理模組移動至環儲存站,因此必須對旋轉板進行的調整可能是最少的。
一旦已定義對旋轉板的調整後,在將邊緣環裝載至環儲存站中的期間維持該調整。如前所述,可以手動方式將邊緣環裝載至環儲存站中,且藉由使溝槽與索引銷對準而完成此類手動裝置。在初始裝置期間邊緣環的此預先對準有助於將邊緣環正確地放置到處理模組中。應注意,邊緣環的預先對準的進行考慮到用以將邊緣環輸送至VTM 104中以輸送至處理模組的特定側氣鎖。亦應注意,邊緣環的預先對準亦可考慮所用之氣鎖的類型。可使用之某些類型的氣鎖包含並排氣鎖、堆疊氣鎖、或能在環從EFEM至VTM或回來時影響環之旋轉對準的氣鎖類型。當預先對準邊緣環以確保邊緣環被輸送至處理模組的角度是一致的時,考慮基板處理系統中所用之特定類型與側氣鎖。
圖5D例示一個此類實施例,其中VTM之機器人的末端執行器係用以將邊緣環置入處理模組中俾使邊緣環的溝槽1-3與舉升銷機構的舉升銷1-3對準。
文中所述的各種實施例能利用用以傳送基板亦用以傳送消耗性部件如邊緣環出、入處理模組之ATM 102及VTM 104的機器人,以快速及有效率的方式置換消耗性部件。在各種實施例中所述之環儲存站設計能省略基板處理系統的動態對準件,而是使用環儲存站的部件進行邊緣環的旋轉對準,俾以在邊緣環被傳送至處理模組時使邊緣環的v形溝槽與處理模組中所用之舉升銷的上部之間發生接觸。在環儲存站中進行的預先對準使EFEM及VTM的機器人能以充分精準度輸送邊緣環,俾使舉升銷與邊緣環銜合或落入v形溝槽之尺寸所定義的捕捉範圍內,讓舉升銷與邊緣環銜合時能接觸v形溝槽的側壁並滑入v形溝槽的銷接觸位置中。當舉升銷將邊緣環舉離末端執行器時,舉升銷可修正旋轉對準之任何X、Y座標位置及/或微小的調整。舉升銷控制邊緣環之最終對準,邊緣環係容納於靜電夾頭或平台上。
各種實施例中所討論之環儲存站係類似於基板儲存站的結構如前開口統一艙(FOUP),但係特別設計用於處理模組中需要更換的邊緣環及/或其他消耗性部件。藉著預先將環角度對準至環儲存站中的基標(如索引銷)可控制輸送至處理模組用之邊緣環的旋轉對準,其中索引銷係用以向v形溝槽對準。除了預先對準邊緣環之外,通過基板處理系統的路徑係限於一側上的氣鎖(如圖5A、5B中所示之實施例中的右氣鎖),因此限制了角變化。當允許邊緣環行經不同氣鎖(即右氣鎖對左氣鎖)時,由於右側氣鎖相對於左側氣鎖的角度,可能會發生角變化。為了避免輸送角度的變異及維持邊緣環角度一致,將邊緣環的路徑限制至一側上的氣鎖。可基於哪一側提供旋轉角度優點,決定使用哪一側的氣鎖移動邊緣環。
在環儲存站中進行的預先對準調整能解釋系統的容限如硬體組件容限、在輸送及取回邊緣環期間EFEM與VTM 機器人的重覆性、在自環儲存站移除與置換期間的座標校正、對中心邊緣環的動態對準修正、因水平與其他因素所造成之不干涉期間所發生的輕微偏移、環角度預先對準容限等。藉著進行自處理模組取出邊緣環及將邊緣環輸送至環儲存站之測試運行多次,判斷出影響環儲存站與處理模組之間之邊緣環旋轉的容限。基於自測試運行所得到的結果,藉著校正環儲存站中的索引銷來解決容限問題。藉著使旋轉板繞著中心樞軸銷旋轉俾使附接至旋轉板之指支撐結構繞著水平軸旋轉且旋轉板在約+/- 1o 與約+/- 5o 之間樞軸轉動,進行索引銷之校正。在某些實施例中,可使用初始測試運行識別邊緣環的初始對準角度且可使用額外的測試運行識別角容限 (即在每一測試運試之後來自相同處理模組的變異或處理模組與處理模組之間的變異),因此可決定平均偏差角度並將其用以細緻調整初始對準角度。
在某些實施例中,可各別校正環儲存站用以儲存邊緣環中之每一槽口且槽中的邊緣環可用以指派一特定的處理模組。在替代性的實施例中, 索引銷的校正對於所有槽口而言是共同的,其中來自任何槽口的邊緣環可被輸送至基板處理系統內的任何處理模組。
使用定義在環儲存站之一側上的服務窗加載及卸載邊緣環。針對服務窗定義的遮板或門提供對環儲存站的接取。定義於環儲存站與EFEM之間的遮板或門使環儲存站能與基板處理系統中的其他部分隔離。以協調方式進行此些遮板的操作以使邊緣環裝載至環儲存站中且基板處理系統中持續進行基板處理。
在以手動方式將邊緣環加載至環儲存站的情況中,可利用映射感測器偵測到邊緣環對索引銷的任何對準錯誤。例如,設置在EFEM 102之機器人103之末端執行器上用以偵測邊緣環是否存在於環儲存站內的映射感測器亦可用以在裝載期間偵測當邊緣環未與索引銷對準時可發生的環傾斜。相同的映射感測器亦可用以偵測自處理模組輸送之邊緣環得任何失準。在某些實施例中,若在環中的傾斜不會侵犯上方或下方之槽口或隔室中之邊緣環所需的傳送間隙,可使用自處理模組返回之用過的邊緣環中的傾斜藉由電腦的軟體觸發警告。在某些實施例中,觸發警告可被識別為一非致命的錯誤,允許系統持續處理基板。在某些實施例中,映射感測器可使用雷射技術偵測特定槽口中之邊緣環的存在以及槽口中之邊緣環是否正確放置、或邊緣環在特定的槽口/隔室中失準。在一實施例中,可使用EFEM 機器人映射感測器偵測在槽口或緩衝槽口中的環是否正確放置如水平位準。例如,此錯誤偵測的能力為銷與防移動溝槽銜合以及映射感測器之高度量測能量的組合。
在某些實施例中,EFEM及VTM機器人所用的末端執行器機構的設計俾使其不但能夠支撐基板亦能支撐邊緣環。在某些實施例中,EFEM中之機器人的末端執行器係用以支撐在載具板上接收的載具板與邊緣環,VTM機器人的末端執行器延伸以容納基板消耗性部件如邊緣環兩者。氣鎖內之指組件的設計係用以支撐消耗性部件以及基板。在某些實施例中,VTM機器人之末端執行器機構及氣鎖的指組件包含接觸墊以針對基板及邊緣環提供互斥的接觸點,以避免交叉污染。基板與邊緣環所用的接觸墊(消耗性接觸墊、基板接觸墊)之設計可提供不同高度或徑向距離處的接觸點以完成期望之基板或消耗性部件位置誤差之內的排斥分離。為了應付增加的負荷並減少撓曲, EFEM中之機器人的末端執行器機構可由更剛性的材料如陶瓷所製成,以限制撓曲或厚度增加並同時使用重新設計過的末端執行器機構以減少機器人上的總負荷。
在一替代性的實施例中,文中所述之環旋轉對準特徵部可整合至類晶圓FOUP之可移除盒或「前開口環艙(FORP)」。如在標準FOUP中所進行的,修改FORP以支撐邊緣環而非晶圓。是以,將一或多個旋轉對準特徵部整合至FORP中有助於有效率地以期望的對準自FORP裝載邊緣環(即消耗性部件)至設備中及卸載邊緣環。在某些情況中,取決於FORP在何處被裝載至設備中(即裝載位置),考量到機器人接取FORP的角度,可能需要修改對準角度。在一實施例中,FORP可藉由標準或修改過的裝載接口而與設備介接。裝載接口可與EFEM介接。在某些情況中,FORP可藉由界面或直接與處理設備本身介接。
文中所述的各種實施例提供在不破真空的情況下以有效率、省錢的方式於基板處理系統內置換消耗性部件的方法,藉此使基板處理系統有更短的停機時間。基板處理系統之較短的停機時間可造成較佳的晶圓產量。
圖7顯示用以控制上述基板處理系統的控制模組(亦稱為「控制器」)。在一實施例中,控制器220可包含某些例示性的元件如處理器、記憶體、及一或多個介面。控制器220可用以部分基於感測到的數值控制基板處理系統100中的裝置。例如,控制器220可用以部分基於感測到的數值控制基板處理系統100中的裝置。例如,控制器220可基於感測到的數值及其他控制參數控制一或多個閥件602(包含圖5A、5B、5C之隔離閥216、216’)、濾件加熱器604、泵浦606(包含L泵浦233)、及其他裝置608。控制器220自例如壓力計610、流量計612、溫度感測器614及/或其他感測器616接收感測到的數值。控制器220亦可用以在前驅物輸送及薄膜沉積期間控制處理條件。控制器220通常包含一或多個記憶體裝置及一或多個處理器。
控制器220可控制前驅物輸送系統及沉積設備的活動。控制器220執行包含複數組指令的電腦程式,以控制處理時序、輸送系統溫度、濾件各處的壓力差、閥件位置、機器人與末端執行器、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓夾頭或平臺之位置、及特定處理的其他參數。控制器220亦可監控壓力差並自動將來自一或多條路徑的汽態前驅物輸送切換為一或多條其他路徑。在某些實施例中可施行儲存在與控制器220相關之記憶體裝置上的其他電腦程式。
通常存在著和控制模器200相關的使用者介面。使用者介面可包含顯示器618(如顯示螢幕、及/或設備及/或處理條件的圖形化軟體顯示)、及使用者輸入裝置620如指向裝置、鍵盤、觸控螢幕、麥克風等。
可以任何傳統的電腦可讀程式語言撰寫控制處理程序中之前驅物輸送、沉積、及其他處理用的電腦程式,電腦可讀程式語言例如是組合語言、C、C++、Pascal、Fortran或其他語言。可藉由處理器執行編譯過的物件碼或腳本以進行程式中所認定的任務。
控制模組(即控制器)參數係與處理條件相關,處理條件例如是濾件壓力差、處理氣體組成與流率、溫度、壓力、電漿條件如RF功率位準與低頻RF頻率、冷卻氣體壓力、及腔室壁溫度。
系統軟體可以許多不同的方式加以設計或組態。例如,可撰寫各種腔室元件的子程序或控制物件以控制施行本發明之沉積處理所必要之腔室或處理模組元件的操作。為了此目的之程式或程式區段的實例包含基板定位碼、處理氣體控制碼、壓力控制碼、加熱器控制碼、電漿控制碼、舉升機構控制碼、機器人位置碼、末端執行器位置碼、及閥位置控制碼。
基板定位程式可包含控制處理室元件用的程式碼,程式碼係用以將基板載至平台或夾頭上並控制基板與處理室之其他部件如氣體入口及/或標靶之間的距離。處理氣體控制程式可包含用以控制氣體組成、流率、及選擇性地控制在沉積前流入處理室的氣體以穩定處理室中的壓力的程式碼。濾件監控程式包含比較量測到之差異值(複數值)與預定值(複數值)的程式碼及用以切換路徑的程式碼。壓力控制程式可包含藉由調節例如處理室之排放系統中的節流閥而控制處理室中之壓力的程式碼。加熱器控制程式可包含用以控制流至用以加熱前驅物輸送系統中之元件、基板及/或系統之其他部分之加熱單元之電流的程式碼。或者,加熱器控制程式可控制輸送至基板夾頭之熱傳輸氣體如氦氣的輸送。閥位置控制程式碼可包含用以藉由控制例如隔離閥以控制對處理模組或基板處理系統之接取的程式碼,隔離閥提供對處理模組或叢集設備的接取。舉升機構控制程式碼可包含用以活化致動驅動器以使致動器移動例如舉升銷的程式碼。機器人位置程式碼可包含用以操控機器人(複數機器人)之位置的程式碼,其包含例如操控機器人以沿著橫向、垂直、或徑向軸移動。末端執行器位置程式碼可包含用以操控末端執行器之位置的程式碼,其包含例如操控機器人以沿著橫向、垂直、或徑向軸延伸、收回、或移動。
在沉積期間可被監控之感應器的實例包含質量流量控制模組、壓力感應器如壓力計610、及位於輸送系統、平台或夾頭中的熱電偶(如溫度感測器614)。適當程式化的反饋與控制演算法可與來自此些感應器的數據一起使用以維持期望的處理條件。前面說明本發明之實施例可在單一或多腔室半導體處理設備中實施。
在一實施例中,揭露一種用以將消耗性部件輸送至基板處理系統的環儲存站。環儲存站包含定義於環儲存站之底部處的底板結構。末端執行器接取開口係沿著底板結構的前側定義。第一側壁係沿著底板結構的第一橫向側定義。後側壁係沿著底板結構的後側定義。服務窗開口係定義於底板結構的第二橫向側上。複數指支撐結構係安裝於底板結構。每一指支撐結構包含支撐柱及定義於其上的支撐件,俾使支撐指的第一端係附接至支撐柱而第二端朝向環儲存站的中心延伸。指支撐結構包含設置在底板結構之第一橫向側上的第一指支撐結構、沿著後側設置的第一對指支撐結構、及設置在第二橫向側與前側之交會處的第二指支撐結構。第一及第二指支撐結構中的支撐指包含靠近第二端的索引銷。索引銷係用以與容納於環儲存站內的消耗性部件對準。
在某些實施例中,底板結構包含定義底板結構之底側的底板及設置在底板之上表面上以定義底板結構之上側的旋轉板。中央樞軸銷自底板的中心延伸通過旋轉板的中心,旋轉板係用以在位置校正期間沿著水平軸繞著中央樞軸銷旋轉。
在某些實施例中,指支撐結構係定義於旋轉板上。
在某些實施例中,第二指支撐結構為可調整之指支撐結構。負載路徑調整件係定義於旋轉板上鄰近可調整之指支撐結構的支撐柱,負載路徑調整件係用以調整可調整之指支撐結構之支撐柱的位置。
在某些實施例中,負載路徑調整件包含調整板,調整板具有一或多個開放通道及一或多個調整件銷以利用能允許消耗性部件以不受阻礙之方式出、入環儲存站之一位置處的一或多個開放通道,將可調整之支撐柱固定至底板。
又,在某些實施例中,消耗性部件可具有材料中或內部的一或多個雷射雕刻記號以在環儲存裝置與處理模組之間傳送消耗性部件時協助偵測位置。
文中所述的各種實施例能在不將基板處理系統開放至大氣條件的情況下快速且有效率地置換消耗性部件。因此,能大幅降低置換消耗性部件的時間以及在置換消耗性部件期間污染處理室的任何風險,藉以使基板處理系統更快回復上線狀態。又,能大幅降低不利地損傷處理模組、消耗性部件及處理模組中之其他硬體元件的風險。
前述實施例之說明係基於說明及例示的目的提供。其意不在排除其他可能或限制本發明。一特定實施例中的獨立元件或特徵部大致上不限於該特定實施例,而是若在可應用的情況下可互換且可用於選定的實施例中,即便文中未特別顯示或說明。一特定實施例中的獨立元件或特徵部可以許多方式變化。此類變化不應被視為脫離本發明,且所有此類修改應被包含於本發明之範疇內。
雖然為了清楚瞭解的目的已詳細說明前述實施例,但應明白,在隨附請求項的範疇內可進行某些變化及修改。因此,本發明之實施例應被認為是例示性而非限制性的,且實施例不限於文中所揭露的細節,在請求項之範疇及等效物內可進行修改。
100:基板處理系統 101a-101c:裝載接口 102:設備前端模組(EFEM) 102a:第一側 102b:第二側 102c:第三側 103:機器人 104:真空傳送模組(VTM) 105:機器人 108,108a,108b:環儲存站 110,110R,110L:加載互鎖腔室/氣鎖 112,114,116,118:處理模組 115,115’:基板儲存站 124:電腦 151:第一開口或窗 152:第二窗 160a-160d:指支撐結構 161:索引銷 162:中央樞軸銷 163:支撐指 164:支撐柱 167:口袋特徵部 200:邊緣環 204a:底側內表面 204b:底側外表面 204c:徑向外壁 206:通道 210:溝槽 210a,210b:側壁 212:銷接觸位置 216:閘、隔離閥 216’:隔離閥 220:控制器 233:L泵浦 602:閥件 604:濾件加熱器 606:泵浦 608:其他裝置 610:壓力計 612:流量計 614:溫度感測器 616:其他感測器 618:顯示器 620:輸入裝置 1104:載具板 1134:底板結構 1134a:底板 1134b:旋轉板 1137:載具支撐件 1140:負載路徑調整件 1140a,1140b:開放通道 1140c:定錨銷 1145a,1145b:樞軸點 1145c:樞軸銷 1146:指挖除部 1147:螺帽
參考下面的說明及附圖將更佳地瞭解本發明。
圖1例示在本發明之一實施例中基板處理系統的簡化方塊圖,其識別環儲存站可耦合的替代位置。
圖1A例示在一實施例中基板處理系統的側視圖,基板處理系統在基板儲存站上方具有設置在基板處理系統之設備前端模組之第二側上的環儲存站。
圖2A例示在一實施例中消耗性部件如邊緣環的簡化方塊圖,消耗性部件係用於基板處理系統的一或多個處理模組中且需要更換。
圖2B例示在一實施例中消耗性部件之底側之一部分的展開圖,其顯示定義於消耗性部件之底側上之溝槽的細節,其中溝槽係用以在消耗性部件被輸送至處理模組之前預先對準消耗性部件。
圖2C例示在一實施例中定義於消耗性部件之底側上之溝槽的展開圖。
圖2D例示在一替代性實施例中定義於消耗性部件之底側上之溝槽的展開圖。
圖3A例示在一實施例中環儲存站的透視圖,環儲存站係用以在一或多個基板儲存站係耦合至設備前端模組(EFEM)之第一側且一或多個氣鎖係耦合至EFEM之第三側時耦合至EFEM的第二側。
圖3B例示在一替代性實施例中環儲存站的透視圖,環儲存站係用以耦合至氣鎖上部上之設備前端模組(EFEM)的第三側,其中一或多個基板儲存站係耦合至EFEM之第一側且第三側係與第一側相對。
圖3C與3D例示在某些實施例中環儲存站之各種元件的上側透視圖,環儲存站係耦合至氣鎖上方的第三側。
圖3E例示在一實施例中具有索引銷之支撐指之一部分的展開圖,索引銷係與定義於消耗性部件之下側表面上的溝槽銜合,消耗性部件係容納於環儲存站中。
圖3F-3I例示在一實施例中定義於環儲存站中之指支撐結構的展開透視圖。
圖4A例示在一實施例中經由窗所見之環儲存站之內部區塊的視圖,窗係用以耦合至EFEM的一側。
圖4B例示在一實施例中經由服務窗所見之環儲存站之內部區塊的視圖,服務窗係用以定義於第二側上。
圖5A例示在一實施例中氣鎖的上視圖,真空傳送模組之第一實施例之機器人的末端執行器將用過的消耗性部件輸送至氣鎖中,EFEM之機器人所用的載具板移除用過的消耗性部件。
圖5B例示在一替代性實施例中氣鎖的上視圖,真空傳送模組之第二實施例之機器人將用過的消耗性部件輸送至氣鎖中,EFEM之機器人所用的環載具移除用過的消耗性部件。
圖5C例示在一實施例中環儲存站的上視圖,其顯示將消耗性部件輸送至環儲存站內之隔室的載具板。
圖5D例示在一實施例中處理模組的上視圖,其顯示用以輸送消耗性部件之真空傳送模組之機器人的末端執行器。
圖6A-6C例示在某些實施例中在預先對準操作期間欲於基板處理系統中所用之環儲存站內實施之底板結構之旋轉板的調整量,基板處理系統包含真空傳送模組之第一實施例。
圖6D-6F例示在某些實施例中在預先對準操作期間欲於基板處理系統中所用之環儲存站內實施之基板的調整量,基板處理系統包含真空傳送模組之第二實施例。
圖7例示根據一實施例之用以控制基板處理系統之各種態樣的控制模組(即控制器)。
200:工廠
210:處理設備
212:服務側
214:裝載側
220:服務區域
230:裝載區域
240,250:高架線

Claims (29)

  1. 一種輸送基板處理系統中用之消耗性部件的環儲存站,包含: 一外殼,包含: 一底板; 一旋轉板,設置於該底板上方; 一末端執行器接取開口,設置於該外殼之一第一側處; 一服務窗開口,設置該外殼之一第二側處; 一組指支撐結構,包含設置在複數對應支撐柱上的複數支撐指,該組指支撐結構係連接至該外殼內的該旋轉板。
  2. 如請求項1之輸送基板處理系統中用之消耗性部件的環儲存站,其中該複數指支撐結構中的至少兩指支撐結構具有具備複數索引銷的複數指支撐件,該索引銷係用以在該消耗性部件被放置於該環儲存站中時徑向對準該消耗性部件。
  3. 如請求項1之輸送基板處理系統中用之消耗性部件的環儲存站,其中該旋轉板係用以沿著一水平面繞著一中央樞軸銷旋轉,該中央樞軸銷係設置於該底板的一中心上且延伸通過該旋轉板的一中心。
  4. 如請求項1之輸送基板處理系統中用之消耗性部件的環儲存站,其中包含該服務窗開口的該第二側係與包含該末端執行器接取開口的該第一側相鄰設置。
  5. 如請求項4之輸送基板處理系統中用之消耗性部件的環儲存站,其中該複數指支撐結構中的一指支撐結構為一可調整之指支撐結構,該可調整之指支撐結構係定義於該第一側與該第二側的一交會處。
  6. 如請求項5之輸送基板處理系統中用之消耗性部件的環儲存站,其中該旋轉板包含定義於其上的一負載路徑調整件,該負載路徑調整件係定義於該可調整之指支撐結構的該支撐柱附近,且係用以調整該可調整之指支撐結構之該支撐柱的位置。
  7. 如請求項6之輸送基板處理系統中用之消耗性部件的環儲存站,其中該負載路徑調整件包含具有一或多個開放通道及一或多個調整件銷的一調整板,以在將該可調整之指支撐結構之該支撐柱固定至允許該消耗性部件以不受阻礙之方式移動出、入該環儲存站的一位置時,藉由該一或多個開放通道使該旋轉板銜合至該底板。
  8. 如請求項5之輸送基板處理系統中用之消耗性部件的環儲存站,其中該旋轉板包含定義在該可調整之指支撐結構之一第二端附近的一第一樞軸點及一第二樞軸點,該第一樞軸點及該第二樞軸點係用以將該可調整之指支撐結構之該第二端固定至一第一位置或一第二位置處, 其中將該可調整之指支撐結構固定至該第一位置或該第二位置係基於該基板處理系統內之複數模組的一設計。
  9. 如請求項1之輸送基板處理系統中用之消耗性部件的環儲存站,其中包含該服務窗開口之該第二側係與包含該末端執行器接取開口的該第一側相對設置。
  10. 如請求項9之輸送基板處理系統中用之消耗性部件的環儲存站,其中該組指支撐結構包含: 一第一對指支撐結構,係設置在該環儲存站的一第一橫向側上,俾使該第一對指支撐結構的一第一指支撐結構設置於該第一側附近且該第一對指支撐結構的一第二指支撐結構係設置於該第二側附近;及 一第二對指支撐結構,係設置在該環儲存站的一第二橫向側上,俾使該第二對指支撐結構的一第三指支撐結構設置於該第一側附近且該第二對指支撐結構的一第四指支撐結構係設置於該第二側附近。
  11. 如請求項1之輸送基板處理系統中用之消耗性部件的環儲存站,其中該末端執行器接取開口與該服務窗開口包含一遮板,該遮板係以可協調方式操作以隔離該環儲存站或該基板處理系統。
  12. 如請求項1之輸送基板處理系統中用之消耗性部件的環儲存站,其中該旋轉板包含定義於其上的一載具板外殼,該載具板外殼係用以儲存用於將該消耗性部件移動出、入該環儲存站的一載具板。
  13. 如請求項1之輸送基板處理系統中用之消耗性部件的環儲存站,其中該消耗性部件為該基板處理系統內之一處理模組中所用的邊緣環。
  14. 一種輸送消耗性部件至基板處理系統的環儲存站,包含: 一底板; 一第一開口,設置於該環儲存站的一第一側上; 一第二開口,設置於該環儲存站的一第二側上;及 一組指支撐結構,定義於該基板上,該等指支撐結構中的每一指支撐結構包含包含一支撐柱及自該支撐柱延伸至該環儲存站之中心的複數支撐指,其中該等指支撐結構中的至少兩指支撐結構具有具備具有複數索引銷的複數支撐指,該索引銷係用以在該消耗性部件被放置於該環儲存站中時徑向對準該消耗性部件。
  15. 如請求項14之輸送消耗性部件至基板處理系統的環儲存站,更包含: 一載具板外殼,定義於該底板上,該載具板外殼係用以容納一載具板,該載具板係用以將該消耗性部件移動出、入該環儲存站。
  16. 如請求項14之輸送消耗性部件至基板處理系統的環儲存站,其中包含一服務窗開口之該第二側係與包含一末端執行器接取開口的該第一側相鄰設置。
  17. 如請求項16之輸送消耗性部件至基板處理系統的環儲存站,其中該等指支撐結構中的一指支撐結構為一可調整之指支撐結構,該可調整之指支撐結構係定義於該第一側與該第二側的一交會處。
  18. 如請求項17之輸送消耗性部件至基板處理系統的環儲存站,其中該底板包含定義於其上的一負載路徑調整件,該負載路徑調整件係定義於該可調整之指支撐結構的該支撐柱附近,且係用以調整該可調整之指支撐結構之該支撐柱的位置。
  19. 如請求項18之輸送消耗性部件至基板處理系統的環儲存站,其中該負載路徑調整件包含具有一或多個開放通道及一或多個調整件銷的一調整板,以在將該可調整之指支撐結構之該支撐柱固定至允許該消耗性部件以不受阻礙之方式移動出、入該環儲存站的一位置時,藉由該一或多個開放通道使該旋轉板銜合至該底板。
  20. 如請求項17之輸送消耗性部件至基板處理系統的環儲存站,其中該底板包含定義在該可調整之指支撐結構之一第二端附近的一第一樞軸點及一第二樞軸點,該第一樞軸點及該第二樞軸點係用以將該可調整之指支撐結構之該第二端固定至一第一位置或一第二位置處, 其中將該可調整之指支撐結構固定至該第一位置或該第二位置係基於該基板處理系統內之複數模組的一設計。
  21. 如請求項14之輸送消耗性部件至基板處理系統的環儲存站,其中包含一服務窗開口之該第二側係與包含一末端執行器接取開口的該第一側相對設置。
  22. 如請求項21之輸送消耗性部件至基板處理系統的環儲存站,其中該組指支撐結構包含: 一第一對指支撐結構,係設置在該環儲存站的一第一橫向側上,俾使該第一對指支撐結構的一第一指支撐結構設置於該第一側附近且該第一對指支撐結構的一第二指支撐結構係設置於該第二側附近;及 一第二對指支撐結構,係設置在該環儲存站的一第二橫向側上,俾使該第二對指支撐結構的一第三指支撐結構設置於該第一側附近且該第二對指支撐結構的一第四指支撐結構係設置於該第二側附近。
  23. 如請求項14之輸送消耗性部件至基板處理系統的環儲存站,其中該消耗性部件包含均勻定義在該消耗性部件之底表面上的三個溝槽,該些溝槽係用以對準至該等指支撐結構之至少兩指支撐結構上的複數索引銷。
  24. 一種輸送基板處理系統中用之消耗性部件的環儲存站,包含: 一外殼,包含: 一底板; 一末端執行器接取開口,設置於該外殼的一第一側上; 一服務窗開口,設置於該外殼的一第二側上; 一組指支撐結構,包含設置在複數對應支撐柱上的複數支撐指,該組指支撐結構係連接至該外殼內的該底板, 其中該複數指支撐結構中的至少一指支撐結構為一可調整之指支撐結構。
  25. 如請求項24之輸送基板處理系統中用之消耗性部件的環儲存站,其中包含該服務窗開口之該第二側係與包含該末端執行器接取開口的該第一側相鄰設置。
  26. 如請求項24之輸送基板處理系統中用之消耗性部件的環儲存站,其中該底板具有定義於其上的一負載路徑調整件,該負載路徑調整件係定義於該可調整之指支撐結構的該支撐柱附近,且係用以調整該可調整之指支撐結構之該支撐柱的位置。
  27. 如請求項24之輸送基板處理系統中用之消耗性部件的環儲存站,其中該底板包含定義在該可調整之指支撐結構之一第二端附近的一第一樞軸點及一第二樞軸點,其中該第二端係與定義該支撐柱的一端相對,該第一樞軸點及該第二樞軸點係用以將該可調整之指支撐結構之該第二端固定至一第一位置或一第二位置處, 其中將該可調整之指支撐結構固定至該第一位置或該第二位置係基於該基板處理系統內之複數模組的一設計。
  28. 如請求項24之輸送基板處理系統中用之消耗性部件的環儲存站,其中該組指支撐結構中的至少兩指支撐結構具有具備複數索引銷的複數指支撐件,該複數索引銷係用以在該消耗性部件被放置於該環儲存站中時徑向對準該消耗性部件。
  29. 如請求項24之輸送基板處理系統中用之消耗性部件的環儲存站,其中該環儲存站係與一設備前端模組(EFEM)介接,其中該EFEM包含具有一映射感測器的一機器人末端執行器,該映射感測器係用以偵測一基板何時未被正確放置至與該組指支撐結構相關的一槽口中。
TW109118419A 2019-06-06 2020-06-02 需要轉動對準之邊緣環的自動化轉移 TW202128540A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962858274P 2019-06-06 2019-06-06
US62/858,274 2019-06-06

Publications (1)

Publication Number Publication Date
TW202128540A true TW202128540A (zh) 2021-08-01

Family

ID=73652905

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109118419A TW202128540A (zh) 2019-06-06 2020-06-02 需要轉動對準之邊緣環的自動化轉移

Country Status (6)

Country Link
US (1) US20220246408A1 (zh)
JP (1) JP2022534738A (zh)
KR (1) KR20220018014A (zh)
CN (1) CN114051652A (zh)
TW (1) TW202128540A (zh)
WO (1) WO2020247146A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6859426B2 (ja) * 2018-08-13 2021-04-14 ラム リサーチ コーポレーションLam Research Corporation エッジリングの位置決めおよびセンタリング機構を組み込んだプラズマシース調整のための交換可能および/または折りたたみ式エッジリングアセンブリ
JP7374775B2 (ja) 2020-01-09 2023-11-07 株式会社ディスコ チャックテーブルケース
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
KR102652834B1 (ko) * 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치
TW202232624A (zh) * 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
KR20240027022A (ko) * 2021-06-24 2024-02-29 램 리써치 코포레이션 기판 프로세싱 시스템의 부품 추적을 위한 인라인 머신 비전 시스템 (In-line Machine Vision System)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998053484A1 (en) * 1997-05-20 1998-11-26 Tokyo Electron Limited Processing apparatus
US7682455B2 (en) * 2003-07-11 2010-03-23 Tec-Sem Ag Device for storing and/or transporting plate-shaped substrates in the manufacture of electronic components
FR2902235B1 (fr) * 2006-06-09 2008-10-31 Alcatel Sa Dispositif de transport, de stockage et de transfert de substrats
US20150332950A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. On-end effector magnetic wafer carrier alignment
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod

Also Published As

Publication number Publication date
KR20220018014A (ko) 2022-02-14
WO2020247146A1 (en) 2020-12-10
CN114051652A (zh) 2022-02-15
JP2022534738A (ja) 2022-08-03
US20220246408A1 (en) 2022-08-04

Similar Documents

Publication Publication Date Title
TW202128540A (zh) 需要轉動對準之邊緣環的自動化轉移
JP7383665B2 (ja) 正面開口式リングポッド
TWI811712B (zh) 藉由介接腔室進行之易損零件的自動更換
TWI745308B (zh) 末端作用器機構及大氣轉移模組
US20210398838A1 (en) Storage module, substrate processing system, and method of transferring consumable member
KR20210010087A (ko) 기판 처리 장치 및 기판 안착 상태 판단 방법
TW202331910A (zh) 對於旋轉晶圓之處理模組之處理站的自動校正