TW202125109A - 微影製程之子場控制及其相關設備 - Google Patents

微影製程之子場控制及其相關設備 Download PDF

Info

Publication number
TW202125109A
TW202125109A TW109129081A TW109129081A TW202125109A TW 202125109 A TW202125109 A TW 202125109A TW 109129081 A TW109129081 A TW 109129081A TW 109129081 A TW109129081 A TW 109129081A TW 202125109 A TW202125109 A TW 202125109A
Authority
TW
Taiwan
Prior art keywords
control
stage
substrate
dynamic
control profile
Prior art date
Application number
TW109129081A
Other languages
English (en)
Other versions
TWI752604B (zh
Inventor
賈庫巴 菲德利克 菲索 克林哈瑪
瓦雷利歐 奧提尼
漢斯 艾瑞克 卡陶
西奧 威廉姆斯 瑪麗亞 提森
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19197575.4A external-priority patent/EP3792693A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202125109A publication Critical patent/TW202125109A/zh
Application granted granted Critical
Publication of TWI752604B publication Critical patent/TWI752604B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • G03F7/70266Adaptive optics, e.g. deformable optical elements for wavefront control, e.g. for aberration adjustment or correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明揭示一種用於判定用於控制使用一微影設備在一曝光場上曝光一圖案之一微影製程之一校正的方法。該方法包含獲得描述在該曝光場之至少一部分上之一效能參數的空間變化的一空間分佈,及共同判定該空間分佈之控制設定檔以最小化該效能參數中之誤差同時確保一最小對比度品質。該等共同判定的控制設定檔包含用於控制該微影設備之一載物台配置之至少一載物台控制設定檔,及用於控制該微影設備之一透鏡操縱器之一透鏡操縱器控制設定檔,該微影設備之該透鏡操縱器可操作以在垂直於基板平面之一方向上對至少放大率執行一校正。

Description

微影製程之子場控制及其相關設備
本發明係關於用於在微影製程中將圖案施加至基板及/或量測該等圖案之方法及設備。
微影設備為將所需圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影設備可用於例如積體電路(IC)之製造中。在彼情況下,圖案化裝置(其替代地被稱作遮罩或倍縮光罩)可用以產生待形成於IC之個別層上的電路圖案。此圖案可轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至設置於基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單個基板將含有順次地經圖案化之鄰近目標部分之網路。已知微影設備包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束掃描圖案同時平行或反平行於此方向同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化裝置轉印至基板。
為了監視微影製程,量測經圖案化基板之參數。參數可包括例如形成於經圖案化基板中或上之順次層之間的疊對誤差及經顯影感光性抗蝕劑之臨界線寬(CD)。可對產品基板及/或對專用度量衡目標執行此量測。存在用於對在微影製程中形成之顯微結構進行量測之各種技術,包括使用掃描電子顯微鏡及各種特殊化工具。快速且非侵襲性形式之特殊化檢測工具為散射計,其中將輻射光束導向至基板之表面上的目標上,且量測經散射或經反射光束之性質。兩種主要類型之散射計為已知的。光譜散射計將寬頻帶輻射光束導向至基板上且量測散射至特定窄角程中之輻射之光譜(隨波長而變化之強度)。角解析散射計使用單色輻射光束且量測隨角度而變化之散射輻射之強度。
已知散射計之實例包括US2006033921A1及US2010201963A1中所描述之類型的角解析散射計。由此類散射計使用之目標相對較大(例如,40 μm乘40 μm)光柵,且量測光束產生小於光柵之光點(亦即,光柵填充不足)。除了藉由重建構進行特徵形狀之量測以外,亦可使用此設備來量測基於繞射之疊對,如公開專利申請案US2006066855A1中所描述。使用繞射階之暗場成像的基於繞射之疊對度量衡實現對較小目標之疊對量測。可在國際專利申請案WO 2009/078708及WO 2009/106279中找到暗場成像度量衡之實例,該等申請案之文件特此以全文引用之方式併入。已公開之專利公開案US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A及WO2013178422A1中已描述該技術之進一步發展。此等目標可小於照明光點且可由晶圓上之產品結構圍繞。可使用複合光柵目標在一個影像中量測多個光柵。所有此等申請案之內容亦以引用之方式併入本文中。
當前,藉助於例如US2013230797A1中所描述之校正模型來控制及校正疊對誤差。近年來已引入進階製程控制技術,且進階製程控制技術使用沿著經施加裝置圖案而施加至基板之度量衡目標之量測。此等目標允許使用諸如散射計之高產出量檢測設備來量測疊對,且該等量測可用於產生校正,該等校正在圖案化後續基板時經回饋至微影設備中。進階製程控制(APC)之實例描述於例如US2012008127A1中。檢測設備可與微影設備分離。在微影設備內,習知地基於設置於基板上之疊對目標之量測而應用晶圓校正模型,該等量測係作為每一圖案化操作之初步步驟。校正模型現如今包括更高階模型,以校正晶圓之非線性失真。校正模型亦可經擴展以考慮其他量測及/或諸如在圖案化操作期間之熱變形之所計算的效應。
雖然更高階模型的使用可考慮更多效應,然而,若圖案化設備自身在圖案化操作期間並不提供對應參數之控制,則此類模型的使用可能有限。此外,甚至進階校正模型可能不足以校正某些疊對誤差或可能未經最佳化以校正某些疊對誤差。
將需要改良此類製程控制方法。
在本發明之一第一態樣中,提供一種用於判定用於控制使用一微影設備在一曝光場上曝光一圖案之一微影製程之一校正的方法,該方法包含:獲得描述在該曝光場之至少一部分上之一效能參數的空間變化的一空間分佈;及共同判定該空間分佈之控制設定檔以最小化該效能參數中之誤差同時確保一最小對比度品質,該等共同判定之控制設定檔包含用於控制該微影設備之一載物台配置之至少一載物台控制設定檔及用於控制該微影設備之一透鏡操縱器之一透鏡操縱器控制設定檔,該微影設備之該透鏡操縱器可操作以在垂直於基板平面之一方向上對至少放大率執行一校正。
在本發明之一第二態樣中,提供一種電腦程式,其包含可操作以在經執行於一適合的設備上時執行該第一態樣之該方法之程式指令。
在本發明之一第三態樣中,提供一種微影設備,其包含:用於提供一輻射光束之一照明系統;一載物台配置,其包含用於支撐一圖案化裝置之一第一載物台,該圖案化裝置用於在該輻射光束之橫截面中將圖案賦予該輻射光束,及用於固持一基板之一第二載物台;用於將經圖案化輻射光束投影至該基板之一目標部分上之一投影系統;經組態以根據一透鏡操縱器控制設定檔對經圖案化輻射光束應用一校正之一透鏡操縱器,該透鏡操縱器位於一場平面附近;及經組態以執行該第一態樣之該方法的一控制器。
在本發明之一第四態樣中,提供一種執行共同判定之載物台控制及動態透鏡控制之方法,其用於控制使用一微影設備在一曝光場上曝光一圖案之一微影製程,該方法包含:共同判定經組態以校正一或多個空間上變化之效能參數的一載物台控制設定檔及一動態透鏡控制設定檔,以利用對該一或多個效能參數之最小影響來穩定該載物台控制對對比度之影響。
在本發明之一第五態樣中,提供一種判定用於將一圖案之一影像投影至一基板的一微影設備內之一載物台的一載物台控制設定檔之方法,該方法包含:獲得與經投影影像之定位相關聯之一位置參數在該基板之至少一部分上的一空間變化;及基於該位置參數之該空間變化及一透鏡操縱器之一校正潛力來判定該載物台之該控制設定檔,該透鏡操縱器之該校正潛力用於穩定或減輕由於與經組態以校正該位置參數之該空間變化之該載物台控制設定檔相關聯的一動態載物台位置誤差之預期影響而導致之影像對比度降低。
在本發明之一第六態樣中,提供一種判定用於將一圖案之一影像投影至一基板的一微影設備內之一透鏡之一操縱器的一動態控制設定檔之方法,該方法包含:獲得與經投影影像之定位相關聯之一位置參數在該基板之至少一部分上的一空間變化;及基於影像對比度降低之一所需穩定或減輕來判定該操縱器之該動態控制設定檔,該影像對比度降低歸因於與經組態以校正該位置參數之該空間變化之一載物台控制設定檔相關聯的一動態載物台位置誤差之預期影響。
下文參看隨附圖式詳細地描述本發明之其他態樣、特徵及優勢,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文中所描述之具體實施例。本文中僅出於說明性目的呈現此類實施例。基於本文中所含之教示,額外實施例對於熟習相關技術者將為顯而易見的。
在詳細地描述本發明之實施例之前,呈現可供實施本發明之實施例之實例環境係具指導性的。
圖1在200處將微影設備LA展示為實施大容量微影製造製程之工業生產設施之部分。在本實例中,製造製程經調適用於在諸如半導體晶圓之基板上之半導體產品(積體電路)之製造。熟習此項技術者應瞭解,可藉由以此製程之變體處理不同類型之基板來製造各種產品。半導體產品之生產僅用作現今具有重大商業意義之實例。
在微影設備(或簡稱「微影工具(litho tool)」200)內,在202處展示量測站MEA且在204處展示曝光站EXP。在206處展示控制單元LACU。在此實例中,每一基板訪問量測站及曝光站以施加有圖案。舉例而言,在光學微影設備中,投影系統用以使用經調節輻射及投影系統將產品圖案自圖案化裝置MA轉印至基板上。此藉由在輻射敏感抗蝕劑材料層中形成圖案影像來實現。
本文中所使用之術語「投影系統」應經廣泛地解釋為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用的其他因素之任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。圖案化MA裝置可為將圖案賦予至藉由圖案化裝置傳輸或反射之輻射光束的遮罩或倍縮光罩。熟知操作模式包括步進模式及掃描模式。眾所周知,投影系統可以多種方式與用於基板及圖案化裝置之支撐件及定位系統合作,以將所需圖案施加至橫越基板之許多目標部分。可使用可程式化圖案化裝置來替代具有固定圖案之倍縮光罩。舉例而言,輻射可包括在深紫外線(DUV)波帶或極紫外線(EUV)波帶中之電磁輻射。本發明亦適用於其他類型的微影製程,例如壓印微影及例如藉由電子射束進行之直寫微影。
微影設備控制單元LACU控制各種致動器及感測器之所有移動及量測以接收基板W及倍縮光罩MA且實施圖案化操作。LACU亦包括用以實施與設備之操作相關的所需計算之信號處理及資料處理能力。實務上,控制單元LACU將實現為許多子單元之系統,該等子單元各自處置設備內之子系統或組件的即時資料獲取、處理及控制。
在曝光站EXP處將圖案施加至基板之前,在量測站MEA處處理基板以使得可執行各種預備步驟。預備步驟可包括使用位階感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記的位置。對準標記係以規則柵格圖案標稱地配置。然而,歸因於在產生標記時之不準確度且亦歸因於基板貫穿其處理而發生之變形,標記偏離理想柵格。因此,在設備將以極高準確度在正確位置處印刷產品特徵的情況下,除了量測基板之位置及定向以外,對準感測器實務上亦必須詳細地量測橫越基板區域之許多標記之位置。設備可為具有兩個基板台之所謂的雙載物台類型,該等基板台各自具有由控制單元LACU控制之定位系統。在曝光站EXP處曝光一個基板台上之一個基板的同時,可在量測站MEA處將另一基板裝載至另一基板台上,以使得可執行各種預備步驟。因此,對準標記之量測極耗時,且兩個基板台的設置能夠實現設備之產出量之顯著增加。若位置感測器IF不能夠在基板台處於量測站處及處於曝光站處時量測基板台之位置,則可提供第二位置感測器以使得能夠在兩個站處追蹤基板台之位置。微影設備LA可例如屬於所謂的雙載物台類型,其具有兩個基板台及兩個站—曝光站及量測站—在該等站之間可交換該等基板台。
在生產設施內,設備200形成「微影單元(litho cell)」或「微影叢集(litho cluster)」之部分,該「微影單元」或「微影叢集」亦含有用於將感光抗蝕劑及其他塗層塗覆至基板W以藉由設備200進行圖案化之塗佈設備208。在設備200之輸出側處,提供烘烤設備210及顯影設備212以用於將經曝光圖案顯影成實體抗蝕劑圖案。在所有此等設備之間,基板處置系統負責支撐基板且將該等基板自一台設備轉移至下一台設備。通常被集體地稱作塗佈顯影系統(track)之此等設備係在塗佈顯影系統控制單元之控制下,該塗佈顯影系統控制單元自身受監督控制系統SCS控制,該監督控制系統SCS亦經由微影設備控制單元LACU而控制微影設備。因此,不同設備可經操作以最大化產出量及處理效率。監督控制系統SCS接收配方資訊R,該配方資訊R極詳細地提供待執行以產生每一經圖案化基板之步驟的定義。
一旦已在微影製造單元中施加且顯影圖案,即將經圖案化基板220轉印至諸如在222、224、226處說明之其他處理設備。各種處理步驟藉由典型製造設施中之各種設備來實施。出於實例起見,此實施例中之設備222為蝕刻站,且設備224執行蝕刻後退火步驟。在其他設備226等中應用其他物理及/或化學處理步驟。可需要眾多類型之操作以製作真實裝置,諸如,材料之沈積、表面材料特性之改質(氧化、摻雜、離子植入等)、化學機械研磨(CMP)等。實務上,設備226可表示在一或多個設備中執行之一系列不同處理步驟。作為另一實例,可提供用於實施自對準多重圖案化之設備及處理步驟,以基於藉由微影設備鋪設之前驅圖案而產生多個較小特徵。
眾所周知,半導體裝置之製造涉及此處理之許多重複,以在基板上逐層地用適當材料及圖案建構裝置結構。因此,到達微影叢集之基板230可為新近製備之基板,或其可為先前已在此叢集中或在另一設備中完全地經處理之基板。類似地,取決於所需處理,離開設備226上之基板232可返回以用於同一微影叢集中之後續圖案化操作,其可經指定以用於不同叢集中之圖案化操作,或其可為待發送以用於切割及封裝之成品。
產品結構之每一層需要一組不同製程步驟,且用於每一層處之設備226可在類型方面完全不同。另外,即使在待由設備226應用之處理步驟在大型設施中標稱地相同的情況下,亦可存在並行地工作以對不同基板執行步驟226之若干假設相同的機器。此等機器之間的設定之較小差異或缺陷可意謂其以不同方式影響不同基板。即使為每一層相對所共有之步驟,諸如蝕刻(設備222)亦可藉由標稱地相同但並行地工作以最大化產出量之若干蝕刻設備來實施。此外,實務上,不同層根據待蝕刻之材料的細節及諸如非等向性蝕刻之特殊要求而需要不同蝕刻製程,例如化學蝕刻、電漿蝕刻。
可在如剛才所提及之其他微影設備中執行先前及/或後續製程,且可甚至在不同類型之微影設備中執行先前及/或後續製程。舉例而言,裝置製造製程中之在諸如解析度及疊對之參數方面要求極高的一些層相比於要求不高之其他層可在更進階微影工具中予以執行。因此,一些層可曝光於浸潤型微影工具中,而其他層曝光於『乾式』工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層使用EUV波長輻射來曝光。
為了正確地且一致地曝光由微影設備曝光之基板,可需要檢測經曝光基板以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等性質。因此,經定位有微影製造單元LC之製造設施亦包括接收已在微影製造單元中經處理之基板W中之一些或全部的度量衡系統。將度量衡結果直接地或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光進行調整,尤其在可足夠迅速地且快速地進行度量衡使得同一批次之其他基板仍待曝光的情況下。此外,已曝光之基板可被剝離及重工以提高良率,或被捨棄,由此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷的狀況下,可僅對良好的彼等目標部分執行進一步曝光。
圖1中亦展示度量衡設備240,該度量衡設備240經提供以用於在製造製程中之所需階段處進行產品之參數的量測。現代微影生產設施中之度量衡站之常見實例為散射計(例如暗場散射計、角解析散射計或光譜散射計),且其可經應用以在設備222中之蝕刻之前量測在220處之經顯影基板之性質。在使用度量衡設備240之情況下,可判定例如諸如疊對或臨界尺寸(CD)之重要效能參數並不滿足經顯影抗蝕劑中之指定準確度要求。在蝕刻步驟之前,存在經由微影叢集剝離經顯影抗蝕劑且重新處理基板220的機會。藉由監督控制系統SCS及/或控制單元LACU 206隨著時間推移進行小幅度調整,可使用來自設備240之度量衡結果242在微影叢集中維持圖案化操作之準確效能,由此使製得不合格產品且需要重工之風險最小化。
另外,可應用度量衡設備240及/或其他度量衡設備(未展示)以量測經處理基板232、234及傳入基板230之性質。可在經處理基板上使用度量衡設備來判定諸如疊對或CD之重要參數。
通常,微影設備LA中之圖案化製程為處理中之最重要步驟之一,其需要基板W上之結構之尺寸標定及置放的高準確度。為了確保此高準確度,可將三個系統組合於如圖2中示意性地描繪之所謂的「整體」控制環境中。此等系統中之一者為微影設備LA,其(實際上)連接至度量衡工具MET (第二系統)且連接至電腦系統CL (第三系統)。此「整體」環境之關鍵在於最佳化此等三個系統之間的合作以增強總體製程窗且提供嚴格控制迴路,確保由微影設備LA執行之圖案化保持在製程窗內。製程窗界定製程參數(例如劑量、焦點、疊對)之範圍,在該範圍內具體製造製程產生經界定結果(例如功能半導體裝置)—通常允許微影製程或圖案化製程中之製程參數在該範圍內變化。
電腦系統CL可使用待圖案化之設計佈局(之部分)以預測使用哪些解析度增強技術且執行計算微影模擬及計算,以判定哪些遮罩佈局及微影設備設定實現圖案化製程之最大總體製程窗(在圖2中由第一標度SC1中之雙箭頭描繪)。通常,解析度增強技術經配置以匹配微影設備LA之圖案化可能性。電腦系統CL亦可用於偵測微影設備LA當前正在製程窗內之何處操作(例如使用來自度量衡工具MET之輸入)以預測是否歸因於例如次佳處理而存在缺陷(在圖2中由第二標度SC2中之指向「0」之箭頭描繪)。
度量衡工具MET可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影設備LA以識別例如微影設備LA之校準狀態中的可能漂移(在圖2中由第三標度SC3中之多個箭頭描繪)。
各種技術可用以提高圖案再現至基板上之準確度。圖案至基板上之準確再現並非IC之生產中的唯一關注點。另一關注點為良率,其通常量測裝置製造商或裝置製造製程每基板可產生多少功能裝置。各種方法可用於提高良率。一種此方法嘗試使裝置之生產(例如,使用諸如掃描器之微影設備將設計佈局之一部分成像至基板上)在處理基板期間(例如,在使用微影設備將設計佈局之一部分成像至基板上期間)對處理參數中之至少一者的擾動更具耐受性。重疊製程窗(OPW)之概念為此方法之有用工具。裝置(例如,IC)之生產可包括其他步驟,諸如在成像之前、之後或期間的基板量測;裝載或卸載基板;裝載或卸載圖案化裝置;在曝光之前將晶粒定位於投影光學器件之下方;自一個晶粒步進至另一晶粒等。另外,圖案化裝置上之各種圖案可具有不同製程窗(亦即,將產生規格內之圖案所依據的處理參數之空間)。與潛在系統性缺陷相關之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。圖案化裝置上之圖案之所有或一些(通常為特定區域內之圖案)的製程窗可藉由合併(例如重疊)每一個別圖案之製程窗而獲得。此等圖案之製程窗由此被稱為重疊製程窗。OPW之邊界可含有個別圖案中之一些之製程窗的邊界。換言之,此等個別圖案限制OPW。此等個別圖案可稱作「熱點」、「臨界特徵」或「製程窗限制圖案(PWLP)」,「熱點」、「臨界特徵」或「製程窗限制圖案」在本文中可互換地使用。當控制微影製程時,聚焦於熱點上為可能的,且通常為低成本的。當熱點無缺陷時,有可能所有圖案均無缺陷。當處理參數值在OPW外部的情況下處理參數值更接近於OPW時,或當處理參數值在OPW內部的情況下處理參數值更遠離OPW之邊界時,成像變得對擾動更具耐受性。
圖3展示處理參數350之例示性源。一個源可為處理設備之資料310,諸如微影設備之源、投影光學器件、基板載物台等的參數、塗佈顯影系統之參數等。另一源可為來自各種基板度量衡工具之資料320,諸如基板高度圖、焦點圖、臨界尺寸均一性(CDU)圖等。可在可應用基板經歷防止基板之重工的步驟(例如,顯影)之前獲得資料320。另一源可為來自一或多個圖案化裝置度量衡工具之資料330、圖案化裝置CDU圖、圖案化裝置(例如遮罩)膜堆疊參數變化等。又一源可為來自處理設備之操作者的資料340。
微影製程之控制通常係基於回饋或前饋之量測,且接著使用例如場間(橫越基板指紋特徵)或場內(橫越場指紋特徵)模型而模型化。以引用的方式併入本文中之美國專利申請案20180292761描述一種用於使用進階校正模型來控制諸如子場層級處之疊對的效能參數之控制方法。在歐洲專利申請案EP3343294A1中描述使用子場控制之另一控制方法,該專利申請案亦以引用之方式併入本文中。每一子場例如可與單個晶粒或其功能性區域相關。
然而,雖然進階校正模型可例如包括20至30個參數,但當前使用之微影設備(為簡潔起見,在整個描述中將使用術語「掃描器」)可不具有對應於參數中之一或多者的致動器。因此,僅模型之整個參數集合中之子集可在任何給定時間使用。另外,由於進階模型需要許多量測,因此不需要在所有情況下使用此等模型,此係由於執行必要量測所需之時間減少了產出量。
對疊對誤差之主要貢獻因素中之一些包括但不限於以下各者: 掃描器特定誤差:此等誤差可起因於在基板之曝光期間使用之掃描器的各種子系統,從而實際上產生掃描器特定之指紋特徵; 製程誘發之晶圓變形:對基板執行之各種製程可使基板或晶圓變形; 照明設定差異:此等差異由照明系統之設定導致,諸如孔徑之形狀、透鏡致動器定位等; 加熱效應-加熱誘發之效應將在基板(尤其對於各種子場包括不同類型之組件或結構之基板)的各種子場之間不同; 倍縮光罩寫入誤差:歸因於圖案化裝置的製造中之限制,誤差可能已經存在於該圖案化裝置中;及 構形變化:基板可(尤其係在晶圓之邊緣周圍)具有構形(高度)變化。
可對場中之個別子場(例如,在晶粒層級或其他功能區域層級處)之疊對誤差執行建模,而非對整個場的疊對誤差進行建模,或除了對整個場進行建模之外,亦可對場中之個別子場之疊對誤差進行建模。雖然後者需要較多處理時間,但由於對場及場內的子場兩者進行建模,所以其允許校正僅涉及特定子場之誤差源以及涉及整個場之誤差源。當然,諸如對整個場及僅某些子場進行建模之其他組合係可能的。
即使在對誤差進行充分地建模的情況下,所得校正之致動亦存在困難。一些校正確實無法使用可用控制參數(控制旋鈕)來有效地致動。另外,雖然其他校正可為可致動的,但實際上這樣做可導致非所要副作用。基本上,歸因於動態及控制限制及靈敏度,因此掃描儀在實現校正方面之實際能力受到限制。
圖4說明在致動校正時存在困難之場內疊對指紋特徵之具體實例。其展示在一個曝光場中疊對OV (y軸)相對於掃描方向Y之曲線圖。每一十字表示經量測疊對值,且每一點為必要的對應補償校正。擬合線為(近似理想的)校正分佈,該校正分佈與校正(點)擬合。疊對指紋特徵中所展現之鋸齒圖案係明顯的;其中每一實質線性部分對應於單個晶粒(曲線圖表示橫越4個晶粒之疊對量測)。校正分佈遵循(且因此補償)疊對指紋特徵。將此指紋特徵視為由較大堆疊誘發之較大應力之結果,例如,如在例如3D-NAND或DRAM製程中所使用。此應力自身顯現於晶圓層級(導致嚴重的晶圓翹曲)及晶粒層級兩者處。在晶粒層級處,疊對指紋特徵包含每一晶粒內部之放大率。由於在曝光場內存在多個晶粒,因此所得場疊對指紋特徵展現所展示之鋸齒圖案(通常在數十奈米之尺度下)。取決於裝置之定向,圖案可為貫穿狹縫或貫穿掃描中任一者。無論定向如何,此疊對圖案對於藉由可用模型及致動器進行校正為具挑戰性的。亦應注意,顯現為鋸齒圖案(例如,由3D-NAND或DRAM製程中之晶粒內應力所導致,如圖4中所說明)之此疊對或邊緣置放誤差(EPE)呈現本文所描述之方法旨在解決之純例示性具體挑戰;應瞭解,此類方法可用以校正任何其他更高階疊對、EPE或焦點指紋特徵(諸如高頻場內指紋特徵)。
為了最佳地校正如圖4中所描繪之疊對指紋特徵,能夠在小於週期性分佈之間距(例如小於圖4的重複鋸齒分佈之一個「鋸齒」)之空間尺度下調整掃描器係重要的。此個別鋸齒區域通常與個別晶粒內之單元結構相關聯。因此,掃描器之介面應允許在曝光場內限定單獨可控區域。此概念被稱為子場控制介面;此概念之實例揭示於前述歐洲專利申請案EP3343294A1中。舉例而言,經組態以用於第一單元晶粒/單元結構之掃描器之晶圓載物台的控制設定檔可很大程度上獨立於沿掃描方向進一步定位之第二單元/晶粒結構之控制設定檔而限定。子場控制基礎設施允許以子場解析度對重複之疊對(或焦點)變化進行更佳校正。此外,獨立地控制不同子場區域之能力允許減輕晶粒內及/或單元疊對內/焦點指紋特徵的晶粒至晶粒或單元至單元變化。
通常,掃描器疊對控制使用動態載物台位置控制來調整結構(特徵)之置放,以使得疊對誤差最小化。原則上,此可藉由預校正預期疊對誤差指紋特徵(例如,如歸因於後續層的應用之應力之聚集所誘發的)及/或藉由調整後續層內之特徵之置放以便與先前層中的特徵充分地對準來實施。此文件上下文中之動態控制係指控制策略,其中所關注載物台致動器及/或透鏡操縱器在實際曝光操作期間經連續調整;例如圖案在基板上之曝光期間。
掃描器疊對校正通常藉由投影透鏡之載物台控制器及/或透鏡操縱器應用(奇數像差控制可用以控制特徵之置放)。然而,如已提及,掃描器無法完全追蹤任何所需疊對校正分佈。此情況之一個原因歸因於對可由晶圓(及倍縮光罩)載物台達成之速度及加速之約束。另一原因為掃描器用相對大照明光點曝光基板之實情(所謂的狹縫長度代表掃描方向上之光點之大小,參考文獻:歐洲專利申請案EP19150960.3,其特此以全文引用之方式併入)。光點之延伸意謂在掃描曝光期間,在所需疊對校正不僅為橫越整個晶粒/單元之簡單偏移的情況下,晶粒/單元內之特徵的一些部分將始終次最佳定位。在掃描操作期間有效位置(疊對)校正之此變化有效地使特徵之空中影像模糊,此繼而導致對比度損失。此動態效果通常被稱作移動標準偏差(MSD)。載物台定位之限制通常與平均位置(疊對)誤差相關聯,且通常被稱作移動平均值(MA)誤差。
更具體言之,微影載物台之誤差之移動平均值(MA)誤差及移動標準偏差(MSD)係關於包含曝光晶粒上之每一點(換言之:接收光子)之時間間隔的關鍵時間窗。若在此時間間隔期間晶粒上之點之平均位置誤差較高(換言之:高MA誤差),則效果為所曝光影像之偏移,從而導致疊對誤差。若在此時間間隔期間位置誤差之標準差較高(換言之:高MSD誤差),則影像可有污跡,從而導致衰減誤差。當準確地追蹤高頻子場分佈以實現較小MA誤差時,此將通常以MSD誤差為代價,此會破壞對比度;此限定可實現疊對校正潛力之極限,因為在一些點處,MSD損失變得過大。
歸因於MSD之平均疊對誤差(MA)及對比度損失皆為整體邊緣置放誤差(EPE)預算之貢獻因素,且因此在判定晶圓及/或倍縮光罩載物台的某一控制設定檔時需要仔細平衡;通常,更為以MA為目標之控制方法將產生較高MSD影響,而以MSD為目標之控制策略可導致不可接受的較大MA誤差。EPE為由全域臨界尺寸均一性(CDU)、局部CDU (例如,線邊緣粗糙度LER/線寬粗糙度LWR)及疊對誤差產生之組合誤差。此等參數對良率具有最大影響,此係由於此等參數中之誤差影響特徵之相對定位,且任何兩個特徵是否無意地接觸或無意地未能接觸。
如此,校正及/或控制設定檔應經平衡以使得a)與控制設定檔相關聯之MSD特性不會不恰當地劣化特徵之成像品質,及b)與控制設定檔相關聯之MA特性不會不恰當地劣化疊對。解決平衡MA及MSD之要求之一種方法為判定最大允許MSD降低,且在最佳化疊對/MA控制時使用此最大允許MSD降低作為限制或約束。
相對新的光學元件在一些掃描器中已變得可用。此光學元件包含可變形反射器(或一對此類可變形反射器),有時被稱作半球形鏡面。可變形反射器揭示於以引用之方式併入本文中之WO2018/134010中。藉由致動可變形反射器以給予其特定組態,有可能藉由以特定組態對其進行反射來對經圖案化輻射光束(亦即,由倍縮光罩圖案化)應用校正分佈。可變形反射器可位於掃描器之場平面附近(例如,在投影光學器件中)。自可變形反射器反射之輻射之波前的形狀可經由可變形反射器之變形來調整。波前可經調整以使得諸如疊對誤差之微影誤差減少。可變形反射器有利地能夠減少源自各種不同源之微影誤差。可變形反射器有利地能夠減少橫越微影設備之場平面之微影誤差。特定言之,可變形反射器在應用狹縫內校正(沿狹縫長度之校正)時提供較大靈活性,且因此可極快速地操縱經圖案化輻射光束之幾何變形,諸如放大誤差(當時沿掃描方向行進時),即使在小於移動狹縫穿過晶圓上某一點所花費之時間的時間尺度處。此放大誤差可以鋸齒圖案或以其他方式顯現自身。可變形反射器(或具有相同功能之任何其他透鏡操縱器元件)亦可誘發除放大外之其他幾何變形,諸如桶形失真、枕形失真、鬍鬚失真、色像差等。
圖5示意性地描繪根據本發明之實施例之可變形反射器1。在圖5之實例中,可變形反射器1包含大體矩形之致動元件陣列2。致動元件陣列2可採取任何所需形式,例如致動元件陣列2可為大體圓形。可變形反射器1可包含比圖5中所展示之更多或更少數目之致動元件。可變形反射器1可例如包含約50個致動元件至約200個致動元件。每一致動元件可經組態以致動可變形反射器1之不同部分3。在圖5之實例中,致動元件及其對應部分3大體塑形為方形。可變形反射器1之致動元件及部分3可採取任何所需形狀,例如大體圓形、大體矩形等。在圖5之實例中,可變形反射器為大體矩形。可變形反射器1可採取任何所需形狀,例如可變形反射器1可為大體圓形。可變形反射器1可為曲面的或平面的。可按需要選擇可變形反射器1之表面積及/或致動元件陣列2之表面積。舉例而言,可變形反射器1及/或致動元件陣列2之表面積可至少部分依賴於可變形反射器1所定位之微影設備之投影系統。
致動元件可經組態以使可變形反射器1之部分3變形以使得可變形反射器1之部分3在垂直於可變形反射器1之表面的方向上(亦即,沿z軸)移動。致動元件經組態以使可變形反射器1之部分3自致動元件不對部分3施加力之靜置位置移動至致動元件對部分3施加力之作用位置。致動元件可經組態以使該等部分沿z軸在正z方向上之約50 nm範圍內移動,且在負z方向上之約50 nm範圍內移動,由此允許沿z軸之約100 nm的移動範圍。致動元件可例如經組態以使該等部分沿z軸以約0.1 nm之增量移動。致動元件可經組態以使可變形反射器1之部分3在更大或更小範圍內且以更大或更小增量移動。如應瞭解,致動元件能夠使可變形反射器1變形之距離可部分地由可變形反射器1之厚度及/或形成可變形反射器1之材料來判定。適合用於EUV微影設備之可變形反射器可比適合用於UV微影設備之可變形反射器更厚。
致動元件可例如包含壓電致動器。可對壓電致動器施加電壓以導致壓電致動器變形。壓電致動器之變形可導致壓電致動器所定位之可變形反射器1之部分3變形。對壓電致動器施加較大電壓可導致壓電致動器之較大變形,且由此引起壓電致動器所定位之可變形反射器1之部分3之較大變形。
藉由致動致動元件及使可變形反射器1之部分變形,可調整自可變形反射器1反射之輻射之波前。可變形反射器1能夠進行之波前調整之尺度可部分地由可經致動元件變形之可變形反射器1之部分3的面積來判定。亦即,相較於使用較大致動元件來使可變形反射器1之較大部分變形,使用較小致動元件來使可變形反射器1之較小部分變形可實現自可變形反射器1反射之波前的更精細調整。一個致動元件可例如經組態以致動具有在約25 mm2 至約500 mm2 範圍內之面積的可變形反射器1之部分3。一般而言,致動元件可經組態以致動具有任何所需面積之可變形反射器1之部分3。
波前可經調整以使得諸如疊對誤差及/或聚焦誤差之微影誤差減少。為減小微影誤差,首先判定微影誤差。可經由直接量測(例如,使用偵測器系統)、間接量測(例如,對抗蝕劑執行微影曝光且分析抗蝕劑)及/或預測(例如,藉由將資料輸入至電腦模型且執行該電腦模型)來判定微影誤差。舉例而言,與微影誤差相關之資料可經量測且輸入至電腦模型中。電腦模型可經組態以接收資料且使用該資料執行計算以預測微影誤差。
一旦微影誤差已經判定,即可判定經圖案化輻射光束之校正分佈。校正分佈經組態以在校正分佈經施加至經圖案化輻射光束時減小微影誤差。校正分佈可包含對減小微影誤差所需之波前之修改。藉由致動致動元件以使可變形反射器1之部分3變形,將校正分佈施加至經圖案化輻射光束。一些部分3可不經歷變形。不同部分可變形不同量及/或在不同方向上變形。待變形之部分及施加至彼等部分之變形的量可藉由處理器來判定,該處理器經組態以接收校正分佈且計算將校正分佈施加至經圖案化輻射光束所需之可變形反射器1之部分的變形。
圖6示意性地描繪包含可變形反射器1之微影設備之部分。在圖6之實例中,可變形反射器1位於微影設備之投影系統內,諸如圖1之投影系統PL內。投影系統PL可包含諸如鏡面、透鏡等(圖6中未展示)之複數個其他光學元件。
可變形反射器1之子孔徑可經限定為可變形反射器1之區域,入射輻射穿過該區域聚焦至同一場位置(亦即,在基板W上之同一位置)上。可變形反射器1與場平面4之間的距離可部分地判定可變形反射器1之子孔徑之重疊。可變形反射器1位於場平面4附近。亦即,可變形反射器1位於距場平面4一定距離處,以使得處於致動元件陣列之中心之子孔徑不會與處於致動元件陣列之邊緣之子孔徑重疊。可變形反射器1可經組態以使得例如可變形反射器1之子孔徑具有約50 mm之直徑。可變形反射器1可在多個場平面附近。
進入投影系統PL之經圖案化輻射光束PB可在入射於可變形反射器1上之前與其他光學元件(圖6中未展示)相互作用。輻射PB自可變形反射器1反射且入射於反射器5上。輻射PB自反射器5 (其視情況可包含第二可變形鏡面)反射且可接著在射出投影系統PL及入射於由基板台WT固持之基板W上之前與投影系統PL中之其他光學元件相互作用。可變形反射器1之其他配置係可能的。可變形反射器1之致動可發生在經圖案化輻射光束PB之投影期間。替代地,可變形反射器1之致動可發生在經圖案化輻射光束PB之投影之前,且可變形反射器1之部分可在經圖案化輻射光束PB之投影期間固持在其新位置。可變形反射器1可與存在於投影系統PL中之其他光學元件操縱器組合使用以校正微影誤差。
此可變形反射器之更多細節可見於WO2018/134010。
本文提出在主動地減少諸如MSD之動態分量的控制策略中使用可變形反射器;例如在需要高頻疊對控制的狀況下。藉由減少MSD,疊對校正之範疇將更大,從而引起較佳疊對控制(例如,較小疊對誤差)。
除典型的基於疊對資料之(高頻)控制以外,基於對準資料之載物台及/或透鏡操縱器控制亦處於本發明之範疇內。通常,疊對資料包含稠密量測的位置資料(應用至基板之至少兩層之間的位置差量),其允許具有較高空間解析度之載物台控制。然而,基於分佈在整個基板上之對準標記之量測的對準資料亦可經稠密地量測,且因此亦允許以較高空間解析度進行載物台控制,亦增加載物台控制設定檔之使用,從而將可能誘發大量動態載物台位置誤差(或誤差變化)。因此,如本文件所揭示之實施例同樣適用於基於與疊對、對準及(當與聚焦效能有關時)位階感測器資料相關聯之位置資料控制設定檔的產生。
提出的方法包含共同判定(例如,共同最佳化)微影控制參數(例如,特別係與晶圓載物台/倍縮光罩載物台控制相關之載物台控制參數,以及諸如透鏡控制參數之其他參數)以及與控制此可變形反射器或半球形鏡面元件相關之一或多個可變形反射器控制參數。以此方式,載物台之MA校正能力可經改良而無額外MSDy影響。方法之輸出可包含待經發送至載物台及可變形反射器之改良軌跡/分佈。
可變形反射器可藉由最佳化幾何變形(諸如,在y方向上放大)之動態調整來實現MSD減少,以便減小在整個掃描積分時間中成像特徵之Y位置相對於標稱位置之平均(例如,RMS)偏差。仍需要載物台Y控制提供基於實際MA控制之實際疊對或對準校正(主動地偏移特徵影像之中心)。
替代地,可基於所獲位置參數(基於疊對、對準或水平校正資料)資料之所需校正,及透鏡操縱器在穩定或減輕因應用控制設定檔以校正位置而導致之對比度降低方面之校正潛力的知識來判定載物台控制參數(載物台控制設定檔)。
替代地,可基於載物台控制設定檔之知識來判定透鏡操縱器控制設定檔,例如,當位置參數資料可用且預期載物台控制設定檔可經計算時,且隨後透鏡操縱器控制設定檔可經判定以便減輕或穩定由使用載物台控制設定檔控制載物台時產生的動態載物台位置誤差誘發之影像對比度降低。
新提案可視情況包含基於維納(Wiener)之方法(基於維納之衰減控制方法描述於公開的荷蘭申請案NL2021296A中,其以引用的方式併入本文中)。此基於維納之方法包含當判定校正分佈時考慮已知有限狹縫寬度(在掃描方向上之狹縫尺寸)。此可包含使用適當方案自校正分佈對狹縫寬度之效應(例如,狹縫內之強度分佈)進行解廻旋,以判定在曝光期間提供改良效能之解廻旋校正分佈。此可包含在判定校正分佈且限定掃描器致動器軌跡時使用基於維納濾波器之演算法來解決衰減問題。此最佳化MA效能、疊對效能、聚焦效能及MSD效能,且因此最佳化成像效能。對於具體應用,亦使所提出之技術可就MA相對於MSD平衡而言變得靈活。
衰減可與狹縫內強度分佈之廻旋有關。問題亦可針對引入所需校正中之雜訊而一般化。經廻旋有雜訊之校正分佈y 包含輸入校正分佈或與狹縫強度分佈h 進行廻旋之設定點s 以獲得經受雜訊n 引入之無雜訊的經廻旋校正分佈r 。僅已知(有雜訊)經廻旋校正分佈y 及狹縫強度分佈h 。目的在於藉由判定「去狹縫」或解廻旋分佈g 來對狹縫分佈進行解廻旋,從而在給定狹縫強度分佈h 及經廻旋有雜訊之校正分佈y 之情況下最小化經校正(或「去狹縫」)校正分佈或設定點
Figure 02_image001
與輸入校正分佈s 之間的差(例如最小化均方誤差或RMS誤差)。在經廻旋有雜訊之校正分佈y 為可用校正(與此處的狀況一樣)的情況下,該問題變成估計經去狹縫校正分佈
Figure 02_image001
,其在經致動之情況下將最小化狹縫廻旋誤差。在存在雜訊之情況下,變數為隨機可變的,且因此解廻旋分佈g 之期望值可被視為:
Figure 02_image004
維納濾波器可用以解決此問題。維納濾波器為用於信號處理中以自經觀測有雜訊製程中提取所需信號之技術。可使用維納濾波器,例如以恢復由已知低通濾波器模糊之影像。維納濾波執行反向濾波與雜訊平滑之間的最佳折衷。此藉由對變量中之每一者進行傅立葉變換(Fourier transform)或FFT來解決頻域中之問題。此簡化解決方案,因為廻旋現在變為倍增。該問題變為:
Figure 02_image006
其可藉由下式求解:
Figure 02_image008
其中
Figure 02_image010
為原始校正分佈s 之平均功率頻譜密度,
Figure 02_image012
為雜訊n 之平均功率頻譜密度,且上標*指示複共軛。如此,維納濾波器可用以為掃描器中之致動器提供解廻旋、經校正(去狹縫)設定點
Figure 02_image014
雜訊NPSD (f) 項可用以調諧MA與MSD之間的最佳化。在一實施例中,可假設雜訊項NPSD 在所有頻率f 內採取單一值(白雜訊)。用於雜訊項NPSD 之下限值將增強MA同時降低MSD,上限值則相反。
因此,在此方法中,掃描器當前在經由載物台控制掃描期間追蹤疊對(例如,dy)分佈。衰減限制校正能力,且維納濾波器方法嘗試重新平衡MA與MSD。藉由圖7之第一曲線CV1說明此情況,該第一曲線CV1展示相對於誘發的MSD MSDy之預期疊對或對準殘餘OV。最大可接受MSD MSDymax 經展示(其可為使用者選擇的或以其他方式計算的),其有效地限制最小可實現的疊對殘餘OV (最佳疊對),且因此限制載物台致動之全部潛力,即使其中掃描器實體上能夠執行載物台致動。換言之,雖然或許有可能進一步經由載物台校正減小MA及疊對殘餘,但由於其對MSD且因此對對比度及EPE之影響,此為不被允許的。
藉由共同最佳化載物台及可變形反射器(例如,使用相同維納濾波器方法),經誘發MSD之部分將在狹縫內藉由可變形反射器補償,由此對於給定的最大可接受MSD MSDymax ,經由載物台致動實現較大校正潛力。藉由圖7之第二曲線CV2說明此情況,該第二曲線CV2描述與用於如本文所揭示之共同最佳化方法之曲線CV1相同的關係。共同最佳化方法及使用可變形反射器之狹縫內校正之結果為,對於同一最大可接受MSD MSDymax ,疊對殘餘將顯著地改良,從而引起顯著疊對改良ΔOV。
在一實施例中,共同最佳化可包含最小化一組目標函數:
Figure 02_image016
其中dy為疊對dy分佈,
Figure 02_image018
為載物台致動矩陣,
Figure 02_image020
為透鏡致動矩陣,t 為載物台軌跡,
Figure 02_image022
為透鏡軌跡,且
Figure 02_image024
為狹縫分佈。
透鏡致動矩陣
Figure 02_image020
包含可變形反射器致動矩陣(以及其他透鏡元件),且透鏡軌跡
Figure 02_image022
除其他透鏡軌跡以外亦包含可變形反射器軌跡。狹縫分佈
Figure 02_image024
為常數。
此等目標函數中之第一者描述使用載物台控制之MA的最佳化。此視情況包括狹縫分佈作為維納濾波器機制之提醒。此等目標函數中之第二者描述透鏡元件之控制,且尤其描述可變形反射器之控制,以在控制下維持載物台誘發MSD之二次形式。此等目標函數中之第三者經包括以明確可變形反射器之控制不會促成對狹縫中之MA的最終疊對。以此方式,經由第一目標函數充分利用基於維納之載物台致動以補償疊對(dy)分佈。
同時必須最小化方程式組,如此該方程式組可經組合至單個較大矩陣系統內;例如,最佳化形式:
Figure 02_image029
對比度(MSD)改良之程度取決於使用可變形反射器實現之狹縫內控制之程度。最簡單實施可僅包含y平移(平移參數Ty)控制。可藉由包括傾斜(放大參數MagY)控制來實現對此之顯著改良。除此以外,可藉由狹縫內控制之曲率參數及/或更高階數(例如,三階)來實現順次改良。
圖8為說明每一層之所提出方法之流程圖。在步驟800處,量測晶粒內應力效能參數(例如,疊對)指紋特徵。在步驟810處,基於層特性(例如,通常可每層進行此操作,每一層對MSD具有不同靈敏度)來判定最大允許MSD。基於步驟800及810之結果,判定820共同最佳化控制策略以用於曝光層,針對至少載物台控制及可變形反射器(半球形鏡面)之控制執行共同最佳化。視情況,共同最佳化亦可包含對透鏡控制參數進行最佳化。步驟820之結果將為控制設定檔830,包括載物台控制設定檔、可變形反射器控制設定檔及(視情況)透鏡控制設定檔。此等設定檔830可在基於模擬之迴路中回饋至SIM。最後,在步驟840處,可檢驗(例如,經由度量衡)實際產品上效能且在基於實驗之迴路中將其回饋至EXP。
以此方式,有可能對於給定MSD限制擴展疊對(或其他所關注參數)子場校正之校正範圍。可每一層執行此最佳化。
共同最佳化校正分佈可在掃描器內經歷進一步最佳化,例如基於掃描器度量衡(對準/水平校正等)或其他度量衡,以判定在曝光期間實施之實際校正分佈。
在其他實施例中,共同最佳化步驟可考慮(例如,共同最佳化)控制參數,而非影響效能參數之載物台/投影透鏡及可變形反射器控制設定檔。舉例而言,共同最佳化掃描器控制設定檔可根據焦點、劑量等進一步最佳化,上述所有者亦對EPE具有影響。
在所有以上實例中,可根據已揭示之效能參數之任一者(疊對、EPE等)執行最佳化。在一實施例中,效能參數誤差與由後續層之施加誘發的應力相關聯,其中共同最佳化基於預期效能參數殘差。
可展示,此方法將導致改良疊對,且因此提高良率。
儘管上述描述描述使用至少一個可變形反射器來應用波前校正以校正放大率,但應瞭解,可變形反射器僅為可用於實現本文所揭示之方法之透鏡操縱器的一種類型。應瞭解,本文之教示可擴展至能夠充分地實現快速的y倍放大校正之任何其他類型的透鏡操縱器(諸如變焦透鏡),且對可變形反射器之所有提及應理解為涵蓋其他透鏡操縱器。
儘管已描述呈實體倍縮光罩之形式的圖案化裝置,但本申請案中之術語「圖案化裝置」亦包括傳送呈數位之形式之圖案的例如結合可程式化圖案化裝置而使用之資料產品。
在下文經編號條項之清單中揭示本發明之其他實施例: 1. 一種用於判定用於控制使用微影設備在曝光場上曝光圖案之微影製程之校正的方法,該方法包含: 獲得描述在該曝光場之至少一部分上之效能參數的空間變化的空間分佈;及 共同判定該空間分佈之控制設定檔以最小化該效能參數中之誤差同時確保最小對比度品質,該等共同判定控制設定檔包含用於控制該微影設備之載物台配置之至少載物台控制設定檔及用於控制該微影設備之透鏡操縱器之透鏡操縱器控制設定檔,該微影設備之該透鏡操縱器可操作以在垂直於基板平面之方向上對至少放大率執行校正。 2. 如條項1之方法,其中該透鏡操縱器包含一或多個可變形反射器。 3. 如條項1或2之方法,其中該透鏡操縱器經組態以用於調整微影設備之影像平面附近之經圖案化輻射的波前。 4. 如條項1、2或3之方法,其中共同最佳化控制設定檔經判定用於子場控制。 5. 如條項4之方法,其中該方法經執行以判定用於該曝光場之每一子場之共同判定的控制設定檔的各別集合。 6. 如任一前述條項之方法,其中共同判定的控制設定檔進一步包含用於控制微影設備內之投影透鏡的投影透鏡控制設定檔。 7. 如任一前述條項之方法,其中確保最小對比度品質包含在該載物台配置之控制中應用誤差之最大允許移動標準偏差。 8. 如任一前述條項之方法,其中共同判定控制設定檔之步驟包含判定該空間分佈之共同最佳化控制設定檔以最小化該效能參數中之誤差同時確保最小對比度品質,共同判定的控制設定檔中之每一者包含共同最佳化控制設定檔。 9. 如條項8之方法,其中共同最佳化包含經由載物台控制設定檔之MA之移動平均值最佳化及經由透鏡操縱器控制設定檔之透鏡最佳化。 10.   如條項9之方法,其中透鏡最佳化旨在最小化載物台誘發的MSD之二次形式。 11.    如條項9或10之方法,其中共同最佳化包含使得透鏡操縱器控制設定檔不會促成微影設備之曝光隙縫中之MA的功能。 12.   如任一前述條項之方法,其包含將解廻旋方案應用至至少該載物台控制設定檔,其中該解廻旋方案之該結構係基於掃描方向上之照明輪廓之尺寸,至少該載物台控制設定檔包含經廻旋控制設定檔,該經廻旋控制設定檔與如曝光狹縫所限定之照明輪廓相廻旋,該解廻旋方案對該經廻旋控制設定檔進行解廻旋以最小化自廻旋所得之誤差。 13.   如條項12之方法,其中該解廻旋方案包含判定維納解廻旋濾波器,該維納解廻旋濾波器在存在雜訊的情況下對該經廻旋控制設定檔及照明輪廓進行解廻旋。 14.   如任一前述條項之方法,其中透鏡操縱器控制設定檔包含放大參數控制。 15.   如任一前述條項之方法,其中透鏡操縱器控制設定檔包含曲率參數。 16.   如任一前述條項之方法,其中透鏡操縱器控制設定檔包含更高階狹縫內控制。 19.   如任一前述條項之方法,其中效能參數包含或涉及疊對。 20.   如任一前述條項之方法,其中效能參數包含邊緣置放誤差。 21.   如任一前述條項之方法,其中載物台配置包含用於支撐及定位基板的第一載物台及用於支撐及定位圖案化裝置的第二載物台,且載物台控制設定檔包含用於第一載物台及第二載物台之組合控制的一或多個設定檔。 22.   如任一前述條項之方法,其中空間分佈係衍生自已知晶粒內應力指紋特徵。 23.   如任一前述條項之方法,其中共同判定步驟包含執行共同經判定的載物台控制設定檔及透鏡操縱器控制設定檔,其中載物台控制設定檔經組態以校正效能參數且透鏡操縱器控制設定檔經組態以利用對效能參數之最小影響來穩定載物台控制對對比度的影響。 24.   一種執行共同判定之載物台控制及動態透鏡控制之方法,其用於控制使用微影設備在曝光場上曝光圖案之微影製程,該方法包含: 共同判定經組態以校正一或多個空間上變化之效能參數的載物台控制設定檔及動態透鏡控制設定檔,以利用對該一或多個效能參數之最小影響來穩定載物台控制對對比度之影響。 25.   如條項24之方法,其中動態透鏡控制設定檔可經操作以控制至少一或多個可變形反射器。 26.   如任一前述條項之方法,其包含基於共同最佳化之控制設定檔執行微影製程。 27.   一種包含程式指令之電腦程式,該等程式指令可操作以在執行於適合的設備上時執行如條項1至26中任一項之方法。 28.   一種非暫時性電腦程式載體,其包含如條項27之電腦程式。 29.   一種微影設備,其包含: 照明系統,其用於提供輻射光束; 載物台配置,其包含用於支撐圖案化裝置之第一載物台,該圖案化裝置用於在該輻射光束之橫截面中將圖案賦予該輻射光束,及用於固持基板之第二載物台; 投影系統,其用於將經圖案化輻射光束投影至基板之目標部分上; 透鏡操縱器,其經組態以根據透鏡操縱器控制設定檔對經圖案化輻射光束應用校正,透鏡操縱器位於場平面附近;及 控制器,其經組態以執行如條項1至26中任一項之方法。 30.   如條項29之微影設備,其中透鏡操縱器包含一或多個可變形反射器,該一或多個可變形反射器經組態以反射經圖案化輻射光束且根據透鏡操縱器控制設定檔在其上應用波前調整,以便應用校正。 31.   一種判定用於將圖案之影像投影至基板的微影設備內之透鏡之操縱器的動態控制設定檔之方法,該方法包含: 獲得與經投影影像之定位相關聯之位置參數在該基板之至少一部分上的空間變化;及 基於影像對比度降低之所需穩定或減輕來判定該操縱器之該動態控制設定檔,該影像對比度降低歸因於與經組態以校正該位置參數之該空間變化之載物台控制設定檔相關聯的動態載物台位置誤差之預期影響。 32.   如條項31之方法,其中該位置參數與自在該基板上執行之量測獲得的對準資料及/或疊對資料相關聯。 33.   如條項31或32之方法,其中該動態載物台位置誤差經表達為在該載物台之控制期間產生的位置誤差之移動標準偏差。 34.   如條項31至33中之任一者之方法,其中操縱器之動態控制設定檔經組態以在平行於掃描方向之方向上提供對放大率的動態控制。 35.   一種判定用於將圖案之影像投影至基板的微影設備內之載物台的載物台控制設定檔之方法,該方法包含: 獲得與經投影影像之定位相關聯之位置參數在該基板之至少一部分上的空間變化;及基於該位置參數之該空間變化及透鏡操縱器之校正潛力來判定該載物台之該控制設定檔,該透鏡操縱器之校正潛力用於穩定或減輕由於與經組態以校正該位置參數之該空間變化之該載物台控制設定檔相關聯的動態載物台位置誤差之預期影響而導致之影像對比度降低。 36.   如條項35之方法,其中該位置參數與自在該基板上執行之量測獲得的對準資料及/或疊對資料相關聯。 37.   如條項35或36之方法,其中該動態載物台位置誤差經表達為在該載物台之控制期間產生的位置誤差之移動標準偏差。 38.   如條項35至37中任一項之方法,其中該透鏡操縱器之該校正潛力與在平行於掃描方向之方向上應用至該影像之動態可控制放大率相關聯。 39.   一種執行共同判定之載物台控制及動態透鏡控制之方法,其用於控制使用微影設備在曝光場上曝光圖案之微影製程,該方法包含:共同判定經組態以校正一或多個空間上變化之效能參數的載物台控制設定檔及動態透鏡控制設定檔,以減輕或穩定該載物台控制對經曝光圖案之對比度之影響。 40.   如條項39之方法,其中共同判定包含將約束應用至該動態透鏡控制設定檔以便限制該動態透鏡控制設定檔對該一或多個效能參數之影響。 41.   如條項39或40之方法,其中動態透鏡控制設定檔可操作以控制至少一或多個可變形反射器。 42.   如條項39至41中任一項之方法,其中共同判定之控制設定檔經判定用於子場控制。 43.   如條項39至42中任一項之方法,包含將解廻旋方案應用至至少該載物台控制設定檔,其中該解廻旋方案之該結構係基於掃描方向上之照明輪廓之尺寸,至少該載物台控制設定檔包含經廻旋控制設定檔,該經廻旋控制設定檔如曝光狹縫所限定之照明輪廓相廻旋,該解廻旋方案對該經廻旋控制設定檔進行解廻旋以最小化自廻旋所得之誤差。 44.   一種包含程式指令之電腦程式,該等程式指令可操作以在執行於適合設備上時執行如條項31至43中任一項之方法。 45.   一種非暫時性電腦程式載體,其包含如條項44之電腦程式。 46.   一種判定用於將圖案之影像投影至基板的微影設備內之透鏡之操縱器的動態控制設定檔之方法,該方法包含: 獲得與經投影影像之定位相關聯之位置參數在該基板之至少一部分上的空間變化;及 基於影像對比度降低之所需穩定或減輕來判定該操縱器之該動態控制設定檔,該影像對比度降低歸因於與經組態以校正該位置參數之該空間變化之載物台控制設定檔相關聯的動態載物台位置誤差之該預期影響,其中該動態控制設定檔經組態以在投影期間動態地調整經投影影像之幾何變形。 47.   如條項46之方法,其中該幾何變形為在平行於掃描方向之方向上之放大。 48.   一種判定用於將圖案之影像投影至基板的微影設備內之載物台的載物台控制設定檔之方法,該方法包含: 獲得與經投影影像之定位相關聯之位置參數在該基板之至少一部分上的空間變化;及 基於該位置參數之該空間變化及動態可控制透鏡操縱器之校正潛力來判定該載物台之該控制設定檔,該動態可控制透鏡操縱器之校正潛力用於穩定或減輕由於與經組態以校正該位置參數之該空間變化之該載物台控制設定檔相關聯的動態載物台位置誤差之預期影響而導致之影像對比度降低,其中該動態可控制透鏡操縱器經組態以在投影期間動態地調整經投影影像之幾何變形。 49.   如請求項48之方法,其中該透鏡操縱器之該校正潛力與在平行於掃描方向之方向上應用至該影像之動態可控制放大率相關聯。 50.   一種執行共同判定之載物台控制及動態透鏡控制之方法,其用於控制使用微影設備在曝光場上曝光圖案之微影製程,該方法包含:共同判定經組態以校正一或多個空間上變化之效能參數的載物台控制設定檔及動態透鏡控制設定檔,以減輕或穩定該載物台控制對經曝光圖案之對比度之影響,其中該動態透鏡控制設定檔經組態以在曝光期間動態地調整經曝光圖案之幾何變形。
儘管上文可特定地參考在光學微影之上下文中對本發明之實施例的使用,但應瞭解,本發明可用於其他應用(例如壓印微影)中,且在上下文允許之情況下不限於光學微影。在壓印微影中,圖案化裝置中之構形限定產生於基板上之圖案。可將圖案化裝置之構形壓入至經供應至基板之抗蝕劑層中,在該基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後將圖案化裝置移出抗蝕劑,從而在其中留下圖案。
關於微影設備所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如具有為或約為365、355、248、193、157或126 nm之波長)及極紫外線(EUV)輻射(例如具有在5至20 nm之範圍內之波長),及粒子束,諸如離子束或電子束。
術語「透鏡」在上下文允許的情況下可指各種類型之光學組件中之任一者或組合,包括折射、反射、磁性、電磁及靜電光學組件。
對特定實施例之前述描述將因此充分地揭示本發明之一般性質:在不脫離本發明之一般概念的情況下,其他人可藉由應用此項技術之技能範圍內之知識針對各種應用而容易地修改及/或調適此等特定實施例,而無需進行不當實驗。因此,基於本文中所呈現之教示及導引,此類調適及修改意欲在所揭示之實施例之等效物的含義及範圍內。應理解,本文中之措辭或術語係出於藉由實例描述而非限制之目的,以使得本說明書之術語或措辭待由熟習此項技術者按照教示及指導進行解譯。
本發明之廣度及範疇不應受上述例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者來限定。
1:可變形反射器 2:致動元件陣列 3:部分 4:場平面 5:反射器 200:微影設備 202:量測站 204:曝光站 206:控制單元 208:塗佈設備 210:烘烤設備 212:顯影設備 220:經圖案化基板 222:蝕刻站/設備 224:設備 226:設備/步驟 230:基板 232:基板 234:基板 240:度量衡設備 242:度量衡結果 310:資料 320:資料 330:資料 340:資料 350:處理參數 800:步驟 810:步驟 820:步驟 830:控制設定檔 840:步驟 CL:電腦系統 CV1:第一曲線 CV2:第二曲線 EXP:曝光站 IF:位置感測器 LA:微影設備 LACU:控制單元 MA:圖案化裝置/倍縮光罩 MEA:量測站 MET:度量衡工具 OV:疊對 PB:輻射 PL:投影系統 R:配方資訊 SC1:第一標度 SC2:第二標度 SC3:第三標度 SCS:監督控制系統 W:基板 WT:基板台 Y:掃描方向 z:方向 ΔOV:疊對改良
現將參考隨附圖式藉助於實例來描述本發明之實施例,在該等圖式中: 圖1描繪微影設備以及形成用於半導體裝置之生產設施的其他設備; 圖2描繪整體微影之示意性表示,其表示最佳化半導體製造之三種關鍵技術之間的合作; 圖3展示處理參數之例示性源; 圖4為疊對相對於沿掃描方向之場位置之曲線圖,展示經量測疊對;及使用藉由根據本發明之實施例之方法判定的控制柵格模型化及/或實施的擬合校正; 圖5示意性地描繪可用於根據本發明之實施例之方法中的可變形反射器; 圖6示意性地描繪包含可用於根據本發明之實施例之方法中的可變形反射器之微影設備的一部分; 圖7為用於習知方法及根據本發明之實施例之方法的疊對殘餘OV相對於移動標準偏差MSD的圖;及 圖8為描述根據本發明之實施例之方法的流程圖。
1:可變形反射器
2:致動元件陣列
3:部分

Claims (15)

  1. 一種判定用於將一圖案之一影像投影至一基板的一微影設備內之一透鏡之一操縱器的一動態控制設定檔之方法,該方法包含: 獲得與經投影影像之定位相關聯之一位置參數在該基板之至少一部分上的一空間變化;及 基於影像對比度降低之一所需穩定或減輕來判定該操縱器之該動態控制設定檔,該影像對比度降低歸因於與經組態以校正該位置參數之該空間變化之一載物台控制設定檔相關聯的一動態載物台位置誤差之預期影響,其中該操縱器之該動態控制設定檔經組態以在投影期間動態地調整經投影影像之一幾何變形。
  2. 如請求項1之方法,其中該位置參數與自在該基板上執行之量測獲得的對準資料及/或疊對資料相關聯。
  3. 如請求項1或2之方法,其中該動態載物台位置誤差經表達為在該載物台之控制期間產生的一位置誤差之一移動標準偏差。
  4. 如請求項1或2之方法,其中該幾何變形為在平行於一掃描方向之方向上之一放大。
  5. 一種判定用於將一圖案之一影像投影至一基板的一微影設備內之一載物台的一載物台控制設定檔之方法,該方法包含: 獲得與經投影影像之定位相關聯之一位置參數在該基板之至少一部分上的一空間變化;及 基於該位置參數之該空間變化及一動態可控制透鏡操縱器之一校正潛力來判定該載物台之該控制設定檔,該動態可控制透鏡操縱器之一校正潛力用於穩定或減輕由於與經組態以校正該位置參數之該空間變化之該載物台控制設定檔相關聯的一動態載物台位置誤差之預期影響而導致之影像對比度降低,其中該動態可控制透鏡操縱器經組態以在投影期間動態地調整經投影影像之一幾何變形。
  6. 如請求項5之方法,其中該位置參數與自在該基板上執行之量測獲得的對準資料及/或疊對資料相關聯。
  7. 如請求項5或6之方法,其中該動態載物台位置誤差經表達為在該載物台之控制期間產生的一位置誤差之一移動標準偏差。
  8. 如請求項5或6之方法,其中該透鏡操縱器之該校正潛力與在平行於一掃描方向之一方向上應用至該影像之一動態可控制放大率相關聯。
  9. 一種執行共同判定之載物台控制及動態透鏡控制之方法,其用於控制使用一微影設備在一曝光場上曝光一圖案之一微影製程,該方法包含:共同判定經組態以校正一或多個空間上變化之效能參數的一載物台控制設定檔及一動態透鏡控制設定檔,以減輕或穩定該載物台控制對經曝光圖案之對比度之影響,其中該動態透鏡控制設定檔經組態以在曝光期間動態地調整經曝光圖案之一幾何變形。
  10. 如請求項9之方法,其中共同判定包含將一約束應用至該動態透鏡控制設定檔以限制該動態透鏡控制設定檔對該一或多個效能參數之影響。
  11. 如請求項9或10之方法,其中該動態透鏡控制設定檔可操作以控制至少一或多個可變形反射器。
  12. 如請求項9或10之方法,其中共同判定之控制設定檔經判定用於子場控制。
  13. 如請求項9或10之方法,其包含將一解廻旋方案應用至至少該載物台控制設定檔,其中該解廻旋方案之結構係基於掃描方向上之照明輪廓之一尺寸,該至少該載物台控制設定檔包含一經廻旋控制設定檔,該經廻旋控制設定檔與如一曝光狹縫所限定之照明輪廓相廻旋,該解廻旋方案對該經廻旋控制設定檔進行解廻旋以最小化自廻旋所得之一誤差。
  14. 一種包含程式指令之電腦程式,該等程式指令可操作以在執行於一適合的設備上時執行如請求項1至13中任一項之方法。
  15. 一種非暫時性電腦程式載體,其包含如請求項14之電腦程式。
TW109129081A 2019-09-10 2020-08-26 微影製程之子場控制及其相關設備 TWI752604B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP19196357.8 2019-09-10
EP19196357 2019-09-10
EP19197575.4 2019-09-16
EP19197575.4A EP3792693A1 (en) 2019-09-16 2019-09-16 Sub-field control of a lithographic process and associated apparatus

Publications (2)

Publication Number Publication Date
TW202125109A true TW202125109A (zh) 2021-07-01
TWI752604B TWI752604B (zh) 2022-01-11

Family

ID=71899782

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129081A TWI752604B (zh) 2019-09-10 2020-08-26 微影製程之子場控制及其相關設備

Country Status (6)

Country Link
US (1) US20220334499A1 (zh)
JP (1) JP7431319B2 (zh)
KR (1) KR20220041217A (zh)
CN (1) CN114667488A (zh)
TW (1) TWI752604B (zh)
WO (1) WO2021047833A1 (zh)

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6753948B2 (en) * 1993-04-27 2004-06-22 Nikon Corporation Scanning exposure method and apparatus
AU9458198A (en) * 1997-10-07 1999-04-27 Nikon Corporation Projection exposure method and apparatus
DE10220324A1 (de) 2002-04-29 2003-11-13 Zeiss Carl Smt Ag Projektionsverfahren mit Pupillenfilterung und Projektionsobjektiv hierfür
WO2007007626A1 (ja) 2005-07-08 2007-01-18 Nikon Corporation 露光方法及び露光装置、並びにデバイス製造方法
CN101523294B (zh) 2006-08-14 2012-08-08 卡尔蔡司Smt有限责任公司 具有光瞳镜的反射折射投影物镜、投影曝光设备和方法
US7683351B2 (en) * 2006-12-01 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102008049616B4 (de) * 2008-09-30 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie zur Herstellung von Halbleiterbauelementen
KR101976152B1 (ko) * 2011-02-10 2019-05-09 케이엘에이-텐코 코포레이션 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명
US11156923B2 (en) 2015-03-13 2021-10-26 Asml Netherlands B.V. Lithographic method and lithographic apparatus
DE102015206448B4 (de) 2015-04-10 2018-06-21 Carl Zeiss Smt Gmbh Steuerungsvorrichtung zur Steuerung mindestens eines Manipulators eines Projektionsobjektives, Justieranlage und Verfahren zum Steuern mindestens eines Manipulators
KR20180068228A (ko) * 2016-12-13 2018-06-21 삼성전자주식회사 위치 조정 유닛 및 이를 포함하는 마스크리스 노광 장치
NL2020103A (en) * 2017-01-17 2018-07-23 Asml Netherlands Bv Lithographic Apparatus and Method
EP3495888A1 (en) * 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3547029A1 (en) * 2018-03-29 2019-10-02 ASML Netherlands B.V. Control method for a scanning exposure apparatus
NL2021296A (en) * 2018-07-12 2018-09-11 Asml Netherlands Bv Lithographic process & apparatus and inspection process and apparatus

Also Published As

Publication number Publication date
US20220334499A1 (en) 2022-10-20
JP2022547265A (ja) 2022-11-11
JP7431319B2 (ja) 2024-02-14
WO2021047833A1 (en) 2021-03-18
CN114667488A (zh) 2022-06-24
TWI752604B (zh) 2022-01-11
KR20220041217A (ko) 2022-03-31

Similar Documents

Publication Publication Date Title
TWI709827B (zh) 用於控制微影設備之方法及與相關裝置
TWI664664B (zh) 微影製程和設備及檢測製程和設備
TWI616719B (zh) 校正圖案化製程誤差之方法與裝置
TWI610127B (zh) 校正圖案化製程誤差之方法與裝置
TW201629617A (zh) 用於倍縮光罩最佳化之方法與裝置
KR102271283B1 (ko) 패턴 위치설정 정확도 증가 방법 및 시스템
TWI616720B (zh) 校正圖案化製程誤差之方法與系統及非暫時性電腦程式產品
EP3495889A1 (en) Method for controlling a manufacturing apparatus and associated apparatuses
TW202001410A (zh) 用於控制製造裝置之方法及其裝置
TWI731641B (zh) 微影製程之子場控制及相關聯裝置
TWI747725B (zh) 用於控制製造流程之方法及相關設備
TWI749607B (zh) 微影製程之子場控制及相關聯裝置
EP3869271A1 (en) Method for controlling a manufacturing process and associated apparatuses
TWI752604B (zh) 微影製程之子場控制及其相關設備
TWI825415B (zh) 用於最佳化取樣方案之方法及相關聯裝置
EP3792693A1 (en) Sub-field control of a lithographic process and associated apparatus