TW202120464A - Composition for forming resist underlayer film, pattern formation method, and electronic device manufacturing method - Google Patents

Composition for forming resist underlayer film, pattern formation method, and electronic device manufacturing method Download PDF

Info

Publication number
TW202120464A
TW202120464A TW109140190A TW109140190A TW202120464A TW 202120464 A TW202120464 A TW 202120464A TW 109140190 A TW109140190 A TW 109140190A TW 109140190 A TW109140190 A TW 109140190A TW 202120464 A TW202120464 A TW 202120464A
Authority
TW
Taiwan
Prior art keywords
composition
forming
resist underlayer
underlayer film
mass
Prior art date
Application number
TW109140190A
Other languages
Chinese (zh)
Inventor
山本慶
上村聡
川端健志
Original Assignee
日商富士軟片股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商富士軟片股份有限公司 filed Critical 日商富士軟片股份有限公司
Publication of TW202120464A publication Critical patent/TW202120464A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

Disclosed are: a composition for forming a resist underlayer film, which comprises a resin having an aromatic ring and a compound represented by general formula (1), wherein the content of the compound represented by general formula (1) is 0.1 to 500 ppm by mass inclusive relative to the whole mass of the composition for forming a resist underlayer film; and a pattern formation method and an electronic device manufacturing method, each using the composition for forming a resist underlayer film. Accordingly, provided are; a composition for forming a resist underlayer film, which makes it possible to prevent the occurrence of coating defects after the elapse of a certain time period and can have excellent flatness after the elapse of a certain time period; and a pattern formation method and an electronic device manufacturing method, each using the composition for forming a resist underlayer film. In general formula (1), R1 to R3 independently represent an alkyl group having 1 to 5 carbon atoms.

Description

抗蝕劑底層膜形成用組成物、圖案形成方法、及電子器件的製造方法Composition for forming resist underlayer film, pattern forming method, and manufacturing method of electronic device

本發明是有關於一種抗蝕劑底層膜形成用組成物、圖案形成方法、及電子器件的製造方法。更詳細而言,本發明是有關於一種適合於積體電路(Integrated Circuit,IC)等的半導體製造步驟、液晶及熱能頭等的電路基板的製造、以及其他感光蝕刻加工(photofabrication)的微影步驟的抗蝕劑底層膜形成用組成物、圖案形成方法、及電子器件的製造方法。The present invention relates to a composition for forming a resist base film, a pattern forming method, and a manufacturing method of an electronic device. In more detail, the present invention relates to a method suitable for semiconductor manufacturing steps such as integrated circuits (IC), the manufacture of circuit substrates such as liquid crystals and thermal heads, and other photolithography processes (photofabrication). The composition for forming a resist base film, a pattern forming method, and a manufacturing method of an electronic device of the step.

KrF準分子雷射(248 nm)用抗蝕劑以後,為了彌補由光吸收引起的感度降低,而使用利用化學增幅的圖案形成方法。例如,正型的化學增幅法中,首先,曝光部中所含的光酸產生劑藉由光照射而分解並產生酸。而且,於曝光後的烘烤(PEB:Post Exposure Bake)過程等中,因所產生的酸的觸媒作用而使感光性組成物中所含的鹼不溶性的基變化為鹼可溶性的基。然後,例如使用鹼性顯影液來進行顯影。藉此,將曝光部去除而可獲得所期望的圖案。After the resist for KrF excimer laser (248 nm), in order to compensate for the decrease in sensitivity caused by light absorption, a patterning method using chemical amplification is used. For example, in the positive chemical amplification method, first, the photoacid generator contained in the exposure part is decomposed by light irradiation to generate acid. In addition, in a post-exposure baking (PEB: Post Exposure Bake) process or the like, the alkali-insoluble group contained in the photosensitive composition is changed to an alkali-soluble group due to the catalytic action of the generated acid. Then, for example, an alkaline developer is used for development. Thereby, the exposure part is removed, and a desired pattern can be obtained.

另外,近年來提出如下的多層抗蝕劑製程:於抗蝕劑膜與基板之間設置抗蝕劑底層膜,對抗蝕劑膜進行曝光、顯影來形成抗蝕劑圖案後,將抗蝕劑圖案作為遮罩,對抗蝕劑底層膜進行蝕刻來形成圖案,進而將該圖案作為遮罩對基板進行蝕刻來獲得所期望的圖案(參照專利文獻1及專利文獻2)。 於專利文獻1及專利文獻2中,作為用以形成抗蝕劑底層膜的組成物(抗蝕劑底層膜形成用組成物),記載有包含具有芳香環的化合物與有機溶劑的組成物。另外,作為有機溶劑,記載有丙二醇單甲醚乙酸酯(propylene glycol monomethyl ether acetate,PGMEA)或丙二醇單甲醚(propylene glycol monomethyl ether,PGME)等各種化合物。 [現有技術文獻] [專利文獻]In addition, in recent years, the following multilayer resist manufacturing process has been proposed: a resist underlayer film is placed between the resist film and the substrate, the resist film is exposed and developed to form a resist pattern, and then the resist pattern As a mask, the resist base film is etched to form a pattern, and the pattern is further used as a mask to etch the substrate to obtain a desired pattern (see Patent Document 1 and Patent Document 2). In Patent Document 1 and Patent Document 2, as a composition for forming a resist underlayer film (a composition for forming a resist underlayer film), a composition containing a compound having an aromatic ring and an organic solvent is described. In addition, as the organic solvent, various compounds such as propylene glycol monomethyl ether acetate (PGMEA) or propylene glycol monomethyl ether (PGME) are described. [Prior Art Literature] [Patent Literature]

[專利文獻1]日本專利特開2019-20701號公報 [專利文獻2]日本專利特開2017-21337號公報[Patent Document 1] Japanese Patent Laid-Open No. 2019-20701 [Patent Document 2] Japanese Patent Laid-Open No. 2017-21337

[發明所欲解決之課題] 抗蝕劑底層膜形成用組成物可知,有時於製備後以保存狀態放置固定期間,但於先前的抗蝕劑底層膜形成用組成物中於保存固定期間後塗佈於基板上的情況下,有時產生塗佈缺陷(亦稱為「經時後的塗佈缺陷」)。另外,近年來於具有多種溝槽等的基板上形成圖案的情況正在增加,對抗蝕劑底層膜形成用組成物要求高的平坦性(由抗蝕劑底層膜形成用組成物形成的抗蝕劑底層膜平坦),特別是於製備抗蝕劑底層膜形成用組成物後於保存固定期間後塗佈於基板上的情況下,要求平坦性(亦稱為「經時後的平坦性」)優異。[The problem to be solved by the invention] It is known that the composition for forming a resist underlayer film may be left in a preserved state after preparation for a fixed period, but in the case where the composition for forming a resist underlayer film is coated on a substrate after the preservation and fixing period, , Sometimes produce coating defects (also known as "coating defects after time"). In addition, in recent years, pattern formation on substrates having various grooves and the like has been increasing, and the composition for forming a resist underlayer film is required to have high flatness (resist formed from the composition for forming a resist underlayer film). Flat underlayer film), especially when the composition for forming a resist underlayer film is prepared and coated on a substrate after storage and a fixed period, it is required to have excellent flatness (also referred to as "flatness over time") .

本發明的課題在於提供一種抑制經時後的塗佈缺陷的產生、且經時後的平坦性優異的抗蝕劑底層膜形成用組成物、使用所述抗蝕劑底層膜形成用組成物的圖案形成方法及電子器件的製造方法。 [解決課題之手段]The subject of the present invention is to provide a composition for forming a resist underlayer film that suppresses the occurrence of coating defects after time and has excellent flatness after time, and a composition using the composition for forming a resist underlayer film Pattern forming method and manufacturing method of electronic device. [Means to solve the problem]

用以解決所述課題的手段中包含以下的態樣。The following aspects are included in the means for solving the above-mentioned problems.

<1> 一種抗蝕劑底層膜形成用組成物,含有:具有芳香環的樹脂、及下述通式(1)所表示的化合物,所述抗蝕劑底層膜形成用組成物中, 相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(1)所表示的化合物的含量為0.1質量ppm以上且500質量ppm以下。<1> A composition for forming a resist underlayer film, comprising: a resin having an aromatic ring and a compound represented by the following general formula (1). In the composition for forming a resist underlayer film, The content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the composition for forming a resist underlayer film.

[化1]

Figure 02_image003
[化1]
Figure 02_image003

通式(1)中,R1 ~R3 各自獨立地表示碳數1~5的烷基。 <2> 如<1>所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(1)所表示的化合物的含量為1質量ppm以上。 <3> 如<1>或<2>所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(1)所表示的化合物的含量為100質量ppm以下。 <4> 如<1>至<3>中任一項所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,含有0.1質量ppm以上且500質量ppm以下的下述通式(2)所表示的化合物。In the general formula (1), R 1 to R 3 each independently represent an alkyl group having 1 to 5 carbon atoms. <2> The composition for forming a resist underlayer film according to <1>, wherein the amount of the compound represented by the general formula (1) is relative to the total mass of the composition for forming a resist underlayer film The content is 1 mass ppm or more. <3> The composition for forming a resist underlayer film according to <1> or <2>, wherein the composition for forming a resist underlayer film is represented by the general formula (1) relative to the total mass of the composition for forming a resist underlayer film The content of the indicated compound is 100 mass ppm or less. <4> The composition for forming a resist base film according to any one of <1> to <3>, which contains 0.1 mass ppm relative to the total mass of the composition for forming a resist base film The compound represented by the following general formula (2) above and 500 mass ppm or less.

[化2]

Figure 02_image005
[化2]
Figure 02_image005

通式(2)中,R4 及R5 各自獨立地表示碳數1~5的烷基。 <5> 如<4>所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(2)所表示的化合物的含量為1質量ppm以上。 <6> 如<4>或<5>所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(2)所表示的化合物的含量為200質量ppm以下。 <7> 如<1>至<6>中任一項所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,含有1質量ppm以上且1質量%以下的水。 <8> 如<7>所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述水的含量為0.01質量%以上。 <9> 如<7>或<8>所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述水的含量為0.5質量%以下。 <10> 如<1>至<9>中任一項所述的抗蝕劑底層膜形成用組成物,含有下述通式(3)所表示的化合物,所述通式(1)所表示的化合物相對於所述通式(3)所表示的化合物的含量為0.1質量ppm以上且0.05質量%以下。In the general formula (2), R 4 and R 5 each independently represent an alkyl group having 1 to 5 carbon atoms. <5> The composition for forming a resist underlayer film as described in <4>, wherein the compound represented by the general formula (2) has an amount relative to the total mass of the composition for forming a resist underlayer film The content is 1 mass ppm or more. <6> The composition for forming a resist underlayer film as described in <4> or <5>, wherein the composition for forming a resist underlayer film is represented by the general formula (2) relative to the total mass of the composition for forming a resist underlayer The content of the indicated compound is 200 ppm by mass or less. <7> The composition for forming a resist base film according to any one of <1> to <6>, which contains 1 mass ppm relative to the total mass of the composition for forming a resist base film Above and below 1% by mass of water. <8> The composition for forming a resist underlayer film according to <7>, wherein the content of the water is 0.01% by mass or more with respect to the total mass of the composition for forming a resist underlayer. <9> The composition for forming a resist underlayer film according to <7> or <8>, wherein the content of the water is 0.5 mass relative to the total mass of the composition for forming a resist underlayer %the following. <10> The composition for forming a resist underlayer film according to any one of <1> to <9>, containing a compound represented by the following general formula (3), represented by the general formula (1) The content of the compound relative to the compound represented by the general formula (3) is 0.1 mass ppm or more and 0.05 mass% or less.

[化3]

Figure 02_image007
[化3]
Figure 02_image007

通式(3)中,R6 ~R8 各自獨立地表示碳數1~5的烷基。 <11> 如<10>所述的抗蝕劑底層膜形成用組成物,其中所述通式(1)所表示的化合物相對於所述通式(3)所表示的化合物的含量為1質量ppm以上且0.005質量%以下。 <12> 如<1>至<11>中任一項所述的抗蝕劑底層膜形成用組成物,含有熱酸產生劑及交聯劑。 <13> 一種圖案形成方法,包括: (1)於基板上利用如<1>至<12>中任一項所述的抗蝕劑底層膜形成用組成物形成抗蝕劑底層膜的步驟; (2)於所述抗蝕劑底層膜上利用抗蝕劑組成物形成抗蝕劑膜的步驟; (3)對所述抗蝕劑膜進行曝光的步驟; (4)對所述經曝光的抗蝕劑膜進行顯影形成抗蝕劑圖案的步驟;以及 (5)將所述抗蝕劑圖案作為遮罩進行蝕刻形成圖案的步驟。 <14> 一種電子器件的製造方法,包括如<13>所述的圖案形成方法。 [發明的效果]In the general formula (3), R 6 to R 8 each independently represent an alkyl group having 1 to 5 carbon atoms. <11> The composition for forming a resist underlayer film according to <10>, wherein the content of the compound represented by the general formula (1) relative to the compound represented by the general formula (3) is 1 mass ppm or more and 0.005 mass% or less. <12> The composition for forming a resist underlayer film as described in any one of <1> to <11>, which contains a thermal acid generator and a crosslinking agent. <13> A pattern forming method, including: (1) a step of forming a resist base film on a substrate using the composition for forming a resist base film as described in any one of <1> to <12>; (2) The step of forming a resist film using a resist composition on the resist underlayer film; (3) The step of exposing the resist film; (4) The step of exposing the exposed The resist film is developed to form a resist pattern; and (5) the resist pattern is used as a mask and is etched to form a pattern. <14> A method of manufacturing an electronic device, including the pattern forming method as described in <13>. [Effects of the invention]

根據本發明,可提供抑制經時後的塗佈缺陷的產生、且經時後的平坦性優異的抗蝕劑底層膜形成用組成物、使用所述抗蝕劑底層膜形成用組成物的圖案形成方法及電子器件的製造方法。According to the present invention, it is possible to provide a composition for forming a resist underlayer film that suppresses the occurrence of coating defects after time and has excellent flatness after time, and a pattern using the composition for forming a resist underlayer film Forming method and manufacturing method of electronic device.

以下,對本發明的內容進行詳細說明。 以下記載的結構要件的說明有時是基於本發明的代表性實施態樣而成,本發明並不限定於此種實施態樣。 本說明書中的基(原子團)的表述中,未記載經取代及未經取代的表述亦包含不具有取代基的基(原子團)以及具有取代基的基(原子團)。例如,所謂「烷基」,不僅包含不具有取代基的烷基(未經取代的烷基),亦包含具有取代基的烷基(經取代的烷基)。另外,所謂本說明書中的「有機基」,是指包含至少一個碳原子的基。Hereinafter, the content of the present invention will be described in detail. The description of the structural elements described below may be based on representative embodiments of the present invention, and the present invention is not limited to such embodiments. In the expression of the group (atomic group) in this specification, expressions that do not describe substituted and unsubstituted also include a group (atomic group) without a substituent and a group (atomic group) with a substituent. For example, the term "alkyl" includes not only an unsubstituted alkyl group (unsubstituted alkyl group) but also a substituted alkyl group (substituted alkyl group). In addition, the "organic group" in this specification refers to a group containing at least one carbon atom.

另外,本說明書中提及「可具有取代基」時的取代基的種類、取代基的位置、及取代基的數量並無特別限定。取代基的數量例如可為一個、兩個、三個、或其以上。作為取代基的例子,可列舉氫原子除外的一價非金屬原子團,例如可自以下的取代基T中選擇。In addition, the type of substituent, the position of the substituent, and the number of substituents when it is referred to as "may have a substituent" in this specification are not particularly limited. The number of substituents can be, for example, one, two, three, or more. Examples of the substituent include a monovalent non-metal atomic group other than a hydrogen atom. For example, it can be selected from the following substituents T.

(取代基T) 作為取代基T,可列舉:氟原子、氯原子、溴原子及碘原子等鹵素原子;甲氧基、乙氧基及第三丁氧基等烷氧基;苯氧基及對甲苯氧基等芳氧基;甲氧基羰基、丁氧基羰基及苯氧基羰基等烷氧基羰基;乙醯氧基、丙醯氧基及苯甲醯氧基等醯氧基;乙醯基、苯甲醯基、異丁醯基、丙烯醯基、甲基丙烯醯基及甲氧草醯基(methoxalyl)等醯基;甲硫基及第三丁硫基等烷硫基;苯硫基及對甲苯硫基等芳硫基;烷基;環烷基;芳基;雜芳基;羥基;羧基;甲醯基;磺基;氰基;烷基胺基羰基;芳基胺基羰基;磺醯胺基;矽烷基;胺基;單烷基胺基;二烷基胺基;芳基胺基;硝基;甲醯基;以及該些的組合。(Substituent T) Examples of the substituent T include halogen atoms such as fluorine atom, chlorine atom, bromine atom, and iodine atom; alkoxy groups such as methoxy, ethoxy, and tert-butoxy; phenoxy and p-tolyloxy, etc. Aryloxy; alkoxycarbonyl groups such as methoxycarbonyl, butoxycarbonyl and phenoxycarbonyl; acetyloxy groups such as acetoxy, propoxy and benzyloxy; acetoxy, benzyl Anoyl, isobutyryl, acryloyl, methacryloyl and methoxalyl (methoxalyl); alkylthio groups such as methylthio and tertiary butylthio; phenylthio and p-tolylthio Alkyl; Cycloalkyl; Aryl; Heteroaryl; Hydroxyl; Carboxy; Carboxylic; Sulfo; Cyano; Alkylaminocarbonyl; Arylaminocarbonyl; Sulfonamide; Silyl group; amino group; monoalkylamino group; dialkylamino group; arylamino group; nitro group; methanoyl group; and combinations of these.

所謂本說明書中的「光化射線」或「放射線」,例如是指水銀燈的明線光譜、以準分子雷射為代表的遠紫外線、極紫外線(EUV:Extreme Ultraviolet)、X射線、及電子束(EB:Electron Beam)等。所謂本說明書中的「光」,只要無特別說明,則是指光化射線或放射線。 所謂本說明書中的「曝光」,只要無特別說明,則不僅包含利用水銀燈的明線光譜、以準分子雷射為代表的遠紫外線、極紫外線、X射線、及EUV等進行的曝光,亦包含利用電子束、及離子束等粒子束進行的曝光。 本說明書中,所謂「~」是以包含其前後所記載的數值作為下限值及上限值的含義使用。The "actinic rays" or "radiation rays" in this specification refer to, for example, the bright-ray spectrum of mercury lamps, extreme ultraviolet rays represented by excimer lasers, extreme ultraviolet rays (EUV: Extreme Ultraviolet), X-rays, and electron beams. (EB: Electron Beam) and so on. The "light" in this specification refers to actinic rays or radiation unless otherwise specified. Unless otherwise specified, the "exposure" in this manual includes not only exposure using the bright line spectrum of a mercury lamp, extreme ultraviolet, extreme ultraviolet, X-ray, EUV, etc., represented by excimer lasers, but also Exposure using particle beams such as electron beams and ion beams. In this specification, the term "~" is used to include the numerical values described before and after it as the lower limit and the upper limit.

本說明書中,(甲基)丙烯酸酯表示丙烯酸酯及甲基丙烯酸酯,(甲基)丙烯酸表示丙烯酸及甲基丙烯酸。 本說明書中,樹脂成分的重量平均分子量(Mw)、數量平均分子量(Mn)、及分散度(亦稱為分子量分佈)(Mw/Mn)定義為藉由利用凝膠滲透層析(Gel Permeation Chromatography,GPC)裝置(東曹(Tosoh)(股)製造的HLC-8120GPC)進行的GPC測定(溶媒:四氫呋喃、流量(樣品注入量):10 μL、管柱:東曹(Tosoh)(股)製造的TSK gel Multipore HXL-M、管柱溫度:40℃、流速:1.0 mL/min、檢測器:示差折射率檢測器(Refractive Index Detector))所得的聚苯乙烯換算值。In this specification, (meth)acrylate means acrylate and methacrylate, and (meth)acrylic means acrylic and methacrylic acid. In this specification, the weight average molecular weight (Mw), number average molecular weight (Mn), and degree of dispersion (also called molecular weight distribution) (Mw/Mn) of the resin components are defined as the use of gel permeation chromatography (Gel Permeation Chromatography). GPC measurement (solvent: tetrahydrofuran, flow rate (sample injection volume): 10 μL, column: manufactured by Tosoh (stock) HLC-8120GPC) device (Tosoh (stock) HLC-8120GPC) TSK gel Multipore HXL-M, column temperature: 40°C, flow rate: 1.0 mL/min, detector: Refractive Index Detector (Refractive Index Detector).

本說明書中關於組成物中的各成分的量,於組成物中存在多種與各成分相符的物質的情況下,只要無特別說明,則是指組成物中存在的相符的多種物質的合計量。 本說明書中「步驟」這一用語不僅包含獨立的步驟,即便於無法與其他步驟明確區分的情況下,只要可達成步驟的所期望的目的,則亦包含於本用語中。 本說明書中,「質量%」與「重量%」為相同含義,「質量份」與「重量份」為相同含義。 另外,本說明書中,兩個以上的較佳態樣的組合為更佳的態樣。Regarding the amount of each component in the composition in this specification, when there are multiple types of substances corresponding to each component in the composition, unless otherwise specified, it refers to the total amount of the multiple types of conforming substances present in the composition. The term "step" in this specification includes not only independent steps, but even when it cannot be clearly distinguished from other steps, as long as the desired purpose of the step can be achieved, it is also included in this term. In this manual, "mass%" and "weight%" have the same meaning, and "parts by mass" and "parts by weight" have the same meaning. In addition, in this specification, a combination of two or more preferable aspects is a more preferable aspect.

(抗蝕劑底層膜形成用組成物) 本發明的抗蝕劑底層膜形成用組成物(以下,亦簡稱為「組成物」)含有:具有芳香環的樹脂、及下述通式(1)所表示的化合物,所述抗蝕劑底層膜形成用組成物中, 相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(1)所表示的化合物的含量為0.1質量ppm以上且500質量ppm以下。(Composition for forming resist underlayer film) The composition for forming a resist underlayer film of the present invention (hereinafter also simply referred to as "composition") contains: a resin having an aromatic ring, and a compound represented by the following general formula (1), the resist underlayer In the composition for film formation, The content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the composition for forming a resist underlayer film.

[化4]

Figure 02_image009
[化4]
Figure 02_image009

通式(1)中,R1 ~R3 各自獨立地表示碳數1~5的烷基。In the general formula (1), R 1 to R 3 each independently represent an alkyl group having 1 to 5 carbon atoms.

本發明的組成物藉由採用所述結構,可解決抑制經時後的塗佈缺陷的產生、且經時後的平坦性優異這一本發明的課題。 其理由並不明確,但本發明者等人考慮如下。 抗蝕劑底層膜形成用組成物中可包含的酸產生劑或交聯劑通常為極性高的化合物,親水性高,故容易凝聚。特別是使抗蝕劑底層膜形成用組成物經時後,使用該組成物形成抗蝕劑底層膜時酸產生劑或交聯劑容易凝聚。若抗蝕劑底層膜成膜後酸產生劑或交聯劑於膜中凝聚,則於之後的加熱步驟中,酸產生劑或交聯劑的凝聚部較其周邊部而言非常迅速地進行交聯反應。因此認為,藉由伴隨著反應的脫離成分的揮發或膜的應力變化而膜發生變形,其結果,於加熱步驟結束後成為塗佈缺陷。 本發明的抗蝕劑底層膜形成用組成物含有通式(1)所表示的化合物。通式(1)所表示的化合物為β型烷二醇單烷基醚乙酸酯(例如,β型丙二醇單甲醚乙酸酯(β-PGMEA)等),沸點與α型烷二醇單烷基醚乙酸酯(例如,α型PGMEA(α-PGMEA)等)不同,因此於抗蝕劑底層膜形成用組成物成膜時(加熱步驟前)容易殘存於膜中。另外,通式(1)所表示的化合物與酸產生劑及交聯劑的親和性高,於成膜時可抑制酸產生劑及交聯劑的凝聚。藉此可使交聯反應的均勻性良好,因此認為可抑制抗蝕劑底層膜形成用組成物的經時後的塗佈缺陷的產生。By adopting the above structure, the composition of the present invention can solve the problem of the present invention that the generation of coating defects after time is suppressed and the flatness after time is excellent. The reason is not clear, but the inventors of the present invention consider the following. The acid generator or crosslinking agent that can be contained in the composition for forming a resist underlayer film is usually a compound with high polarity and high hydrophilicity, so it is easy to aggregate. In particular, when the composition for forming a resist underlayer film is allowed to elapse, when the composition is used to form a resist underlayer film, the acid generator or the crosslinking agent tends to aggregate. If the acid generator or crosslinking agent aggregates in the film after the resist underlayer film is formed, in the subsequent heating step, the agglomerated part of the acid generator or crosslinking agent crosses very quickly compared to the peripheral part. Joint reaction. Therefore, it is considered that the film is deformed due to the volatilization of the desorbed component accompanying the reaction or the change in the stress of the film, and as a result, it becomes a coating defect after the heating step. The composition for forming a resist underlayer film of the present invention contains a compound represented by general formula (1). The compound represented by the general formula (1) is β-type alkanediol monoalkyl ether acetate (for example, β-type propylene glycol monomethyl ether acetate (β-PGMEA), etc.), and its boiling point is comparable to that of α-type alkanediol mono Since the alkyl ether acetate (for example, α-PGMEA (α-PGMEA), etc.) is different, it tends to remain in the film when the composition for forming a resist underlayer film is formed (before the heating step). In addition, the compound represented by the general formula (1) has a high affinity for the acid generator and the crosslinking agent, and can inhibit aggregation of the acid generator and the crosslinking agent during film formation. This allows the uniformity of the crosslinking reaction to be good, and therefore it is considered that the generation of coating defects in the composition for forming a resist base film can be suppressed over time.

另外,本發明的抗蝕劑底層膜形成用組成物相對於抗蝕劑底層膜形成用組成物的總質量以0.1質量ppm以上且500質量ppm以下的特定範圍含有通式(1)所表示的化合物。如所述般,通式(1)所表示的化合物為β型烷二醇單烷基醚乙酸酯(例如,β-PGMEA等),沸點與α型烷二醇單烷基醚乙酸酯(例如,α-PGMEA等)不同,因此若於抗蝕劑底層膜形成用組成物中大量含有,則於抗蝕劑底層膜中的殘存溶劑的量過多。藉此,抗蝕劑底層膜中的酸產生劑或交聯劑的運動性增加,促進加熱步驟中的交聯反應。原本於加熱步驟中,藉由膜因熱而流動來獲得優異的平坦性,但由於所述交聯反應的促進而喪失流動性,從而膜的平坦性降低。因此,於本發明中,設為於特定的範圍內含有通式(1)所表示的化合物,且製成平坦性優異的抗蝕劑底層膜形成用組成物。In addition, the composition for forming a resist underlayer film of the present invention contains the formula (1) represented by the general formula (1) in a specific range of 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the resist underlayer film forming composition Compound. As mentioned above, the compound represented by the general formula (1) is β-type alkanediol monoalkyl ether acetate (for example, β-PGMEA, etc.), and its boiling point is similar to that of α-type alkanediol monoalkyl ether acetate. (For example, α-PGMEA, etc.) are different. Therefore, if it is contained in a large amount in the composition for forming a resist underlayer film, the amount of residual solvent in the resist underlayer film will be too large. Thereby, the mobility of the acid generator or the crosslinking agent in the resist underlayer film is increased, and the crosslinking reaction in the heating step is promoted. Originally, in the heating step, the film flows due to heat to obtain excellent flatness, but due to the promotion of the crosslinking reaction, fluidity is lost, and the flatness of the film is reduced. Therefore, in the present invention, the compound represented by the general formula (1) is contained in a specific range, and the composition for forming a resist underlayer film is excellent in flatness.

由本發明的抗蝕劑底層膜形成用組成物形成的抗蝕劑底層膜典型而言為用於半導體用多層抗蝕劑製程的旋塗式碳(Spin on Carbon,SOC)層。The resist underlayer film formed from the composition for forming a resist underlayer film of the present invention is typically a spin on carbon (SOC) layer used in a multilayer resist process for semiconductors.

以下,對本發明的抗蝕劑底層膜形成用組成物中所含的各成分的詳情進行說明。Hereinafter, the details of each component contained in the composition for forming a resist underlayer film of the present invention will be described.

<通式(1)所表示的化合物> 本發明的組成物含有通式(1)所表示的化合物。<The compound represented by the general formula (1)> The composition of the present invention contains the compound represented by the general formula (1).

[化5]

Figure 02_image011
[化5]
Figure 02_image011

通式(1)中,R1 ~R3 各自獨立地表示碳數1~5的烷基。In the general formula (1), R 1 to R 3 each independently represent an alkyl group having 1 to 5 carbon atoms.

所述R1 ~R3 表示的碳數1~5的烷基可為直鏈狀亦可為分支狀。 所述R1 ~R3 表示的碳數1~5的烷基可不具有取代基(未經取代的烷基),亦可具有取代基。 所述R1 ~R3 較佳為各自獨立地表示碳數1~3的烷基,更佳為各自獨立地表示甲基或乙基,進而佳為表示甲基。於所述R1 ~R3 為甲基的情況下,通式(1)所表示的化合物表示β型PGMEA(β-PGMEA)。The C1-C5 alkyl group represented by R 1 to R 3 may be linear or branched. The alkyl group having 1 to 5 carbon atoms represented by R 1 to R 3 may not have a substituent (unsubstituted alkyl group), or may have a substituent. Preferably, R 1 to R 3 each independently represent an alkyl group having 1 to 3 carbon atoms, more preferably each independently represent a methyl group or an ethyl group, and more preferably represent a methyl group. In the case where R 1 to R 3 are methyl groups, the compound represented by the general formula (1) represents β-type PGMEA (β-PGMEA).

本發明的組成物可僅含有一種通式(1)所表示的化合物,亦可含有兩種以上。The composition of the present invention may contain only one compound represented by the general formula (1), or two or more kinds.

相對於抗蝕劑底層膜形成用組成物的總質量,通式(1)所表示的化合物的含量為0.1質量ppm以上且500質量ppm以下。再者,「ppm」為「百萬分之一(parts per million)」的簡稱。另外,「質量ppm」表示質量基準的ppm。 於通式(1)所表示的化合物僅為一種化合物的情況下,通式(1)所表示的化合物的含量成為該化合物的含量。另外,於存在兩種以上通式(1)所表示的化合物的情況下,通式(1)所表示的化合物的含量為各化合物的含量的合計(總量)。 若通式(1)所表示的化合物的含量相對於抗蝕劑底層膜形成用組成物的總質量而未滿0.1質量ppm,則容易產生經時後的塗佈缺陷。另外,若通式(1)所表示的化合物的含量相對於抗蝕劑底層膜形成用組成物的總質量超過500質量ppm,則平坦性容易降低。The content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the composition for forming a resist underlayer film. Furthermore, "ppm" is the abbreviation for "parts per million". In addition, "mass ppm" means ppm on a mass basis. When the compound represented by the general formula (1) is only one compound, the content of the compound represented by the general formula (1) becomes the content of the compound. In addition, when there are two or more compounds represented by the general formula (1), the content of the compound represented by the general formula (1) is the total (total amount) of the content of each compound. If the content of the compound represented by the general formula (1) is less than 0.1 mass ppm with respect to the total mass of the composition for forming a resist underlayer film, coating defects over time are likely to occur. In addition, if the content of the compound represented by the general formula (1) exceeds 500 mass ppm with respect to the total mass of the composition for forming a resist underlayer film, the flatness is likely to decrease.

就可進一步抑制經時後的塗佈缺陷的理由而言,相對於抗蝕劑底層膜形成用組成物的總質量,通式(1)所表示的化合物的含量較佳為0.5質量ppm以上,更佳為1質量ppm以上,進而佳為10質量ppm以上,特佳為15質量ppm以上。 另外,就可進一步抑制經時後的塗佈缺陷的理由而言,相對於抗蝕劑底層膜形成用組成物的總質量,通式(1)所表示的化合物的含量較佳為400質量ppm以下,更佳為300質量ppm以下,進而佳為200質量ppm以下,特佳為100質量ppm以下,最佳為50質量ppm以下。For the reason that coating defects after time can be further suppressed, the content of the compound represented by the general formula (1) is preferably 0.5 mass ppm or more relative to the total mass of the composition for forming a resist underlayer film. More preferably, it is 1 mass ppm or more, still more preferably 10 mass ppm or more, and particularly preferably 15 mass ppm or more. In addition, the content of the compound represented by the general formula (1) is preferably 400 ppm by mass relative to the total mass of the composition for forming a resist underlayer film for the reason that the coating defect after time can be further suppressed Hereinafter, it is more preferably 300 ppm by mass or less, still more preferably 200 ppm by mass or less, particularly preferably 100 ppm by mass or less, and most preferably 50 ppm by mass or less.

調整通式(1)所表示的化合物的含量的方法並無特別限定。例如於通式(1)所表示的化合物為β-PGMEA的情況下,可使用將分離的β-PGMEA添加至抗蝕劑底層膜形成用組成物或用於其製備的溶劑中的方法。再者,作為分離的β-PGMEA(cas編號70657-70-4),亦可使用市售品。另外,亦可使用藉由蒸餾α-PGMEA與β-PGMEA的混合物(例如,一般市售的PGMEA等)來分離α-PGMEA與β-PGMEA而得者。進而,PGMEA可將PGME作為原料來製造,但亦可使用藉由將控制β異構體的生成的PGME作為原料製造PGMEA,從而調整了β-PGMEA的含量者。The method of adjusting the content of the compound represented by the general formula (1) is not particularly limited. For example, when the compound represented by the general formula (1) is β-PGMEA, a method of adding separated β-PGMEA to the composition for forming a resist base film or the solvent used for the preparation thereof can be used. In addition, as isolated β-PGMEA (cas number 70657-70-4), a commercially available product can also be used. In addition, a mixture obtained by distilling a mixture of α-PGMEA and β-PGMEA (for example, generally commercially available PGMEA, etc.) to separate α-PGMEA and β-PGMEA can also be used. Furthermore, PGMEA can be manufactured using PGME as a raw material, but the content of β-PGMEA can be adjusted by manufacturing PGMEA by using PGME that controls the production of β isomer as a raw material.

抗蝕劑底層膜形成用組成物中的通式(1)所表示的化合物的含量可使用氣相層析法/質量分析法(GC/MS)加以定量。The content of the compound represented by the general formula (1) in the composition for forming a resist underlayer film can be quantified using gas chromatography/mass analysis (GC/MS).

<通式(2)所表示的化合物> 本發明的組成物較佳為進而含有下述通式(2)所表示的化合物。 本發明的組成物較佳為相對於本發明的組成物的總質量,含有0.1質量ppm以上且500質量ppm以下的下述通式(2)所表示的化合物。<The compound represented by the general formula (2)> The composition of the present invention preferably further contains a compound represented by the following general formula (2). The composition of the present invention preferably contains a compound represented by the following general formula (2) in an amount of 0.1 mass ppm or more and 500 mass ppm or less with respect to the total mass of the composition of the invention.

[化6]

Figure 02_image013
[化6]
Figure 02_image013

通式(2)中,R4 及R5 各自獨立地表示碳數1~5的烷基。In the general formula (2), R 4 and R 5 each independently represent an alkyl group having 1 to 5 carbon atoms.

所述R4 及R5 表示的碳數1~5的烷基可為直鏈狀亦可為分支狀。 所述R4 及R5 表示的碳數1~5的烷基可不具有取代基(未經取代的烷基),亦可具有取代基。 所述R4 及R5 較佳為各自獨立地表示碳數1~3的烷基,更佳為各自獨立地表示甲基或乙基,進而佳為表示甲基。於所述R4 及R5 為甲基的情況下,通式(2)所表示的化合物表示β型丙二醇單甲醚(β-PGME)。於所述R4 為甲基、R5 為乙基的情況下,通式(2)所表示的化合物表示β型丙二醇單乙醚(β-PGEE)。The C1-C5 alkyl group represented by R 4 and R 5 may be linear or branched. The carbon number of R 4 and R 5 represents an alkyl group having 1 to 5 may not have a substituent (unsubstituted alkyl), may have a substituent. The R 4 and R 5 preferably each independently represent an alkyl group having 1 to 3 carbon atoms, more preferably each independently represent a methyl group or an ethyl group, and more preferably represent a methyl group. In the case where R 4 and R 5 are methyl groups, the compound represented by the general formula (2) represents β-type propylene glycol monomethyl ether (β-PGME). When R 4 is a methyl group and R 5 is an ethyl group, the compound represented by the general formula (2) represents β-type propylene glycol monoethyl ether (β-PGEE).

本發明的組成物可僅含有一種通式(2)所表示的化合物,亦可含有兩種以上。The composition of the present invention may contain only one compound represented by the general formula (2), or two or more kinds.

藉由相對於本發明的組成物的總質量而含有0.1質量ppm以上的通式(2)所表示的化合物,可進一步抑制經時後的塗佈缺陷的產生,因此較佳。認為其原因在於:通式(2)所表示的化合物(典型而言為一級醇)的立體阻礙少,且與酸產生劑或交聯劑的親和性高,於成膜時可抑制酸產生劑或交聯劑的凝聚,從而交聯反應的均勻性增加。 另外,藉由相對於本發明的組成物的總質量而含有1質量%以下的通式(2)所表示的化合物,可進一步提高平坦性,因此較佳。通式(2)所表示的化合物(β型烷二醇單烷基醚)較α型烷二醇單烷基醚而言為親水性,且立體阻礙少,因此具有促進交聯反應的效果,若大量含有,則於加熱步驟中促進交聯反應,膜的流動性喪失而平坦性容易降低。因此認為,藉由相對於本發明的組成物的總質量而含有1質量%以下的通式(2)所表示的化合物,可抑制平坦性的降低。 就可進一步抑制經時後的塗佈缺陷的理由而言,相對於本發明的組成物的總質量,通式(2)所表示的化合物的含量較佳為0.5質量ppm以上,更佳為1質量ppm以上,進而佳為10質量ppm以上,特佳為15質量ppm以上。 另外,就可進一步抑制經時後的塗佈缺陷的理由而言,相對於本發明的組成物的總質量,通式(2)所表示的化合物的含量較佳為500質量ppm以下,更佳為300質量ppm以下,進而佳為200質量ppm以下,特佳為100質量ppm以下,最佳為50質量ppm以下。By containing 0.1 mass ppm or more of the compound represented by general formula (2) with respect to the total mass of the composition of the present invention, it is possible to further suppress the occurrence of coating defects over time, which is preferable. It is believed that the reason is that the compound represented by the general formula (2) (typically a primary alcohol) has little steric hindrance, and has a high affinity for acid generators or crosslinking agents, and can inhibit acid generators during film formation. Or agglomeration of the cross-linking agent, thereby increasing the uniformity of the cross-linking reaction. In addition, by containing 1% by mass or less of the compound represented by the general formula (2) with respect to the total mass of the composition of the present invention, flatness can be further improved, which is preferable. The compound represented by the general formula (2) (β-type alkanediol monoalkyl ether) is more hydrophilic than α-type alkanediol monoalkyl ether, and has less steric hindrance, so it has the effect of promoting the crosslinking reaction. If contained in a large amount, the crosslinking reaction is promoted in the heating step, the fluidity of the film is lost, and the flatness is likely to decrease. Therefore, it is considered that by containing 1% by mass or less of the compound represented by the general formula (2) with respect to the total mass of the composition of the present invention, the decrease in flatness can be suppressed. For the reason that coating defects after time can be further suppressed, the content of the compound represented by the general formula (2) is preferably 0.5 mass ppm or more, and more preferably 1 relative to the total mass of the composition of the present invention. Mass ppm or more, more preferably 10 mass ppm or more, particularly preferably 15 mass ppm or more. In addition, the content of the compound represented by the general formula (2) is preferably 500 mass ppm or less, more preferably, for the reason that the coating defect after time can be further suppressed, relative to the total mass of the composition of the present invention It is 300 mass ppm or less, more preferably 200 mass ppm or less, particularly preferably 100 mass ppm or less, and most preferably 50 mass ppm or less.

於通式(2)所表示的化合物僅為一種化合物的情況下,通式(2)所表示的化合物的含量成為該化合物的含量。另外,於存在兩種以上通式(2)所表示的化合物的情況下,通式(2)所表示的化合物的含量為各化合物的含量的合計(總量)。When the compound represented by the general formula (2) is only one compound, the content of the compound represented by the general formula (2) becomes the content of the compound. In addition, when there are two or more compounds represented by the general formula (2), the content of the compound represented by the general formula (2) is the total (total) content of each compound.

調整通式(2)所表示的化合物的含量的方法並無特別限定。例如於通式(2)所表示的化合物為β-PGME的情況下,可使用將分離的β-PGME添加至抗蝕劑底層膜形成用組成物或用於其製備的溶劑中的方法。再者,作為分離的β-PGME(cas編號1589-47-5),亦可使用市售品。另外,亦可使用藉由蒸餾α-PGME與β-PGME的混合物(例如,一般市售的PGME等)來分離α-PGME與β-PGME而得者。進而,亦可使用於製造時控制β異構體的生成的PGME。 另外,例如於通式(2)所表示的化合物為β-PGEE的情況下,可使用將分離的β-PGEE添加至抗蝕劑底層膜形成用組成物或用於其製備的溶劑中的方法。再者,作為分離的β-PGEE(cas編號19089-47-5),亦可使用市售品。另外,亦可使用藉由蒸餾α-PGEE與β-PGEE的混合物(例如,一般市售的PGEE等)來分離α-PGEE與β-PGEE而得者。進而,亦可使用於製造時控制β異構體的生成的PGEE。The method of adjusting the content of the compound represented by general formula (2) is not particularly limited. For example, when the compound represented by the general formula (2) is β-PGME, a method of adding the separated β-PGME to the composition for forming a resist underlayer film or the solvent used for its preparation can be used. In addition, as isolated β-PGME (cas number 1589-47-5), a commercially available product can also be used. In addition, a mixture obtained by distilling a mixture of α-PGME and β-PGME (for example, generally commercially available PGME, etc.) to separate α-PGME and β-PGME may also be used. Furthermore, it can also be used for PGME which controls the production of β isomer at the time of manufacture. In addition, for example, when the compound represented by the general formula (2) is β-PGEE, a method of adding isolated β-PGEE to the composition for forming a resist underlayer film or the solvent used for its preparation can be used . In addition, as isolated β-PGEE (cas number 19089-47-5), a commercially available product can also be used. In addition, a mixture obtained by distilling a mixture of α-PGEE and β-PGEE (for example, generally commercially available PGEE, etc.) to separate α-PGEE and β-PGEE can also be used. Furthermore, it can also be used for PGEE which controls the production of β isomers at the time of manufacture.

抗蝕劑底層膜形成用組成物中的通式(2)所表示的化合物的含量可使用氣相層析法/質量分析法(GC/MS)加以定量。The content of the compound represented by the general formula (2) in the composition for forming a resist underlayer film can be quantified using gas chromatography/mass analysis (GC/MS).

<水> 本發明的組成物較佳為進而含有水。 水與所述通式(1)所表示的化合物或所述通式(2)所表示的化合物的親和性高,本發明的組成物含有水,藉此於使用本發明的組成物形成抗蝕劑底層膜時,於膜中容易一起殘留水與所述通式(1)所表示的化合物或所述通式(2)所表示的化合物,更容易發揮由該些化合物帶來的所述效果,因此較佳。<Water> The composition of the present invention preferably further contains water. Water has a high affinity for the compound represented by the general formula (1) or the compound represented by the general formula (2), and the composition of the present invention contains water, thereby forming a corrosion inhibitor using the composition of the present invention. In the case of a base film, water is likely to remain in the film together with the compound represented by the general formula (1) or the compound represented by the general formula (2), and the effects brought by these compounds are more likely to be exerted , Therefore better.

本發明的組成物較佳為相對於本發明的組成物的總質量而含有1質量ppm以上且2質量%以下的水,更佳為含有1質量ppm以上且1質量%以下的水。The composition of the present invention preferably contains 1 mass ppm or more and 2 mass% or less of water with respect to the total mass of the composition of the present invention, and more preferably contains 1 mass ppm or more and 1 mass% or less of water.

就可使平坦性更良好的理由而言,相對於本發明的組成物的總質量,水的含量較佳為1質量ppm以上,更佳為10質量ppm以上,進而佳為0.01質量%(100質量ppm)以上,特佳為0.1質量%(1000質量ppm)以上。 相對於本發明的組成物的總質量,水的含量較佳為2質量%(20000質量ppm)以下,更佳為1質量%(10000質量ppm)以下,進而佳為0.5質量%(5000質量ppm)以下。若大量地包含水,則反應場變成親水性,因此促進加熱步驟中的交聯反應,膜的流動性喪失而有時平坦性降低,若水的含量為所述範圍,則可抑制平坦性的降低。In terms of making the flatness better, the content of water relative to the total mass of the composition of the present invention is preferably 1 mass ppm or more, more preferably 10 mass ppm or more, and still more preferably 0.01 mass% (100 Mass ppm) or more, particularly preferably 0.1 mass% (1000 mass ppm) or more. With respect to the total mass of the composition of the present invention, the water content is preferably 2% by mass (20,000 mass ppm) or less, more preferably 1% by mass (10,000 mass ppm) or less, and still more preferably 0.5% by mass (5,000 mass ppm) )the following. If a large amount of water is contained, the reaction field becomes hydrophilic, and therefore the cross-linking reaction in the heating step is promoted, and the fluidity of the film is lost and the flatness sometimes decreases. If the water content is within the above range, the decrease in flatness can be suppressed .

作為水,例如可使用純水、超純水。As the water, for example, pure water and ultrapure water can be used.

調整水的含量的方法並無特別限定。例如可列舉:使用於製備抗蝕劑底層膜形成用組成物時使用的溶劑中預先混合規定量的水而得者的方法、或對包含水的抗蝕劑底層膜形成用組成物使用例如分子篩等一般的脫水方法的方法。The method of adjusting the content of water is not particularly limited. For example, a method of preliminarily mixing a predetermined amount of water in a solvent used for preparing a composition for forming a resist underlayer film, or using, for example, a molecular sieve for a composition for forming a resist underlayer film containing water And other general dehydration methods.

抗蝕劑底層膜形成用組成物中的水的含量可使用卡爾費歇爾水分計來測定。The content of water in the composition for forming a resist underlayer film can be measured using a Karl Fischer moisture meter.

<通式(3)所表示的化合物> 本發明的組成物較佳為進而含有下述通式(3)所表示的化合物。 本發明的組成物較佳為含有下述通式(3)所表示的化合物,所述通式(1)所表示的化合物相對於下述通式(3)所表示的化合物的含量為0.1質量ppm以上且0.05質量%以下,更佳為1質量ppm以上且0.005質量%以下。<The compound represented by the general formula (3)> The composition of the present invention preferably further contains a compound represented by the following general formula (3). The composition of the present invention preferably contains a compound represented by the following general formula (3), and the content of the compound represented by the general formula (1) relative to the compound represented by the following general formula (3) is 0.1 mass ppm or more and 0.05 mass% or less, more preferably 1 mass ppm or more and 0.005 mass% or less.

[化7]

Figure 02_image015
[化7]
Figure 02_image015

通式(3)中,R6 ~R8 各自獨立地表示碳數1~5的烷基。In the general formula (3), R 6 to R 8 each independently represent an alkyl group having 1 to 5 carbon atoms.

所述R6 ~R8 表示的碳數1~5的烷基可為直鏈狀亦可為分支狀。 所述R6 ~R8 表示的碳數1~5的烷基可不具有取代基(未經取代的烷基),亦可具有取代基。 所述R6 ~R8 較佳為各自獨立地表示碳數1~3的烷基,更佳為各自獨立地表示甲基或乙基,進而佳為表示甲基。於所述R6 ~R8 為甲基的情況下,通式(3)所表示的化合物表示α型PGMEA(α-PGMEA)。The alkyl group having 1 to 5 carbon atoms represented by R 6 to R 8 may be linear or branched. The alkyl group having 1 to 5 carbon atoms represented by R 6 to R 8 may not have a substituent (unsubstituted alkyl group), or may have a substituent. Preferably, R 6 to R 8 each independently represent an alkyl group having 1 to 3 carbon atoms, more preferably each independently represents a methyl group or an ethyl group, and more preferably each independently represents a methyl group. When R 6 to R 8 are methyl groups, the compound represented by the general formula (3) represents α-PGMEA (α-PGMEA).

通式(3)所表示的化合物具有與通式(1)所表示的化合物類似的結構,因此與通式(1)所表示的化合物的親和性高。另外,若通式(1)所表示的化合物與通式(3)所表示的化合物共存,則酸產生劑或交聯劑的溶解性變高,使用本發明的組成物形成抗蝕劑底層膜時,容易抑制酸產生劑或交聯劑的凝聚,容易發揮所述效果,因此較佳。The compound represented by the general formula (3) has a structure similar to the compound represented by the general formula (1), and therefore has high affinity with the compound represented by the general formula (1). In addition, if the compound represented by the general formula (1) and the compound represented by the general formula (3) coexist, the solubility of the acid generator or the crosslinking agent becomes high, and the composition of the present invention is used to form a resist underlayer film In this case, the aggregation of the acid generator or the crosslinking agent is easily suppressed, and the effect is easily exhibited, which is preferable.

於本發明的組成物不僅含有通式(1)所表示的化合物而且含有通式(3)所表示的化合物的情況下,通式(3)中的R6 較佳為與通式(1)中的R1 相同,通式(3)中的R7 較佳為與通式(1)中的R2 相同,通式(3)中的R8 較佳為與通式(1)中的R3 相同。藉此,通式(3)所表示的化合物與通式(1)所表示的化合物的親和性非常高,更容易發揮所述效果。When the composition of the present invention contains not only the compound represented by the general formula (1) but also the compound represented by the general formula (3), R 6 in the general formula (3) is preferably the same as the general formula (1) R 1 in the general formula (3) is the same, R 7 in the general formula (3) is preferably the same as R 2 in the general formula (1), and R 8 in the general formula (3) is preferably the same as that in the general formula (1). R 3 is the same. Thereby, the affinity of the compound represented by general formula (3) and the compound represented by general formula (1) is very high, and the said effect can be exhibited more easily.

本發明的組成物可僅含有一種通式(3)所表示的化合物,亦可含有兩種以上。The composition of the present invention may contain only one compound represented by the general formula (3), or two or more kinds.

於通式(3)所表示的化合物僅為一種化合物的情況下,通式(3)所表示的化合物的含量成為該化合物的含量。另外,於存在兩種以上通式(3)所表示的化合物的情況下,通式(3)所表示的化合物的含量為各化合物的含量的合計(總量)。When the compound represented by the general formula (3) is only one compound, the content of the compound represented by the general formula (3) becomes the content of the compound. In addition, when there are two or more compounds represented by the general formula (3), the content of the compound represented by the general formula (3) is the total (total amount) of the content of each compound.

通式(3)所表示的化合物例如可作為後述的溶劑來使用。 於將通式(3)所表示的化合物用作溶劑的情況下,較佳為以本發明的組成物的固體成分濃度成為0.1質量%~55質量%的方式使用包含通式(3)所表示的化合物的溶劑,更佳為以成為1質量%~50質量%的方式使用包含通式(3)所表示的化合物的溶劑,進而佳為以成為2質量%~50質量%的方式使用包含通式(3)所表示的化合物的溶劑,特佳為以成為3質量%~45質量%的方式使用包含通式(3)所表示的化合物的溶劑,最佳為以成為3質量%~40質量%的方式使用包含通式(3)所表示的化合物的溶劑。 於使用通式(3)所表示的化合物作為本發明的組成物中的溶劑的情況下,相對於溶劑的總質量的通式(3)所表示的化合物的質量較佳為50質量%以上且100質量%以下。 於本發明的組成物中所謂「固體成分」,是自本發明的組成物中所含的全部成分中去除溶劑、水、通式(1)所表示的化合物、通式(2)所表示的化合物、及通式(3)所表示的化合物後的成分,例如於25℃下可為固體,亦可為液體。 另外,於本發明的組成物中所謂「總固體成分」,是指自組成物的所有組成中去除溶劑、水、通式(1)所表示的化合物、通式(2)所表示的化合物、及通式(3)所表示的化合物後的成分的總質量。The compound represented by the general formula (3) can be used, for example, as a solvent described later. When the compound represented by the general formula (3) is used as a solvent, it is preferable to use the compound represented by the general formula (3) so that the solid content concentration of the composition of the present invention becomes 0.1% by mass to 55% by mass The solvent of the compound is more preferably a solvent containing the compound represented by the general formula (3) so as to be 1% by mass to 50% by mass, and it is more preferred to use a solvent containing the compound represented by the general formula (3) so as to be 2% by mass to 50% by mass. The solvent of the compound represented by the formula (3) is particularly preferably a solvent containing the compound represented by the general formula (3) so as to be 3% to 45% by mass, and it is most preferable to be 3% to 40% by mass The% method uses a solvent containing the compound represented by the general formula (3). In the case of using the compound represented by the general formula (3) as the solvent in the composition of the present invention, the mass of the compound represented by the general formula (3) relative to the total mass of the solvent is preferably 50% by mass or more and 100% by mass or less. The so-called "solid content" in the composition of the present invention means removing the solvent, water, the compound represented by the general formula (1), and the compound represented by the general formula (2) from all the components contained in the composition of the present invention The compound and the components after the compound represented by the general formula (3) may be solid or liquid at 25°C, for example. In addition, the "total solid content" in the composition of the present invention means removing the solvent, water, the compound represented by the general formula (1), the compound represented by the general formula (2), and the And the total mass of the components after the compound represented by the general formula (3).

調整通式(3)所表示的化合物的含量的方法並無特別限定。例如於通式(3)所表示的化合物為α-PGMEA的情況下,可將分離的α-PGMEA於抗蝕劑底層膜形成用組成物的製備中添加或用作溶劑。再者,作為分離的α-PGMEA(cas編號108-65-6),亦可使用市售品。另外,亦可使用藉由蒸餾α-PGMEA與β-PGMEA的混合物(例如,一般市售的PGMEA等)來分離α-PGMEA與β-PGMEA而得者。The method of adjusting the content of the compound represented by general formula (3) is not particularly limited. For example, when the compound represented by the general formula (3) is α-PGMEA, the separated α-PGMEA can be added to the preparation of the resist base film formation composition or used as a solvent. In addition, as isolated α-PGMEA (cas number 108-65-6), a commercially available product can also be used. In addition, a mixture obtained by distilling a mixture of α-PGMEA and β-PGMEA (for example, generally commercially available PGMEA, etc.) to separate α-PGMEA and β-PGMEA can also be used.

抗蝕劑底層膜形成用組成物中的通式(3)所表示的化合物的含量可使用氣相層析法/質量分析法(GC/MS)加以定量。The content of the compound represented by the general formula (3) in the composition for forming a resist underlayer film can be quantified using gas chromatography/mass analysis (GC/MS).

<具有芳香環的樹脂> 本發明的抗蝕劑底層膜形成用組成物含有具有芳香環的樹脂。 作為具有芳香環的樹脂,可適宜採用先前公知的材料。 具有芳香環的樹脂典型而言不具有酸分解性基(具體而言為後述的樹脂(A)中的酸分解性基)。其中,具有芳香環的樹脂亦可具有酸分解性基。 作為具有芳香環的樹脂,例如可使用(甲基)丙烯酸樹脂、苯乙烯樹脂、纖維素樹脂、及酚樹脂(酚醛清漆樹脂)等。另外,作為其他樹脂,可使用芳香族聚酯樹脂、芳香族聚醯亞胺樹脂、聚苯並噁唑樹脂、芳香族聚醯胺樹脂、苊系樹脂、異氰脲酸系樹脂等。<Resin with aromatic ring> The composition for forming a resist underlayer film of the present invention contains a resin having an aromatic ring. As the resin having an aromatic ring, conventionally known materials can be suitably used. A resin having an aromatic ring typically does not have an acid-decomposable group (specifically, an acid-decomposable group in the resin (A) described later). Among them, the resin having an aromatic ring may have an acid-decomposable group. As the resin having an aromatic ring, for example, (meth)acrylic resin, styrene resin, cellulose resin, phenol resin (novolak resin), and the like can be used. In addition, as other resins, aromatic polyester resins, aromatic polyimide resins, polybenzoxazole resins, aromatic polyamide resins, acenaphthylene resins, isocyanuric acid resins, and the like can be used.

特別是作為芳香族聚醯胺樹脂、芳香族聚醯亞胺樹脂,例如可使用日本專利第4120584號中記載的樹脂化合物、日本專利第4466877號〔0021〕~〔0053〕中記載的樹脂化合物、日本專利第4525940號〔0025〕~〔0050〕中記載的樹脂化合物。另外,作為酚醛清漆樹脂,可使用日本專利第5215825號〔0015〕~〔0058〕、日本專利第5257009號〔0023〕~〔0041〕中記載的樹脂化合物。 另外,作為苊系樹脂,例如可使用日本專利第4666166〔0032〕~〔0052〕中記載的樹脂化合物、日本專利第04388429〔0037〕~〔0043〕中記載的樹脂化合物、日本專利第5040839號〔0026〕~〔0065〕中記載的聚合體、日本專利第4892670號〔0015〕~〔0032〕中記載的樹脂化合物等。In particular, as the aromatic polyimide resin and aromatic polyimide resin, for example, the resin compound described in Japanese Patent No. 4120584, the resin compound described in Japanese Patent No. 4466877 [0021] to [0053], Resin compounds described in Japanese Patent No. 4525940 [0025] to [0050]. In addition, as the novolak resin, resin compounds described in Japanese Patent Nos. 5215825 [0015] to [0058] and Japanese Patent Nos. 5257009 [0023] to [0041] can be used. In addition, as the acenaphthene-based resin, for example, the resin compound described in Japanese Patent No. 4666166 [0032] to [0052], the resin compound described in Japanese Patent No. 04388429 [0037] to [0043], and Japanese Patent No. 5040839 [ 0026] to [0065], the polymer described in Japanese Patent No. 4892670 [0015] to [0032], and the like.

具有芳香環的樹脂亦較佳為含有具有作為交聯反應基的羥基的重複單元的樹脂。 另外,具有芳香環的樹脂亦較佳為含有於樹脂(A)中後述的具有內酯結構的重複單元。 於具有芳香環的樹脂中,亦可共聚非交聯性的單體,藉此可進行乾式蝕刻速度、反射率等的微調整。作為此種共聚單體,可列舉以下者。例如為選自丙烯酸酯類、丙烯醯胺類、甲基丙烯酸酯類、甲基丙烯醯胺類、烯丙基化合物、乙烯基醚類、乙烯基酯類、苯乙烯類、巴豆酸酯類等中的具有一個加成聚合性不飽和鍵的化合物。The resin having an aromatic ring is also preferably a resin containing a repeating unit having a hydroxyl group as a crosslinking reaction group. Moreover, it is also preferable that the resin which has an aromatic ring contains the repeating unit which has a lactone structure mentioned later in resin (A). In the resin having an aromatic ring, a non-crosslinkable monomer can also be copolymerized, thereby allowing fine adjustments of dry etching rate, reflectance, and the like. As such a comonomer, the following can be mentioned. For example, it is selected from acrylic esters, acrylamides, methacrylates, methacrylamides, allyl compounds, vinyl ethers, vinyl esters, styrenes, crotonates, etc. A compound with one addition polymerizable unsaturated bond.

作為丙烯酸酯類,例如可列舉烷基的碳原子數為1~10的丙烯酸烷基酯。As acrylic esters, for example, alkyl acrylates having 1 to 10 carbon atoms in the alkyl group can be cited.

作為甲基丙烯酸酯類,例如可列舉烷基的碳原子數為1~10的甲基丙烯酸烷基酯。Examples of the methacrylates include alkyl methacrylates having 1 to 10 carbon atoms in the alkyl group.

作為丙烯醯胺類,可列舉:丙烯醯胺、或N-烷基丙烯醯胺、N-芳基丙烯醯胺、N,N-二烷基丙烯醯胺、N,N-二芳基丙烯醯胺、N-甲基-N-苯基丙烯醯胺、N-2-乙醯胺乙基-N-乙醯基丙烯醯胺等。Examples of acrylamides include: acrylamide, or N-alkylacrylamide, N-arylacrylamide, N,N-dialkylacrylamide, and N,N-diarylacrylamide Amine, N-methyl-N-phenylacrylamide, N-2-acetamide ethyl-N-acetylacrylamide, etc.

作為甲基丙烯醯胺類,例如可列舉:甲基丙烯醯胺、N-烷基甲基丙烯醯胺、N-芳基甲基丙烯醯胺、N,N-二烷基甲基丙烯醯胺、N,N-二芳基甲基丙烯醯胺、N-甲基-N-苯基甲基丙烯醯胺、N-乙基-N-苯基甲基丙烯醯胺等。Examples of methacrylamides include: methacrylamide, N-alkylmethacrylamide, N-arylmethacrylamide, and N,N-dialkylmethacrylamide , N,N-diarylmethacrylamide, N-methyl-N-phenylmethacrylamide, N-ethyl-N-phenylmethacrylamide, etc.

作為乙烯基醚類,例如可列舉烷基乙烯基醚、乙烯基芳基醚等。Examples of vinyl ethers include alkyl vinyl ethers and vinyl aryl ethers.

作為乙烯基酯類,例如可列舉:丁酸乙烯基酯、異丁酸乙烯基酯、三甲基乙酸乙烯基酯等。Examples of vinyl esters include vinyl butyrate, vinyl isobutyrate, and vinyl trimethyl acetate.

作為苯乙烯類,例如可列舉:苯乙烯、烷基苯乙烯、烷氧基苯乙烯、鹵素苯乙烯等。Examples of styrenes include styrene, alkyl styrene, alkoxy styrene, halogen styrene, and the like.

作為巴豆酸酯類,例如可列舉:巴豆酸丁酯、巴豆酸己酯、甘油單巴豆酸酯等巴豆酸烷基酯。Examples of crotonates include alkyl crotonates such as butyl crotonate, hexyl crotonate, and glyceryl monocrotonate.

另外,可列舉衣康酸二烷基酯類、馬來酸或富馬酸的二烷基酯類或單烷基酯類、巴豆酸、衣康酸、馬來酸酐、馬來醯亞胺、丙烯腈、甲基丙烯腈、馬來腈等。此外,一般而言只要為可與每個重複單元含有至少一個以上的交聯反應基即羥基的聚合物共聚的加成聚合性不飽和化合物,則可使用。In addition, dialkyl esters of itaconic acid, dialkyl esters or monoalkyl esters of maleic acid or fumaric acid, crotonic acid, itaconic acid, maleic anhydride, maleimide, Acrylonitrile, methacrylonitrile, maleonitrile, etc. In addition, generally, it can be used as long as it is an addition polymerizable unsaturated compound that can be copolymerized with a polymer containing at least one crosslinking reaction group, that is, a hydroxyl group per repeating unit.

具有芳香環的樹脂亦可為無規聚合體、嵌段聚合體或接枝聚合體中的任一種。具有芳香環的樹脂可利用自由基聚合、陰離子聚合、陽離子聚合等方法合成。其形態可為溶液聚合、懸浮聚合、乳化聚合、塊狀聚合等各種方法。The resin having an aromatic ring may be any of a random polymer, a block polymer, or a graft polymer. Resins with aromatic rings can be synthesized by methods such as radical polymerization, anionic polymerization, and cationic polymerization. The form can be various methods such as solution polymerization, suspension polymerization, emulsion polymerization, and bulk polymerization.

另外,作為具有芳香環的樹脂,較佳為可使用具有酚結構部分的各種酚系聚合物。較佳為可列舉:酚醛清漆樹脂、對羥基苯乙烯均聚物、間羥基苯乙烯均聚物、具有對羥基苯乙烯結構的共聚聚合物、具有間羥基苯乙烯結構的共聚聚合物。於該些共聚聚合物中,作為共聚部分,較佳為具有下述通式(1P)所表示的重複單元。In addition, as the resin having an aromatic ring, various phenolic polymers having a phenolic structure can be preferably used. Preferably, a novolak resin, a p-hydroxystyrene homopolymer, a meta-hydroxystyrene homopolymer, a copolymer polymer having a p-hydroxystyrene structure, and a copolymer polymer having a meta-hydroxystyrene structure are mentioned. In these copolymerization polymers, it is preferable to have a repeating unit represented by the following general formula (1P) as a copolymerization part.

[化8]

Figure 02_image017
[化8]
Figure 02_image017

式中,R10 表示氫原子、碳數1~3的烷基、氰基、鹵素原子,較佳為氫原子或甲基。L1 表示單鍵、-COO-、-CON(R3 )-、伸芳基,R3 表示氫原子、碳數1~3的烷基。作為L1 而較佳為單鍵、-COO-、伸苯基。L2 表示單鍵、碳數1~10的伸烷基、碳數6~18的伸芳基、-COO-、-O-,較佳為單鍵、碳數1~4的伸烷基、伸苯基。Rb表示碳數1~10的烷基、碳數4~30的環烷基、碳數5~25的橋環脂環式烴基、碳數6~18的芳基,較佳為表示碳數1~8的烷基(甲基、乙基、丁基、第三丁基等)、碳數5~8的環烷基(環己基、環辛基等)、碳數5~20的橋環脂環式烴基、碳數6~12的芳基(苯基、萘基等)。該些基可具有取代基,作為取代基的例子,可列舉:鹵素原子(Cl、Br等)、氰基、碳數1~4的烷基、羥基、碳數1~4的烷氧基、碳數1~4的醯基、碳數6~12的芳基。以下列舉所述碳數5~20的橋環脂環式烴基的較佳的骨架。In the formula, R 10 represents a hydrogen atom, an alkyl group having 1 to 3 carbon atoms, a cyano group, or a halogen atom, and is preferably a hydrogen atom or a methyl group. L 1 represents a single bond, -COO-, -CON(R 3 )-, or an arylene group, and R 3 represents a hydrogen atom and an alkyl group having 1 to 3 carbon atoms. L 1 is preferably a single bond, -COO-, or phenylene. L 2 represents a single bond, a C1-C10 alkylene group, a C6-C18 arylene group, -COO-, -O-, preferably a single bond, a C1-C4 alkylene group, Phenylene. Rb represents an alkyl group having 1 to 10 carbons, a cycloalkyl group having 4 to 30 carbons, a bridged cycloalicyclic hydrocarbon group having 5 to 25 carbons, an aryl group having 6 to 18 carbons, and preferably represents a carbon number of 1 ~8 alkyl (methyl, ethyl, butyl, tertiary butyl, etc.), carbon 5-8 cycloalkyl (cyclohexyl, cyclooctyl, etc.), carbon 5-20 bridged cycloaliphatic Cyclic hydrocarbon groups, aryl groups with 6 to 12 carbons (phenyl, naphthyl, etc.). These groups may have substituents. Examples of substituents include halogen atoms (Cl, Br, etc.), cyano groups, alkyl groups having 1 to 4 carbons, hydroxyl groups, alkoxy groups having 1 to 4 carbons, An acyl group having 1 to 4 carbons, and an aryl group having 6 to 12 carbons. The preferable skeleton of the bridged cycloalicyclic hydrocarbon group having 5 to 20 carbon atoms is listed below.

[化9]

Figure 02_image019
[化9]
Figure 02_image019

[化10]

Figure 02_image021
[化10]
Figure 02_image021

該些基中作為特佳的例子,可列舉:(5)、(6)、(7)、(8)、(9)、(10)、(13)、(14)、(15)、(23)、(28)、(36)、(37)、(40)(42)、(47)。Particularly good examples of these bases include: (5), (6), (7), (8), (9), (10), (13), (14), (15), ( 23), (28), (36), (37), (40) (42), (47).

於具有芳香環的樹脂為所述共聚聚合物的情況下,相對於共聚聚合物的所有重複單元,通式(1P)所表示的重複單元的含量較佳為0莫耳%~80莫耳%,更佳為0莫耳%~60莫耳%。另外,該共聚聚合物亦可為除具有所述重複單元以外,出於提高成膜性、密接性、顯影性等的目的亦進而具有其他重複單元的共聚物。In the case where the resin having an aromatic ring is the copolymerized polymer, the content of the repeating unit represented by the general formula (1P) is preferably 0 mol% to 80 mol% relative to all repeating units of the copolymerized polymer , More preferably 0 mol%~60 mol%. In addition, the copolymer may be a copolymer having other repeating units for the purpose of improving film-forming properties, adhesiveness, developability, etc., in addition to the repeating units described above.

具有芳香環的樹脂亦可為除含有通式(1P)所表示的重複單元以外,出於提高成膜性、密接性、顯影性等的目的亦進而含有其他重複單元的共聚物。作為相當於此種其他重複單元的單量體,例如可列舉選自丙烯酸酯類、甲基丙烯酸酯類、丙烯醯胺類、甲基丙烯醯胺類、烯丙基化合物、乙烯基醚類、乙烯基酯類等中的具有一個加成聚合性不飽和鍵的化合物。The resin having an aromatic ring may be a copolymer that contains, in addition to the repeating unit represented by the general formula (1P), other repeating units for the purpose of improving film-forming properties, adhesiveness, developability, and the like. As a monomer corresponding to such other repeating unit, for example, it can be selected from acrylates, methacrylates, acrylamides, methacrylamides, allyl compounds, vinyl ethers, Compounds with one addition polymerizable unsaturated bond among vinyl esters.

具體而言,例如有:丙烯酸酯類,例如丙烯酸烷基(較佳為烷基的碳原子數為1~10的烷基)酯(例如,丙烯酸甲酯、丙烯酸乙酯、丙烯酸丙酯、丙烯酸戊酯、丙烯酸環己酯、丙烯酸乙基己酯、丙烯酸辛酯、丙烯酸-第三辛酯、丙烯酸氯乙酯、三羥甲基丙烷單丙烯酸酯、季戊四醇單丙烯酸酯、丙烯酸苄酯、丙烯酸甲氧基苄酯、丙烯酸糠酯、丙烯酸四氫糠酯等);Specifically, for example, there are acrylic acid esters, such as acrylic acid alkyl (preferably an alkyl group having 1 to 10 carbon atoms) esters (for example, methyl acrylate, ethyl acrylate, propyl acrylate, acrylic acid). Amyl ester, cyclohexyl acrylate, ethylhexyl acrylate, octyl acrylate, third octyl acrylate, chloroethyl acrylate, trimethylolpropane monoacrylate, pentaerythritol monoacrylate, benzyl acrylate, methyl acrylate Oxybenzyl, furfuryl acrylate, tetrahydrofurfuryl acrylate, etc.);

甲基丙烯酸酯類,例如甲基丙烯酸烷基(較佳為烷基的碳原子數為1~10的烷基)酯(例如甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸丙酯、甲基丙烯酸異丙酯、甲基丙烯酸戊酯、甲基丙烯酸己酯、甲基丙烯酸環己酯、甲基丙烯酸苄酯、甲基丙烯酸氯苄酯、甲基丙烯酸辛酯、三羥甲基丙烷單甲基丙烯酸酯、季戊四醇單甲基丙烯酸酯、甲基丙烯酸糠酯、甲基丙烯酸四氫糠酯等);Methacrylates, such as alkyl methacrylate (preferably an alkyl group with 1 to 10 carbon atoms) esters (such as methyl methacrylate, ethyl methacrylate, propyl methacrylate) , Isopropyl methacrylate, amyl methacrylate, hexyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, chlorobenzyl methacrylate, octyl methacrylate, trimethylol Propane monomethacrylate, pentaerythritol monomethacrylate, furfuryl methacrylate, tetrahydrofurfuryl methacrylate, etc.);

丙烯醯胺類,例如丙烯醯胺、N-烷基丙烯醯胺(作為烷基,有碳原子數1~10的烷基,例如甲基、乙基、丙基、丁基、第三丁基、庚基、辛基、環己基、羥基乙基等)、N,N-二烷基丙烯醯胺(作為烷基,有碳原子數1~10的烷基,例如甲基、乙基、丁基、異丁基、乙基己基、環己基等)、N-羥基乙基-N-甲基丙烯醯胺、N-2-乙醯胺乙基-N-乙醯基丙烯醯胺等;Acrylic amines, such as acrylamide, N-alkyl acrylamide (as the alkyl group, there are alkyl groups with 1 to 10 carbon atoms, such as methyl, ethyl, propyl, butyl, tertiary butyl , Heptyl, octyl, cyclohexyl, hydroxyethyl, etc.), N,N-dialkylacrylamide (as the alkyl group, there are alkyl groups with 1 to 10 carbon atoms, such as methyl, ethyl, butyl Group, isobutyl, ethylhexyl, cyclohexyl, etc.), N-hydroxyethyl-N-methacrylamide, N-2-acetamide ethyl-N-acetylacrylamide, etc.;

甲基丙烯醯胺類,例如甲基丙烯醯胺、N-烷基甲基丙烯醯胺(作為烷基,有碳原子數1~10的烷基,例如甲基、乙基、第三丁基、乙基己基、羥基乙基、環己基等)、N,N-二烷基甲基丙烯醯胺(作為烷基,有乙基、丙基、丁基等)、N-羥基乙基-N-甲基丙烯醯胺等;Methacrylamides, such as methacrylamide, N-alkylmethacrylamide (as the alkyl group, there are alkyl groups with 1 to 10 carbon atoms, such as methyl, ethyl, tertiary butyl , Ethylhexyl, hydroxyethyl, cyclohexyl, etc.), N,N-dialkylmethacrylamide (as an alkyl group, there are ethyl, propyl, butyl, etc.), N-hydroxyethyl-N -Methacrylamide, etc.;

烯丙基化合物,例如烯丙基酯類(例如乙酸烯丙基酯、己酸烯丙基酯、辛酸烯丙基酯、月桂酸烯丙基酯、棕櫚酸烯丙基酯、硬脂酸烯丙基酯、苯甲酸烯丙基酯、乙醯乙酸烯丙基酯、乳酸烯丙基酯等)、烯丙氧基乙醇等;Allyl compounds, such as allyl esters (for example, allyl acetate, allyl caproate, allyl octanoate, allyl laurate, allyl palmitate, allyl stearate Propyl ester, allyl benzoate, allyl acetoacetate, allyl lactate, etc.), allyloxyethanol, etc.;

乙烯基醚類,例如烷基乙烯基醚(例如己基乙烯基醚、辛基乙烯基醚、癸基乙烯基醚、乙基己基乙烯基醚、甲氧基乙基乙烯基醚、乙氧基乙基乙烯基醚、氯乙基乙烯基醚、1-甲基-2,2-二甲基丙基乙烯基醚、2-乙基丁基乙烯基醚、羥基乙基乙烯基醚、二乙二醇乙烯基醚、二甲基胺基乙基乙烯基醚、二乙基胺基乙基乙烯基醚、丁基胺基乙基乙烯基醚、苄基乙烯基醚、四氫糠基乙烯基醚等);Vinyl ethers, such as alkyl vinyl ethers (such as hexyl vinyl ether, octyl vinyl ether, decyl vinyl ether, ethylhexyl vinyl ether, methoxyethyl vinyl ether, ethoxy ethyl Vinyl ether, chloroethyl vinyl ether, 1-methyl-2,2-dimethylpropyl vinyl ether, 2-ethylbutyl vinyl ether, hydroxyethyl vinyl ether, diethylene Alcohol vinyl ether, dimethylaminoethyl vinyl ether, diethylaminoethyl vinyl ether, butylaminoethyl vinyl ether, benzyl vinyl ether, tetrahydrofurfuryl vinyl ether Wait);

乙烯基酯類,例如丁酸乙烯基酯、異丁酸乙烯基酯、三甲基乙酸乙烯基酯、二乙基乙酸乙烯基酯、特戊酸乙烯基酯、己酸乙烯基酯、氯乙酸乙烯基酯、二氯乙酸乙烯基酯、甲氧基乙酸乙烯基酯、丁氧基乙酸乙烯基酯、乙醯乙酸乙烯基酯、乳酸乙烯基酯、β-苯基丁酸乙烯基酯、環己基羧酸乙烯基酯等;Vinyl esters, such as vinyl butyrate, vinyl isobutyrate, vinyl trimethyl acetate, vinyl diethyl acetate, vinyl pivalate, vinyl caproate, chloroacetic acid Vinyl ester, vinyl dichloroacetate, vinyl methoxyacetate, vinyl butoxyacetate, vinyl acetylacetate, vinyl lactate, vinyl β-phenylbutyrate, ring Vinyl hexyl carboxylate, etc.;

衣康酸二烷基酯類(例如衣康酸二甲酯、衣康酸二乙酯、衣康酸二丁酯等);富馬酸的二烷基酯類(例如富馬酸二丁酯等)或單烷基酯類;丙烯酸、甲基丙烯酸、巴豆酸、衣康酸、馬來酸酐、馬來醯亞胺、丙烯腈、甲基丙烯腈、馬來腈等。除此以外,亦只要為可與所述各種重複單元共聚的加成聚合性不飽和化合物即可。Dialkyl itaconate esters (such as dimethyl itaconate, diethyl itaconate, dibutyl itaconate, etc.); dialkyl fumarate (such as dibutyl fumarate) Etc.) or monoalkyl esters; acrylic acid, methacrylic acid, crotonic acid, itaconic acid, maleic anhydride, maleimide, acrylonitrile, methacrylonitrile, maleonitrile, etc. In addition to this, it is sufficient as long as it is an addition polymerizable unsaturated compound copolymerizable with the various repeating units described above.

作為酚系聚合物的較佳例,可列舉以下者。於下述具體例中,重複單元之比為莫耳比。As a preferable example of a phenolic polymer, the following can be mentioned. In the following specific examples, the ratio of repeating units is molar ratio.

[化11]

Figure 02_image023
[化11]
Figure 02_image023

[化12]

Figure 02_image025
[化12]
Figure 02_image025

作為具有芳香環的樹脂的較佳的具體例,亦可列舉實施例中使用的A-1~A-15。As a preferable specific example of the resin which has an aromatic ring, A-1 to A-15 used in an Example can also be mentioned.

具有芳香環的樹脂的重量平均分子量(Mw)並無特別限定,較佳為1,000~100,000,更佳為2,000~50,000,進而佳為3,000~20,000。The weight average molecular weight (Mw) of the resin having an aromatic ring is not particularly limited, but is preferably 1,000 to 100,000, more preferably 2,000 to 50,000, and still more preferably 3,000 to 20,000.

具有芳香環的樹脂可使用一種,亦可使用兩種以上。 相對於本發明的抗蝕劑底層膜形成用組成物的總固體成分,具有芳香環的樹脂的含量較佳為20質量%以上,更佳為40質量%以上,進而佳為60質量%以上,特佳為80質量%以上。上限並無特別限制,較佳為99.5質量%以下,更佳為99質量%以下,進而佳為97質量%以下。One type of resin having an aromatic ring may be used, or two or more types may be used. The content of the resin having an aromatic ring relative to the total solid content of the composition for forming a resist underlayer film of the present invention is preferably 20% by mass or more, more preferably 40% by mass or more, and still more preferably 60% by mass or more, Particularly preferred is 80% by mass or more. The upper limit is not particularly limited, but is preferably 99.5% by mass or less, more preferably 99% by mass or less, and still more preferably 97% by mass or less.

本發明的抗蝕劑底層膜形成用組成物於較佳的一實施形態中,除包含具有芳香環的樹脂以外,可包含溶劑、酸產生劑、交聯劑、界面活性劑等。於該情況下,較佳為藉由對由抗蝕劑底層膜形成用組成物形成的塗膜進行曝光或加熱,形成交聯膜,將其作為抗蝕劑底層膜。 本發明的抗蝕劑底層膜形成用組成物特佳為含有熱酸產生劑及交聯劑。 藉由本發明的抗蝕劑底層膜形成用組成物含有熱酸產生劑及交聯劑,將抗蝕劑底層膜形成用組成物塗佈於基板上形成塗膜,並進行加熱(較佳為於200℃以上加熱),藉此可利用交聯反應(較佳為將由熱酸產生劑產生的酸作為觸媒而交聯劑與具有芳香環的樹脂中的羥基反應來進行交聯的反應)來形成抗蝕劑底層膜。In a preferred embodiment, the composition for forming a resist underlayer film of the present invention may include a solvent, an acid generator, a crosslinking agent, a surfactant, etc., in addition to a resin having an aromatic ring. In this case, it is preferable to form a cross-linked film by exposing or heating the coating film formed from the composition for forming a resist underlayer film, which is used as a resist underlayer film. The composition for forming a resist underlayer film of the present invention particularly preferably contains a thermal acid generator and a crosslinking agent. When the composition for forming a resist underlayer film of the present invention contains a thermal acid generator and a crosslinking agent, the composition for forming a resist underlayer film is applied to a substrate to form a coating film, and then heated (preferably at Heating above 200°C), by which cross-linking reaction (preferably a cross-linking reaction in which the acid generated by the thermal acid generator is used as a catalyst and the cross-linking agent reacts with the hydroxyl group in the resin having an aromatic ring) can be used. A resist underlayer film is formed.

<酸產生劑> 本發明的抗蝕劑底層膜形成用組成物較佳為含有酸產生劑(典型而言光酸產生劑及熱酸產生劑中至少一種)。所謂酸產生劑是藉由曝光或加熱而產生酸的成分。藉由在抗蝕劑底層膜形成用組成物中含有酸產生劑,可將由酸產生劑產生的酸作為觸媒進行交聯反應。另外,藉由在抗蝕劑底層膜形成用組成物中含有酸產生劑,可消除抗蝕劑底層膜中的交聯反應阻礙(由於自基板(特別是低電介質膜)產生的物質(例如,具有OH-、CH3 -、NH2 -等鹼性基的物質)向抗蝕劑底層膜擴散,使抗蝕劑底層膜中的酸失活,而阻礙交聯反應的問題)。即,藉由形成的抗蝕劑底層膜中的酸產生劑與阻礙物質反應,可防止阻礙物質向抗蝕劑底層膜擴散。 酸產生劑中作為藉由曝光而產生酸的酸產生劑(以下,亦稱為「光酸產生劑」),例如可列舉國際公開第07/105776號小冊子[0076]~[0081]段落中記載的化合物等。<Acid Generator> The composition for forming a resist underlayer film of the present invention preferably contains an acid generator (typically at least one of a photoacid generator and a thermal acid generator). The acid generator is a component that generates acid by exposure or heating. By including an acid generator in the composition for forming a resist underlayer film, the acid generated by the acid generator can be used as a catalyst to perform a crosslinking reaction. In addition, by including an acid generator in the resist underlayer film formation composition, it is possible to eliminate the crosslinking reaction hindrance in the resist underlayer film (due to substances generated from the substrate (especially low-dielectric film) (for example, (Substances having basic groups such as OH-, CH 3 -, NH 2 -) diffuse into the resist underlayer film, deactivate the acid in the resist underlayer film, and hinder the crosslinking reaction). That is, by reacting the acid generator in the formed resist underlayer film with the barrier substance, it is possible to prevent the barrier substance from diffusing into the resist underlayer film. Among the acid generators, the acid generators that generate acid by exposure (hereinafter also referred to as "photoacid generators") include, for example, those described in the paragraphs of International Publication No. 07/105776 pamphlet [0076] to [0081] The compound and so on.

該些光酸產生劑中較佳為二苯基錪三氟甲磺酸鹽、二苯基錪九氟正丁磺酸鹽、二苯基錪芘磺酸鹽、二苯基錪正十二烷基苯磺酸鹽、二苯基錪10-樟腦磺酸鹽、二苯基錪萘磺酸鹽、雙(4-第三丁基苯基)錪三氟甲磺酸鹽、雙(4-第三丁基苯基)錪九氟正丁磺酸鹽、雙(4-第三丁基苯基)錪正十二烷基苯磺酸鹽、雙(4-第三丁基苯基)錪10-樟腦磺酸鹽、雙(4-第三丁基苯基)錪萘磺酸鹽,更佳為雙(4-第三丁基苯基)錪九氟正丁磺酸鹽。再者,該些光酸產生劑可單獨使用或將兩種以上混合而使用。 作為光酸產生劑,亦可較佳地使用於抗蝕劑組成物中後述的光酸產生劑。Among these photoacid generators, diphenylidium trifluoromethanesulfonate, diphenylinium nonafluoro-n-butanesulfonate, diphenylipyrene sulfonate, and diphenylinium-n-dodecane are preferred. Benzene sulfonate, diphenylidium 10-camphorsulfonate, diphenylinium naphthalenesulfonate, bis(4-tertiary butylphenyl) iodotrifluoromethanesulfonate, bis(4-th Tributylphenyl) iodonium nonafluoro-n-butanesulfonate, bis(4-tertiary butylphenyl) iododecylbenzenesulfonate, bis(4-tertiarybutylphenyl) iodonium 10 -Camphorsulfonate, bis(4-tert-butylphenyl) naphthalene sulfonate, more preferably bis(4-tert-butylphenyl) nonafluoro-n-butanesulfonate. In addition, these photoacid generators can be used individually or in mixture of 2 or more types. As a photoacid generator, the photoacid generator mentioned later can also be used suitably in a resist composition.

另外,作為藉由加熱而產生酸的酸產生劑(熱酸產生劑),例如可列舉:2,4,4,6-四溴環己二烯酮、安息香甲苯磺酸鹽、2-硝基苄基甲苯磺酸鹽、烷基磺酸鹽類等。該些熱酸產生劑可單獨使用或將兩種以上混合而使用。再者,作為酸產生劑,亦可併用光酸產生劑與熱酸產生劑。In addition, examples of acid generators (thermal acid generators) that generate acid by heating include 2,4,4,6-tetrabromocyclohexadienone, benzoin tosylate, and 2-nitro Benzyl toluene sulfonate, alkyl sulfonate, etc. These thermal acid generators can be used alone or in combination of two or more. Furthermore, as an acid generator, a photoacid generator and a thermal acid generator can also be used together.

作為酸產生劑的較佳的具體例,亦可列舉實施例中使用的T-1~T-9。As a preferable specific example of an acid generator, T-1 to T-9 used in an Example can also be mentioned.

作為酸產生劑的含有率,相對於具有芳香環的樹脂100質量份,較佳為100質量份以下,進而佳為0.1質量份~30質量份,特佳為0.1質量份~10質量份。The content of the acid generator is preferably 100 parts by mass or less relative to 100 parts by mass of the resin having an aromatic ring, more preferably 0.1 parts by mass to 30 parts by mass, and particularly preferably 0.1 parts by mass to 10 parts by mass.

<交聯劑> 藉由本發明的抗蝕劑底層膜形成用組成物含有交聯劑,抗蝕劑底層膜可於更低溫下硬化,形成相對於被處理基板的保護膜。 作為此種交聯劑,除了多核酚類以外,可使用各種硬化劑。作為所述多核酚類,例如可列舉:4,4'-聯苯二醇、4,4'-亞甲基雙酚、4,4'-亞乙基雙酚、雙酚A等雙核酚類;4,4',4''-次甲基三酚、4,4'-[1-[4-[1-(4-羥基苯基)-1-甲基乙基]苯基]亞乙基]雙酚等三核酚類;酚醛清漆等多酚類等。該些中,較佳為4,4'-[1-[4-[1-(4-羥基苯基)-1-甲基乙基]苯基]亞乙基]雙酚、酚醛清漆。再者,該些多核酚類可單獨使用或將兩種以上混合而使用。 另外,作為所述硬化劑,例如可列舉:二異氰酸酯類、或環氧化合物、三聚氰胺系硬化劑、苯並胍胺系硬化劑、甘脲系硬化劑等。該些中,較佳為三聚氰胺系硬化劑、甘脲系硬化劑,更佳為1,3,4,6-四(甲氧基甲基)甘脲。再者,該些硬化劑可單獨使用或將兩種以上混合而使用。另外,作為交聯劑,亦可併用多核酚類與硬化劑。<Crosslinking agent> When the composition for forming a resist underlayer film of the present invention contains a crosslinking agent, the resist underlayer film can be cured at a lower temperature to form a protective film with respect to the substrate to be processed. As such a crosslinking agent, in addition to polynuclear phenols, various hardeners can be used. Examples of the polynuclear phenols include binuclear phenols such as 4,4'-biphenyldiol, 4,4'-methylene bisphenol, 4,4'-ethylene bisphenol, and bisphenol A. ; 4,4',4''-Methylene triphenol, 4,4'-[1-[4-[1-(4-hydroxyphenyl)-1-methylethyl]phenyl]ethylene Base] trinuclear phenols such as bisphenol; polyphenols such as novolac. Among these, 4,4'-[1-[4-[1-(4-hydroxyphenyl)-1-methylethyl]phenyl]ethylene]bisphenol and novolac are preferred. Moreover, these polynuclear phenols can be used individually or in mixture of 2 or more types. In addition, examples of the curing agent include diisocyanates, epoxy compounds, melamine curing agents, benzoguanamine curing agents, glycoluril curing agents, and the like. Among these, melamine-based hardeners and glycoluril-based hardeners are preferred, and 1,3,4,6-tetra(methoxymethyl)glycururil is more preferred. Furthermore, these hardeners can be used alone or in combination of two or more kinds. In addition, as a crosslinking agent, a polynuclear phenol and a hardener may be used in combination.

作為交聯劑的較佳的具體例,亦可列舉實施例中使用的CL-1~CL-10。As preferred specific examples of the crosslinking agent, CL-1 to CL-10 used in the examples can also be cited.

作為交聯劑的含有率,相對於具有芳香環的樹脂100質量份,較佳為100質量份以下,進而佳為1質量份~20質量份,特佳為1質量份~10質量份。The content of the crosslinking agent is preferably 100 parts by mass or less with respect to 100 parts by mass of the resin having an aromatic ring, more preferably 1 part by mass to 20 parts by mass, and particularly preferably 1 part by mass to 10 parts by mass.

<溶劑> 本發明的抗蝕劑底層膜形成用組成物可包含任意的溶劑。作為溶劑,例如可列舉:烷二醇單烷基醚羧酸酯、烷二醇單烷基醚、乳酸烷基酯、烷氧基丙酸烷基酯、環狀內酯(較佳為碳數4~10)、可具有環的單酮化合物(較佳為碳數4~10)、碳酸伸烷基酯、烷氧基乙酸烷基酯、丙酮酸烷基酯等有機溶劑。 該些溶劑的具體例可列舉美國專利申請案公開2008/0187860號說明書的[0441]~[0455]中記載者。<Solvent> The composition for forming a resist underlayer film of the present invention may contain any solvent. As the solvent, for example, alkanediol monoalkyl ether carboxylate, alkanediol monoalkyl ether, alkyl lactate, alkyl alkoxypropionate, cyclic lactone (preferably carbon number 4-10), monoketone compounds that may have a ring (preferably carbon number 4-10), organic solvents such as alkylene carbonate, alkyl alkoxyacetate, alkyl pyruvate, etc. Specific examples of these solvents include those described in [0441] to [0455] in the specification of U.S. Patent Application Publication No. 2008/0187860.

於本發明中,可使用將結構中含有羥基的溶劑與不含羥基的溶劑混合而成的混合溶劑作為有機溶劑。 作為含有羥基的溶劑、不含羥基的溶劑,可適宜選擇所述的例示化合物,作為含有羥基的溶劑,較佳為烷二醇單烷基醚、乳酸烷基酯等,更佳為丙二醇單甲醚(別名1-甲氧基-2-丙醇)、乳酸乙酯、2-羥基異丁酸甲酯。另外,作為不含羥基的溶劑,較佳為烷二醇單烷基醚乙酸酯、烷基烷氧基丙酸酯、可含有環的單酮化合物、環狀內酯、乙酸烷基酯等,該些中特佳為丙二醇單甲醚乙酸酯(別名1-甲氧基-2-乙醯氧基丙烷)、乙基乙氧基丙酸酯、2-庚酮、γ-丁內酯、環己酮、乙酸丁酯,最佳為丙二醇單甲醚乙酸酯、乙基乙氧基丙酸酯、2-庚酮。 含有羥基的溶劑與不含羥基的溶劑的混合比(質量)為1/99~99/1,較佳為10/90~90/10,進而佳為20/80~60/40。就塗佈均勻性的方面而言,特佳為含有50質量%以上的不含羥基的溶劑的混合溶劑。 溶劑較佳為包含丙二醇單甲醚乙酸酯,更佳為丙二醇單甲醚乙酸酯單獨溶劑、或含有丙二醇單甲醚乙酸酯的兩種以上的混合溶劑。 作為溶劑的較佳的具體例,亦可列舉實施例中使用的F-1~F-9。In the present invention, a mixed solvent obtained by mixing a solvent containing a hydroxyl group in a structure and a solvent not containing a hydroxyl group can be used as an organic solvent. As a solvent containing a hydroxyl group and a solvent not containing a hydroxyl group, the exemplified compounds can be appropriately selected. As the solvent containing a hydroxyl group, an alkanediol monoalkyl ether, an alkyl lactate, etc. are preferred, and propylene glycol monomethyl is more preferred. Ether (alias 1-methoxy-2-propanol), ethyl lactate, methyl 2-hydroxyisobutyrate. In addition, as a solvent that does not contain a hydroxyl group, an alkanediol monoalkyl ether acetate, an alkyl alkoxy propionate, a ring-containing monoketone compound, a cyclic lactone, an alkyl acetate, etc. are preferred. Among these, particularly preferred are propylene glycol monomethyl ether acetate (alias 1-methoxy-2-acetoxypropane), ethyl ethoxy propionate, 2-heptanone, and γ-butyrolactone , Cyclohexanone, butyl acetate, most preferably propylene glycol monomethyl ether acetate, ethyl ethoxy propionate, 2-heptanone. The mixing ratio (mass) of the hydroxyl-containing solvent and the hydroxyl-free solvent is 1/99 to 99/1, preferably 10/90 to 90/10, and more preferably 20/80 to 60/40. In terms of coating uniformity, a mixed solvent containing 50% by mass or more of a solvent that does not contain a hydroxyl group is particularly preferable. The solvent preferably contains propylene glycol monomethyl ether acetate, more preferably propylene glycol monomethyl ether acetate alone or a mixed solvent of two or more containing propylene glycol monomethyl ether acetate. As preferred specific examples of the solvent, F-1 to F-9 used in the examples can also be cited.

作為抗蝕劑底層膜形成用組成物的固體成分濃度的下限,較佳為0.1質量%以上,更佳為1質量%以上,進而佳為2質量%以上,特佳為3質量%以上。作為所述固體成分濃度的上限,較佳為55質量%以下,更佳為50質量%以下,進而佳為45質量%以下,特佳為40質量%以下。The lower limit of the solid content concentration of the resist base film forming composition is preferably 0.1% by mass or more, more preferably 1% by mass or more, still more preferably 2% by mass or more, and particularly preferably 3% by mass or more. The upper limit of the solid content concentration is preferably 55% by mass or less, more preferably 50% by mass or less, still more preferably 45% by mass or less, and particularly preferably 40% by mass or less.

<其他任意成分> 抗蝕劑底層膜形成用組成物除所述成分以外,視需要亦可含有熱硬化性聚合體、放射線吸收劑、保存穩定劑、消泡劑、接著助劑等其他任意成分。<Other optional ingredients> In addition to the above-mentioned components, the composition for forming a resist underlayer film may contain other optional components such as a thermosetting polymer, a radiation absorber, a storage stabilizer, an antifoaming agent, and an adhesive agent as necessary.

(圖案形成方法) 其次,對本發明的圖案形成方法進行說明。 本發明的圖案形成方法較佳為包括: (1)於基板上利用本發明的抗蝕劑底層膜形成用組成物形成抗蝕劑底層膜的步驟; (2)於抗蝕劑底層膜上利用抗蝕劑組成物形成抗蝕劑膜的步驟; (3)對抗蝕劑膜進行曝光的步驟; (4)對經曝光的抗蝕劑膜進行顯影形成抗蝕劑圖案的步驟;以及 (5)將抗蝕劑圖案作為遮罩進行蝕刻形成圖案的步驟。(Pattern forming method) Next, the pattern forming method of the present invention will be described. The pattern forming method of the present invention preferably includes: (1) A step of forming a resist underlayer film on a substrate using the composition for forming a resist underlayer film of the present invention; (2) The step of forming a resist film with a resist composition on the resist underlayer film; (3) The step of exposing the resist film; (4) The step of developing the exposed resist film to form a resist pattern; and (5) A step of etching the resist pattern as a mask to form a pattern.

[步驟(1)] 本發明的圖案形成方法的步驟(1)是於基板(亦稱為「被處理基板」)上利用本發明的抗蝕劑底層膜形成用組成物形成抗蝕劑底層膜的步驟。 步驟(1)中的被處理基板可設置於基底層上。 基底層、及被處理基板的材料並無特別限定,分別可使用例如矽、SiN、SiO2 等無機基板、旋塗式玻璃(Spin on Glass,SOG)等塗佈系無機基板等、積體電路(Integrated Circuit,IC)等的半導體製造步驟、液晶、熱能頭等的電路基板的製造步驟、以及其他感光蝕刻加工的微影步驟中一般使用的基板。 特別是作為被處理基板,可較佳地列舉矽(Si)基板。[Step (1)] Step (1) of the pattern forming method of the present invention is to form a resist base film on a substrate (also referred to as a "substrate to be processed") using the resist base film forming composition of the present invention A step of. The substrate to be processed in step (1) may be disposed on the base layer. The base layer, and the material substrate to be processed is not particularly limited, each may be used, for example silicon, SiN, SiO 2 and other inorganic substrates, SOG (Spin on Glass, SOG) substrate or the like based inorganic coating and the like, integrated circuit (Integrated Circuit, IC) and other semiconductor manufacturing steps, circuit boards such as liquid crystals, thermal heads, and other circuit substrates, and other substrates generally used in photolithography steps of photosensitive etching processing. In particular, as the substrate to be processed, a silicon (Si) substrate can be preferably cited.

另外,被處理基板亦可為階差基板。所謂階差基板,是於基板上形成有至少一個階差形狀的基板。 於被處理基板為階差基板的情況下,所謂抗蝕劑底層膜的膜厚是指自階差基板上的底表面至所形成的抗蝕劑底層膜的上表面的高度。 例如,於向被處理基板注入離子的形態中,作為階差基板,可使用於平面的基板上鰭片或閘極進行了圖案化的基板。於如此鰭片或閘極進行了圖案化的階差基板上塗佈抗蝕劑底層膜的情況下,所謂抗蝕劑底層膜的膜厚,是指如所述般自階差基板上的底表面至所形成的抗蝕劑底層膜的上表面的高度,而非自鰭片或閘極的上表面至所形成的抗蝕劑底層膜的上表面的高度。 關於鰭片及閘極的尺寸(寬度、長度、高度等)、間隔、結構、構成等,例如可適宜應用「電子資訊通訊學會誌」第91卷第1期200825頁~29頁「最前端FinFET製程、積體化技術」、或「日本應用物理學雜誌(Jpn. J. Appl. Phys.)」第42卷(2003)第4142頁~4146頁第一部分,第6B期2003年6月「藉由方向相依蝕刻與電子束微影製造的鰭片型雙閘極金氧半場效電晶體(Fin-Type Double-Gate Metal-Oxide-Semiconductor Field-Effect Transistors Fabricated by Orientation-Dependent Etching and Electron Beam Lithography)」中記載者。In addition, the substrate to be processed may also be a stepped substrate. The so-called stepped substrate is a substrate in which at least one stepped shape is formed on the substrate. When the substrate to be processed is a stepped substrate, the thickness of the resist underlayer film refers to the height from the bottom surface on the stepped substrate to the upper surface of the formed resist underlayer film. For example, in the form of implanting ions into the substrate to be processed, as a stepped substrate, a substrate having fins or gates patterned on a flat substrate can be used. In the case of coating a resist underlayer film on a stepped substrate with patterned fins or gates in this way, the thickness of the resist underlayer film refers to the bottom layer on the stepped substrate as described above. The height from the surface to the upper surface of the formed resist underlayer film, rather than the height from the upper surface of the fin or gate to the upper surface of the formed resist underlayer film. Regarding the dimensions (width, length, height, etc.), spacing, structure, and composition of fins and gates, for example, "Journal of the Society of Electronic Information and Communications" Vol. 91, No. 1, 2008, pp. 25-29, "Front-end FinFET" Process, Integrated Technology", or "Jpn. J. Appl. Phys." Vol. 42 (2003) Pages 4142 to 4146, Part 1, Issue 6B, June 2003 Fin-Type Double-Gate Metal-Oxide-Semiconductor Field-Effect Transistors Fabricated by Orientation-Dependent Etching and Electron Beam Lithography Recorded in ".

作為階差基板,例如可列舉:具有槽寬為曝光波長以下(較佳為100 nm以下,更佳為40 nm以下,通常為15 nm以上)、深度為100 nm以下(較佳為50 nm~100 nm,更佳為65 nm~100 nm)的槽部的階差基板、或具有直徑為曝光波長以下(較佳為100 nm以下,更佳為40 nm以下,通常為15 nm以上)、深度為100 nm以下(較佳為50 nm~100 nm,更佳為65 nm~100 nm)的圓筒狀凹部的階差基板等。 作為具有所述槽部的階差基板,可列舉例如以間距20 nm~200 nm(較佳為50 nm~150 nm,更佳為70 nm~120 nm)等間隔重覆具有多個槽的階差基板等。 另外,作為具有所述圓筒狀凹部的階差基板,可列舉例如以間距20 nm~200 nm(較佳為50 nm~150 nm,更佳為70 nm~120 nm)等間隔重覆具有多個圓筒狀凹部的階差基板等。As a stepped substrate, for example, a groove having a groove width of less than or equal to the exposure wavelength (preferably 100 nm or less, more preferably 40 nm or less, and usually 15 nm or more) and a depth of 100 nm or less (preferably 50 nm to 50 nm) can be mentioned. 100 nm, more preferably 65 nm-100 nm) of the stepped substrate of the groove part, or having a diameter of less than the exposure wavelength (preferably 100 nm or less, more preferably 40 nm or less, usually 15 nm or more), depth It is a stepped substrate or the like of a cylindrical concave portion of 100 nm or less (preferably 50 nm to 100 nm, more preferably 65 nm to 100 nm). As the step substrate having the groove portion, for example, a step having a plurality of grooves is repeated at equal intervals at a pitch of 20 nm to 200 nm (preferably 50 nm to 150 nm, more preferably 70 nm to 120 nm). Poor substrate, etc. In addition, as a stepped substrate having the cylindrical concave portion, for example, there can be mentioned that there are multiple repetitions at equal intervals at a pitch of 20 nm to 200 nm (preferably 50 nm to 150 nm, more preferably 70 nm to 120 nm). A stepped substrate with a cylindrical recess, etc.

抗蝕劑底層膜的膜厚並無特別限定,作為下限,較佳為10 nm以上,更佳為30 nm以上,進而佳為50 nm以上。作為上限,較佳為3000 nm以下,更佳為2000 nm以下,進而佳為500 nm以下。The thickness of the resist underlayer film is not particularly limited, and as the lower limit, it is preferably 10 nm or more, more preferably 30 nm or more, and still more preferably 50 nm or more. As the upper limit, it is preferably 3000 nm or less, more preferably 2000 nm or less, and still more preferably 500 nm or less.

被處理基板及抗蝕劑底層膜的形成可藉由根據所使用的材料的種類,適宜採用公知的方法來進行。 於基底層上形成被處理基板的情況下,作為其方法,可列舉:於基底層上基於先前公知的旋塗法、噴霧法、輥塗法、浸漬法等塗佈含有構成被處理基板的材料的液體並使其乾燥的方法、或者使用化學氣相沈積(Chemical Vapor Deposition,CVD)法堆積構成被處理基板的材料的方法等。 作為形成抗蝕劑底層膜的方法,可列舉於被處理基板上基於先前公知的旋塗法、噴霧法、輥塗法、浸漬法等塗佈抗蝕劑底層膜形成用組成物並使其乾燥的方法等。The formation of the substrate to be processed and the resist underlayer film can be performed by appropriately employing a known method according to the type of material used. In the case of forming the substrate to be processed on the base layer, the method may include: coating the base layer with materials that constitute the substrate to be processed based on a conventionally known spin coating method, spray method, roll coating method, dipping method, etc. The method of drying the liquid, or the method of depositing the material constituting the substrate to be processed by the chemical vapor deposition (Chemical Vapor Deposition, CVD) method. As a method of forming a resist underlayer film, it can be exemplified that the composition for forming a resist underlayer film is applied and dried on the substrate to be processed based on a conventionally known spin coating method, spray method, roll coating method, dipping method, etc. Method and so on.

於本步驟中,較佳為對藉由塗佈抗蝕劑底層膜形成用組成物而形成的塗膜進行加熱。藉此,形成抗蝕劑底層膜。 塗膜的加熱通常於大氣下進行。作為加熱溫度的下限,較佳為150℃以上,更佳為200℃以上。作為加熱溫度的上限,較佳為500℃以下,更佳為450℃以下,進而佳為420℃以下。藉由加熱溫度的範圍為所述範圍,可使交聯反應良好地進行。作為加熱時間的下限,並無特別限制,較佳為15秒以上,更佳為30秒以上,進而佳為45秒以上。作為加熱時間的上限,並無特別限制,較佳為1200秒以下,更佳為600秒以下,進而佳為300秒以下。In this step, it is preferable to heat the coating film formed by applying the composition for forming a resist base film. In this way, a resist underlayer film is formed. The heating of the coating film is usually performed under the atmosphere. The lower limit of the heating temperature is preferably 150°C or higher, more preferably 200°C or higher. The upper limit of the heating temperature is preferably 500°C or lower, more preferably 450°C or lower, and still more preferably 420°C or lower. When the heating temperature is in the above range, the crosslinking reaction can proceed satisfactorily. The lower limit of the heating time is not particularly limited, but it is preferably 15 seconds or more, more preferably 30 seconds or more, and still more preferably 45 seconds or more. The upper limit of the heating time is not particularly limited, but it is preferably 1200 seconds or less, more preferably 600 seconds or less, and still more preferably 300 seconds or less.

於150℃以上且500℃以下的溫度下加熱塗膜之前,可於60℃以上且250℃以下的溫度下進行預加熱。作為預加熱中的加熱時間的下限,較佳為10秒以上,更佳為30秒以上。作為所述加熱時間的上限,較佳為300秒以下,更佳為180秒以下。藉由進行該預加熱,使溶媒預先氣化而使塗膜緻密,藉此可高效率地進行於後述的加熱時引起的脫氫反應。Before heating the coating film at a temperature above 150°C and below 500°C, preheating may be performed at a temperature above 60°C and below 250°C. The lower limit of the heating time in the preheating is preferably 10 seconds or more, and more preferably 30 seconds or more. The upper limit of the heating time is preferably 300 seconds or less, and more preferably 180 seconds or less. By performing this preheating, the solvent is vaporized in advance to make the coating film dense, and thereby the dehydrogenation reaction caused during the heating described later can be efficiently performed.

[步驟(2)] 本發明的圖案形成方法的步驟(2)是於抗蝕劑底層膜上利用抗蝕劑組成物形成抗蝕劑膜的步驟。[Step (2)] The step (2) of the pattern forming method of the present invention is a step of forming a resist film on the resist base film using the resist composition.

(抗蝕劑組成物) 以下對抗蝕劑組成物進行說明。 抗蝕劑組成物可為正型抗蝕劑組成物,亦可為負型抗蝕劑組成物。 另外,抗蝕劑組成物典型而言為化學增幅型的抗蝕劑組成物。(Resist composition) The resist composition will be described below. The resist composition may be a positive resist composition or a negative resist composition. In addition, the resist composition is typically a chemically amplified resist composition.

本發明的圖案形成方法中,亦可於抗蝕劑底層膜與抗蝕劑膜之間設置一個以上的中間膜。 於抗蝕劑底層膜與抗蝕劑膜之間設置中間膜的情況下,中間膜較佳為具有選自由Si原子及Ti原子所組成的群組中的至少一個原子,作為形成此種中間膜的方法,可列舉基於先前公知的旋塗法、噴霧法、輥塗法、浸漬法等進行塗佈並使其乾燥的方法、或使用CVD法堆積構成中間膜的材料的方法等。 於抗蝕劑底層膜與抗蝕劑膜之間不設置中間膜的情況下,抗蝕劑組成物並無特別限定,較佳為含有具有選自由Si原子及Ti原子所組成的群組中的至少一個原子的樹脂。In the pattern forming method of the present invention, more than one intermediate film may be provided between the resist base film and the resist film. In the case where an intermediate film is provided between the resist underlayer film and the resist film, the intermediate film preferably has at least one atom selected from the group consisting of Si atoms and Ti atoms, as the intermediate film is formed Examples of methods include a method of coating and drying by a conventionally known spin coating method, spray method, roll coating method, dipping method, etc., or a method of depositing materials constituting the interlayer film using the CVD method. In the case where an intermediate film is not provided between the resist underlayer film and the resist film, the resist composition is not particularly limited, and preferably contains a composition selected from the group consisting of Si atoms and Ti atoms. At least one atom of resin.

以下,對於本發明的圖案形成方法中可較佳地使用的抗蝕劑組成物的各成分進行說明。Hereinafter, each component of the resist composition that can be preferably used in the pattern forming method of the present invention will be described.

·樹脂(A) 抗蝕劑組成物通常包含樹脂(亦稱為「樹脂(A)」)。 樹脂(A)較佳為含有具有酸分解性基的重複單元。 此處,酸分解性基是指因酸的作用而分解並產生極性基的基。 酸分解性基較佳為具有極性基由因酸的作用而分解並脫離的基(脫離基)保護的結構。 作為極性基,可列舉:酚性羥基、羧基、氟化醇基(較佳為六氟異丙醇基)、磺酸基、磺醯胺基、磺醯基醯亞胺基、(烷基磺醯基)(烷基羰基)亞甲基、(烷基磺醯基)(烷基羰基)醯亞胺基、雙(烷基羰基)亞甲基、雙(烷基羰基)醯亞胺基、雙(烷基磺醯基)亞甲基、雙(烷基磺醯基)醯亞胺基、三(烷基羰基)亞甲基、三(烷基磺醯基)亞甲基等酸性基(於2.38質量%氫氧化四甲基銨水溶液中解離的基)、及醇性羥基等。·Resin (A) The resist composition usually contains resin (also referred to as "resin (A)"). The resin (A) preferably contains a repeating unit having an acid-decomposable group. Here, the acid-decomposable group refers to a group that is decomposed by the action of an acid to generate a polar group. The acid-decomposable group preferably has a structure in which the polar group is protected by a group that is decomposed and released by the action of an acid (a leaving group). Examples of polar groups include: phenolic hydroxyl groups, carboxyl groups, fluorinated alcohol groups (preferably hexafluoroisopropanol groups), sulfonic acid groups, sulfonamide groups, sulfonamide groups, (alkylsulfonyl groups) (Alkyl)(alkylcarbonyl)methylene, (alkylsulfonyl)(alkylcarbonyl)imino, bis(alkylcarbonyl)methylene, bis(alkylcarbonyl)imino, Acidic groups such as bis(alkylsulfonyl)methylene, bis(alkylsulfonyl)imino, tris(alkylcarbonyl)methylene, and tris(alkylsulfonyl)methylene ( The groups dissociated in 2.38% by mass tetramethylammonium hydroxide aqueous solution), alcoholic hydroxyl groups, and the like.

再者,所謂醇性羥基是指鍵結於烴基、且直接鍵結於芳香環上的羥基(酚性羥基)以外的羥基,作為羥基,將α位經氟原子等拉電子性基取代的脂肪族醇基(例如,氟化醇基(六氟異丙醇基等))除外。作為醇性羥基,較佳為pKa(酸解離常數)為12~20的羥基。In addition, the alcoholic hydroxyl group refers to a hydroxyl group other than the hydroxyl group (phenolic hydroxyl group) that is directly bonded to the aromatic ring and is bonded to a hydrocarbon group. As a hydroxyl group, the α-position is substituted with an electron-withdrawing group such as a fluorine atom. Except for group alcohol groups (for example, fluorinated alcohol groups (hexafluoroisopropanol groups, etc.)). The alcoholic hydroxyl group is preferably a hydroxyl group having a pKa (acid dissociation constant) of 12 to 20.

作為較佳的極性基,可列舉:羧基、氟化醇基(較佳為六氟異丙醇基)、及磺酸基。Examples of preferred polar groups include carboxyl groups, fluorinated alcohol groups (preferably hexafluoroisopropanol groups), and sulfonic acid groups.

作為酸分解性基而較佳的基為由因酸而脫離的基取代該些基的氫原子而成的基。 作為因酸而脫離的基(脫離基),例如可列舉:-C(R36 )(R37 )(R38 )、-C(R36 )(R37 )(OR39 )、及-C(R01 )(R02 )(OR39 )等。 式中,R36 ~R39 分別獨立地表示烷基、環烷基、芳基、芳烷基、或烯基。R36 與R37 可相互鍵結而形成環。 R01 及R02 分別獨立地表示氫原子、烷基、環烷基、芳基、芳烷基、或烯基。A preferable group as an acid-decomposable group is a group obtained by substituting a hydrogen atom of these groups with groups detached by acid. Examples of the group to be removed by acid (a leaving group) include -C(R 36 )(R 37 )(R 38 ), -C(R 36 )(R 37 )(OR 39 ), and -C( R 01 )(R 02 )(OR 39 ) and so on. In the formula, R 36 to R 39 each independently represent an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, or an alkenyl group. R 36 and R 37 may be bonded to each other to form a ring. R 01 and R 02 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, or an alkenyl group.

酸分解性基較佳為枯基酯基、烯醇酯基、縮醛酯基、或三級烷基酯基等,更佳為三級烷基酯基。The acid-decomposable group is preferably a cumyl ester group, an enol ester group, an acetal ester group, or a tertiary alkyl ester group, and more preferably a tertiary alkyl ester group.

樹脂(A)較佳為具有下述通式(AI)所表示的重複單元作為具有酸分解性基的重複單元。通式(AI)所表示的重複單元因酸的作用而產生羧基作為極性基。The resin (A) preferably has a repeating unit represented by the following general formula (AI) as a repeating unit having an acid-decomposable group. The repeating unit represented by the general formula (AI) generates a carboxyl group as a polar group due to the action of an acid.

[化13]

Figure 02_image027
[化13]
Figure 02_image027

通式(AI)中, Xa1 表示氫原子、烷基、氰基或鹵素原子。 T表示單鍵或二價連結基。 Rx1 ~Rx3 分別獨立地表示烷基或環烷基。 Rx1 ~Rx3 的兩個可鍵結而形成環結構。In the general formula (AI), Xa 1 represents a hydrogen atom, an alkyl group, a cyano group, or a halogen atom. T represents a single bond or a divalent linking group. Rx 1 to Rx 3 each independently represent an alkyl group or a cycloalkyl group. Two of Rx 1 to Rx 3 may be bonded to form a ring structure.

另外,樹脂(A)亦較佳為含有具有酚性羥基由因酸的作用而分解並脫離的脫離基保護的結構的重複單元作為具有酸分解性基的重複單元。再者,於本說明書中,所謂酚性羥基是由羥基取代芳香族烴基的氫原子而成的基。芳香族烴基的芳香環為單環或多環的芳香環,可列舉苯環及萘環等。In addition, the resin (A) also preferably contains a repeating unit having a structure in which a phenolic hydroxyl group is protected by a leaving group that is decomposed and detached by the action of an acid, as a repeating unit having an acid-decomposable group. In addition, in this specification, a phenolic hydroxyl group is a group which substituted the hydrogen atom of an aromatic hydrocarbon group by a hydroxyl group. The aromatic ring of the aromatic hydrocarbon group is a monocyclic or polycyclic aromatic ring, and examples thereof include a benzene ring and a naphthalene ring.

作為具有酚性羥基由因酸的作用而分解並脫離的脫離基保護的結構的重複單元,較佳為下述通式(AII)所表示的重複單元。The repeating unit having a structure in which the phenolic hydroxyl group is decomposed and removed by the action of an acid is preferably a repeating unit represented by the following general formula (AII).

[化14]

Figure 02_image029
[化14]
Figure 02_image029

通式(AII)中, R61 、R62 及R63 分別獨立地表示氫原子、烷基、環烷基、鹵素原子、氰基、或烷氧基羰基。其中,R62 亦可與Ar6 鍵結而形成環,該情況下的R62 表示單鍵或伸烷基。 X6 表示單鍵、-COO-、或-CONR64 -。R64 表示氫原子或烷基。 L6 表示單鍵或伸烷基。 Ar6 表示(n+1)價的芳香族烴基,於與R62 鍵結而形成環的情況下表示(n+2)價的芳香族烴基。 於n≧2的情況下,Y2 分別獨立地表示氫原子或因酸的作用而脫離的基。其中,Y2 的至少一個表示因酸的作用而脫離的基。作為Y2 的因酸的作用而脫離的基較佳為作為所述脫離基而列舉的基。 n表示1~4的整數。In the general formula (AII), R 61 , R 62 and R 63 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group, or an alkoxycarbonyl group. However, R 62 may be bonded to Ar 6 to form a ring. In this case, R 62 represents a single bond or an alkylene group. X 6 represents a single bond, -COO-, or -CONR 64 -. R 64 represents a hydrogen atom or an alkyl group. L 6 represents a single bond or an alkylene group. Ar 6 represents an (n+1)-valent aromatic hydrocarbon group, and when it bonds with R 62 to form a ring, it represents an (n+2)-valent aromatic hydrocarbon group. In the case of n≧2, Y 2 each independently represents a hydrogen atom or a group released by the action of an acid. Among them, at least one of Y 2 represents a group that is detached by the action of an acid. The group that is detached by the action of an acid as Y 2 is preferably the group exemplified as the detaching group. n represents an integer of 1-4.

所述各基可具有取代基,作為取代基,例如可列舉:烷基(碳數1~4)、鹵素原子、羥基、烷氧基(碳數1~4)、羧基、及烷氧基羰基(碳數2~6)等,較佳為碳數8以下的基。Each of the above groups may have a substituent. Examples of the substituent include an alkyl group (carbon number 1 to 4), a halogen atom, a hydroxyl group, an alkoxy group (carbon number 1 to 4), a carboxyl group, and an alkoxycarbonyl group. (C2-6), etc., a group having 8 or less carbon atoms is preferred.

具有酸分解性基的重複單元可為一種,亦可併用兩種以上。The repeating unit having an acid-decomposable group may be one type, or two or more types may be used in combination.

相對於樹脂(A)的所有重複單元,樹脂(A)中所含的具有酸分解性基的重複單元的含量(於存在多個具有酸分解性基的重複單元的情況下為其合計)較佳為20莫耳%~90莫耳%,更佳為40莫耳%~80莫耳%。其中,較佳為樹脂(A)具有所述通式(AI)所表示的重複單元,更佳為所述通式(AI)所表示的重複單元相對於樹脂(A)的所有重複單元的含量為40莫耳%以上。Relative to all the repeating units of the resin (A), the content of the acid-decomposable group-containing repeating unit contained in the resin (A) (in the case where there are multiple acid-decomposable group-containing repeating units, the total is relatively high) It is preferably 20 mol% to 90 mol%, more preferably 40 mol% to 80 mol%. Among them, it is preferable that the resin (A) has the repeating unit represented by the general formula (AI), and more preferably the content of the repeating unit represented by the general formula (AI) relative to all the repeating units of the resin (A) It is more than 40 mol%.

樹脂(A)較佳為具有選自由內酯結構、磺內酯結構、及碳酸酯結構所組成的群組中的至少一種,更佳為含有具有選自由內酯結構、磺內酯結構、及碳酸酯結構所組成的群組中的至少一種的重複單元。The resin (A) preferably has at least one selected from the group consisting of a lactone structure, a sultone structure, and a carbonate structure, and more preferably contains a resin (A) selected from the group consisting of a lactone structure, a sultone structure, and A repeating unit of at least one of the group consisting of the carbonate structure.

作為內酯結構或磺內酯結構,只要具有內酯結構或磺內酯結構,則可使用任一種,較佳為5員環~7員環內酯結構或5員環~7員環磺內酯結構,更佳為其他環結構以形成雙環結構及/或螺環結構的形式與5員環~7員環內酯結構縮環而成的結構、或者其他環結構以形成雙環結構及/或螺環結構的形式與5員環~7員環磺內酯結構縮環而成的結構。進而佳為含有具有下述通式(LC1-1)~通式(LC1-21)的任一者所表示的內酯結構、或下述通式(SL1-1)~通式(SL1-3)的任一者所表示的磺內酯結構的重複單元。另外,內酯結構或磺內酯結構亦可直接鍵結於主鏈。 其中,作為內酯結構,較佳為通式(LC1-1)、通式(LC1-4)、通式(LC1-5)、通式(LC1-6)、通式(LC1-13)、通式(LC1-14)、或通式(LC1-17),更佳為通式(LC1-4)所表示的內酯結構。藉由使用此種特定的內酯結構,線邊緣粗糙度(line edge roughness,LER)、顯影缺陷變良好。As the lactone structure or sultone structure, any one may be used as long as it has a lactone structure or a sultone structure, preferably a 5-membered to 7-membered cyclic lactone structure or a 5-membered to 7-membered cyclic sultone The ester structure is more preferably a structure formed by condensing other ring structures to form a bicyclic structure and/or a spiro ring structure with a 5- to 7-membered ring lactone structure, or other ring structures to form a bicyclic structure and/or A structure formed by condensing the form of the spiro ring structure with the 5-membered to 7-membered cyclic sultone structure. It is more preferable to contain a lactone structure represented by any one of the following general formula (LC1-1) to general formula (LC1-21), or the following general formula (SL1-1) to general formula (SL1-3 The repeating unit of the sultone structure represented by any one of ). In addition, the lactone structure or sultone structure may be directly bonded to the main chain. Among them, as the lactone structure, the general formula (LC1-1), the general formula (LC1-4), the general formula (LC1-5), the general formula (LC1-6), the general formula (LC1-13), General formula (LC1-14), or general formula (LC1-17), more preferably a lactone structure represented by general formula (LC1-4). By using this specific lactone structure, line edge roughness (LER) and development defects become better.

[化15]

Figure 02_image031
[化15]
Figure 02_image031

內酯結構部分或磺內酯結構部分可具有取代基(Rb2 ),亦可不具有取代基(Rb2 )。作為取代基(Rb2 ),可列舉:碳數1~8的烷基、碳數4~7的環烷基、碳數1~8的烷氧基、碳數2~8的烷氧基羰基、羧基、鹵素原子、羥基、氰基、及酸分解性基等,較佳為碳數1~4的烷基、氰基、或酸分解性基。n2 表示0~4的整數。於n2 為2以上時,存在多個的取代基(Rb2 )可相同亦可不同。另外,存在多個的取代基(Rb2 )彼此可鍵結而形成環。The lactone moiety or the sultone moiety may have a substituent (Rb 2 ) or may not have a substituent (Rb 2 ). Examples of the substituent (Rb 2 ) include alkyl groups having 1 to 8 carbons, cycloalkyl groups having 4 to 7 carbons, alkoxy groups having 1 to 8 carbons, and alkoxycarbonyl groups having 2 to 8 carbons. , Carboxyl group, halogen atom, hydroxyl group, cyano group, acid-decomposable group, etc., preferably C1-C4 alkyl group, cyano group, or acid-decomposable group. n 2 represents an integer of 0-4. When n 2 is 2 or more, a plurality of substituents (Rb 2 ) may be the same or different. In addition, a plurality of substituents (Rb 2 ) may be bonded to each other to form a ring.

於樹脂(A)含有具有內酯結構或磺內酯結構的重複單元的情況下,相對於樹脂(A)中的所有重複單元,具有內酯結構或磺內酯結構的重複單元的含量較佳為5莫耳%~60莫耳%,更佳為5莫耳%~55莫耳%,進而佳為10莫耳%~50莫耳%。When the resin (A) contains a repeating unit having a lactone structure or a sultone structure, the content of the repeating unit having a lactone structure or a sultone structure is preferable relative to all the repeating units in the resin (A) It is 5 mol% to 60 mol%, more preferably 5 mol% to 55 mol%, and still more preferably 10 mol% to 50 mol%.

具有碳酸酯結構(環狀碳酸酯結構)的重複單元較佳為下述通式(A-1)所表示的重複單元。The repeating unit having a carbonate structure (cyclic carbonate structure) is preferably a repeating unit represented by the following general formula (A-1).

[化16]

Figure 02_image033
[化16]
Figure 02_image033

通式(A-1)中,RA 1 表示氫原子或烷基。 RA 2 於n為2以上的情況下分別獨立地表示取代基。 A表示單鍵、或二價連結基。 Z表示與式中的-O-C(=O)-O-所表示的基一同形成單環或多環結構的原子團。n表示0以上的整數。 於樹脂(A)中,相對於構成樹脂(A)的所有重複單元,具有環狀碳酸酯結構的重複單元(較佳為通式(A-1)所表示的重複單元)的含有率較佳為3莫耳%~80莫耳%,更佳為3莫耳%~60莫耳%,進而佳為3莫耳%~45莫耳%,特佳為3莫耳%~30莫耳%,最佳為10莫耳%~15莫耳%。藉由設為此種含有率,可提高作為抗蝕劑的顯影性、低缺陷性、低線寬粗糙度(Line Width Roughness,LWR)、低曝光後烘烤(Post Exposure Bake,PEB)溫度依存性、輪廓等。In the general formula (A-1), R A 1 represents a hydrogen atom or an alkyl group. When n is 2 or more, R A 2 each independently represents a substituent. A represents a single bond or a divalent linking group. Z represents an atomic group that forms a monocyclic or polycyclic structure together with the group represented by -OC(=O)-O- in the formula. n represents an integer of 0 or more. In the resin (A), the content of the repeating unit having a cyclic carbonate structure (preferably the repeating unit represented by the general formula (A-1)) relative to all the repeating units constituting the resin (A) is better It is 3 mol%~80 mol%, more preferably 3 mol%~60 mol%, further preferably 3 mol%~45 mol%, particularly preferably 3 mol%~30 mol%, The best range is 10 mol% to 15 mol%. By setting such a content rate, it is possible to improve the developability as a resist, low defectivity, low line width roughness (LWR), and low post exposure bake (PEB) temperature dependence Sex, profile, etc.

樹脂(A)亦可含有具有酚性羥基的重複單元。 作為具有酚性羥基的重複單元,可列舉羥基苯乙烯重複單元、或羥基苯乙烯(甲基)丙烯酸酯重複單元。其中,作為具有酚性羥基的重複單元,較佳為下述通式(I)所表示的重複單元。The resin (A) may contain a repeating unit having a phenolic hydroxyl group. Examples of the repeating unit having a phenolic hydroxyl group include a hydroxystyrene repeating unit or a hydroxystyrene (meth)acrylate repeating unit. Among them, the repeating unit having a phenolic hydroxyl group is preferably a repeating unit represented by the following general formula (I).

[化17]

Figure 02_image035
[化17]
Figure 02_image035

式中, R41 、R42 及R43 分別獨立地表示氫原子、烷基、環烷基、鹵素原子、氰基、或烷氧基羰基。其中,R42 可與Ar4 鍵結而形成環,該情況下的R42 表示單鍵或伸烷基。 X4 表示單鍵、-COO-、或-CONR64 -,R64 表示氫原子或烷基。 L4 表示單鍵或二價連結基。 Ar4 表示(n+1)價的芳香族烴基,於與R42 鍵結而形成環的情況下,表示(n+2)價的芳香族烴基。 n表示1~5的整數。 出於使通式(I)所表示的重複單元高極性化的目的,亦較佳為n為2以上的整數、或X4 為-COO-、或-CONR64 -。In the formula, R 41 , R 42 and R 43 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group, or an alkoxycarbonyl group. Among them, R 42 may be bonded to Ar 4 to form a ring. In this case, R 42 represents a single bond or an alkylene group. X 4 represents a single bond, -COO-, or -CONR 64 -, and R 64 represents a hydrogen atom or an alkyl group. L 4 represents a single bond or a divalent linking group. Ar 4 represents an (n+1)-valent aromatic hydrocarbon group, and when it bonds with R 42 to form a ring, it represents an (n+2)-valent aromatic hydrocarbon group. n represents an integer of 1-5. For the purpose of increasing the polarity of the repeating unit represented by the general formula (I), it is also preferable that n is an integer of 2 or more, or X 4 is -COO- or -CONR 64 -.

於樹脂(A)中,相對於樹脂(A)中的所有重複單元,具有酚性羥基的重複單元的含量較佳為40莫耳%以上,更佳為50莫耳%以上,進而佳為60莫耳%以上。另外,於樹脂(A)中,相對於樹脂(A)中的所有重複單元,具有酚性羥基的重複單元的含量較佳為85莫耳%以下,更佳為80莫耳%以下。In the resin (A), relative to all the repeating units in the resin (A), the content of the repeating unit having a phenolic hydroxyl group is preferably 40 mol% or more, more preferably 50 mol% or more, and still more preferably 60 More than mol%. In addition, in the resin (A), the content of the repeating unit having a phenolic hydroxyl group is preferably 85 mol% or less, and more preferably 80 mol% or less with respect to all the repeating units in the resin (A).

樹脂(A)較佳為含有所述重複單元以外的具有羥基或氰基的重複單元。藉此,基板密接性、顯影液親和性提高。具有羥基或氰基的重複單元較佳為具有經羥基或氰基取代的脂環烴結構的重複單元,且較佳為不具有酸分解性基。作為經羥基或氰基取代的脂環烴結構中的脂環烴結構,較佳為金剛烷基、二金剛烷基、降冰片烷基。The resin (A) preferably contains a repeating unit having a hydroxyl group or a cyano group other than the repeating unit. This improves the substrate adhesion and developer affinity. The repeating unit having a hydroxyl group or a cyano group is preferably a repeating unit having an alicyclic hydrocarbon structure substituted with a hydroxyl group or a cyano group, and preferably does not have an acid-decomposable group. The alicyclic hydrocarbon structure in the alicyclic hydrocarbon structure substituted with a hydroxyl group or a cyano group is preferably an adamantyl group, a diadamantyl group, and a norbornyl group.

相對於樹脂(A)中的所有重複單元,具有羥基或氰基的重複單元的含量較佳為5莫耳%~40莫耳%,更佳為5莫耳%~30莫耳%,進而佳為10莫耳%~25莫耳%。Relative to all the repeating units in the resin (A), the content of the repeating unit having a hydroxyl group or a cyano group is preferably 5 mol% to 40 mol%, more preferably 5 mol% to 30 mol%, and more preferably It is 10 mol%~25 mol%.

樹脂(A)亦可含有具有鹼可溶性基的重複單元。作為鹼可溶性基,例如可列舉:羧基、磺醯胺基、磺醯基醯亞胺基、雙磺醯基醯亞胺基、及α位經拉電子性基取代的脂肪族醇基(例如六氟異丙醇基),較佳為含有具有羧基的重複單元。藉由含有具有鹼可溶性基的重複單元,於接觸孔用途中的解析性增加。作為具有鹼可溶性基的重複單元,較佳為如由丙烯酸、甲基丙烯酸形成的重複單元般的於樹脂的主鏈直接鍵結有鹼可溶性基的重複單元、或者經由連結基而於樹脂的主鏈鍵結有鹼可溶性基的重複單元、進而於聚合時使用具有鹼可溶性基的聚合起始劑或鏈轉移劑來導入至聚合物鏈的末端的任一者,連結基亦可具有單環或多環的環狀烴結構。亦較佳為使用源於(甲基)丙烯酸的重複單元。 相對於樹脂(A)中的所有重複單元,具有鹼可溶性基的重複單元的含量較佳為0莫耳%~20莫耳%,更佳為3莫耳%~15莫耳%,進而佳為5莫耳%~10莫耳%。The resin (A) may contain a repeating unit having an alkali-soluble group. As the alkali-soluble group, for example, a carboxyl group, a sulfonamido group, a sulfonamidoimino group, a bissulfonamidoimino group, and an aliphatic alcohol group substituted with an electron withdrawing group at the α position (for example, six The fluoroisopropanol group) preferably contains a repeating unit having a carboxyl group. By containing a repeating unit having an alkali-soluble group, the resolution for contact hole applications is increased. The repeating unit having an alkali-soluble group is preferably a repeating unit having an alkali-soluble group directly bonded to the main chain of the resin such as a repeating unit formed of acrylic acid and methacrylic acid, or a repeating unit having an alkali-soluble group directly bonded to the main chain of the resin via a linking group. Any one of the repeating unit to which an alkali-soluble group is bonded to the chain, and a polymerization initiator or a chain transfer agent having an alkali-soluble group is used during polymerization to be introduced to the end of the polymer chain, and the linking group may have a single ring or Polycyclic cyclic hydrocarbon structure. It is also preferable to use repeating units derived from (meth)acrylic acid. Relative to all the repeating units in the resin (A), the content of the repeating unit having an alkali-soluble group is preferably 0 mol% to 20 mol%, more preferably 3 mol% to 15 mol%, and still more preferably 5mol%~10mol%.

本發明的樹脂(A)可進而含有具有不含極性基(例如,所述鹼可溶性基、羥基、氰基等)的脂環烴結構、且不顯示出酸分解性的重複單元。作為此種重複單元,可列舉通式(IV)所表示的重複單元。The resin (A) of the present invention may further contain a repeating unit that has an alicyclic hydrocarbon structure that does not contain a polar group (for example, the alkali-soluble group, hydroxyl group, cyano group, etc.) and does not show acid decomposability. As such a repeating unit, the repeating unit represented by general formula (IV) can be mentioned.

[化18]

Figure 02_image037
[化18]
Figure 02_image037

所述通式(IV)中,R5 表示具有至少一個環狀結構、且不具有極性基的烴基。 Ra表示氫原子、烷基或-CH2 -O-Ra2 基。式中,Ra2 表示氫原子、烷基或醯基。Ra較佳為氫原子、甲基、羥基甲基、或三氟甲基,更佳為氫原子、甲基。 R5 所具有的環狀結構中包含單環式烴基及多環式烴基。作為單環式烴基,例如可列舉:環戊基、環己基、環庚基、環辛基等碳數3~12的環烷基;環己烯基等碳數3~12的環烯基。單環式烴基較佳為碳數3~7的單環式烴基,更佳為環戊基或環己基。In the general formula (IV), R 5 represents a hydrocarbon group having at least one cyclic structure and no polar group. Ra represents a hydrogen atom, an alkyl group, or a -CH 2 -O-Ra 2 group. In the formula, Ra 2 represents a hydrogen atom, an alkyl group or an acyl group. Ra is preferably a hydrogen atom, a methyl group, a hydroxymethyl group, or a trifluoromethyl group, and more preferably a hydrogen atom or a methyl group. The cyclic structure of R 5 includes a monocyclic hydrocarbon group and a polycyclic hydrocarbon group. Examples of monocyclic hydrocarbon groups include cycloalkyl groups having 3 to 12 carbon atoms such as cyclopentyl, cyclohexyl, cycloheptyl, and cyclooctyl; and cycloalkenyl groups having 3 to 12 carbon atoms such as cyclohexenyl. The monocyclic hydrocarbon group is preferably a monocyclic hydrocarbon group having 3 to 7 carbon atoms, more preferably a cyclopentyl group or a cyclohexyl group.

樹脂(A)可包含具有不含極性基的脂環烴結構、且不顯示出酸分解性的重複單元,亦可不包含。於包含該些重複單元的情況下,相對於樹脂(A)中的所有重複單元,該重複單元的含量較佳為1莫耳%~40莫耳%,更佳為2莫耳%~20莫耳%。Resin (A) may contain a repeating unit which has an alicyclic hydrocarbon structure which does not contain a polar group and does not show acid-decomposability, and may not contain it. In the case of including these repeating units, relative to all repeating units in the resin (A), the content of the repeating unit is preferably 1 mol% to 40 mol%, more preferably 2 mol% to 20 mol% ear%.

於抗蝕劑組成物為ArF曝光用時,就對於ArF光的透明性的方面而言,樹脂(A)亦較佳為實質上不具有芳香族基。更具體而言,樹脂(A)的所有重複單元中,具有芳香族基的重複單元較佳為整體的5莫耳%以下,更佳為3莫耳%以下,進而佳為0莫耳%,即不含有具有芳香族基的重複單元。另外,樹脂(A)較佳為具有單環或多環的脂環烴結構。When the resist composition is used for ArF exposure, it is also preferable that the resin (A) does not substantially have an aromatic group in terms of transparency to ArF light. More specifically, among all the repeating units of the resin (A), the repeating unit having an aromatic group is preferably 5 mol% or less of the whole, more preferably 3 mol% or less, and still more preferably 0 mol%, That is, it does not contain a repeating unit having an aromatic group. In addition, the resin (A) preferably has a monocyclic or polycyclic alicyclic hydrocarbon structure.

樹脂(A)亦較佳為重複單元的全部包含(甲基)丙烯酸酯系重複單元的樹脂。該情況下,可使用重複單元全部為甲基丙烯酸酯系重複單元的樹脂、重複單元全部為丙烯酸酯系重複單元的樹脂、重複單元全部由甲基丙烯酸酯系重複單元與丙烯酸酯系重複單元形成的樹脂的任一種樹脂。其中,較佳為丙烯酸酯系重複單元為所有重複單元的50莫耳%以下的樹脂。The resin (A) is also preferably a resin containing all of the repeating units (meth)acrylate-based repeating units. In this case, a resin in which all repeating units are methacrylate-based repeating units, resins in which all repeating units are acrylate-based repeating units, and all repeating units are formed of methacrylate-based repeating units and acrylate-based repeating units. Any kind of resin. Among them, a resin in which the acrylate-based repeating unit is 50 mol% or less of all repeating units is preferred.

樹脂(A)可依據常規方法(例如自由基聚合)來合成。 樹脂(A)的重量平均分子量較佳為1,000~200,000,更佳為2,000~40,000,進而佳為3,000~30,000,特佳為4,000~25,000。藉由將重量平均分子量設為1,000~200,000,可防止耐熱性或耐乾式蝕刻性的劣化,且可防止顯影性劣化、或黏度變高而導致製膜性劣化。 樹脂(A)的分散度(分子量分佈)通常為1.0~3.0,較佳為1.0~2.6,更佳為1.0~2.0,進而佳為1.1~2.0。分子量分佈越小,解析度、抗蝕劑形狀越優異,且抗蝕劑圖案的側壁平滑,粗糙度性優異。The resin (A) can be synthesized according to a conventional method (for example, radical polymerization). The weight average molecular weight of the resin (A) is preferably 1,000 to 200,000, more preferably 2,000 to 40,000, still more preferably 3,000 to 30,000, particularly preferably 4,000 to 25,000. By setting the weight average molecular weight to 1,000 to 200,000, deterioration of heat resistance or dry etching resistance can be prevented, and deterioration of developability or increase in viscosity can be prevented, resulting in deterioration of film forming properties. The degree of dispersion (molecular weight distribution) of the resin (A) is usually 1.0 to 3.0, preferably 1.0 to 2.6, more preferably 1.0 to 2.0, and still more preferably 1.1 to 2.0. The smaller the molecular weight distribution, the better the resolution and the shape of the resist, and the sidewalls of the resist pattern are smooth and the roughness is excellent.

相對於抗蝕劑組成物的總固體成分,樹脂(A)的含量較佳為20質量%以上,更佳為40質量%以上,進而佳為60質量%以上,特佳為80質量%以上。相對於抗蝕劑組成物的總固體成分,樹脂(A)的含量較佳為99質量%以下。 本說明書中所謂抗蝕劑組成物的總固體成分,是指自抗蝕劑組成物的所有組成中去除溶劑後的成分的總質量。另外,關於抗蝕劑組成物所謂「固體成分」,如所述般是自抗蝕劑組成物去除溶劑後的成分,例如於25℃下可為固體,亦可為液體。 樹脂(A)可使用一種,亦可使用兩種以上。The content of the resin (A) relative to the total solid content of the resist composition is preferably 20% by mass or more, more preferably 40% by mass or more, still more preferably 60% by mass or more, and particularly preferably 80% by mass or more. The content of the resin (A) is preferably 99% by mass or less with respect to the total solid content of the resist composition. In this specification, the total solid content of the resist composition refers to the total mass of the components after removing the solvent from all the compositions of the resist composition. In addition, the so-called "solid content" of the resist composition is a component obtained by removing the solvent from the resist composition as described above. For example, it may be solid or liquid at 25°C. One type of resin (A) may be used, or two or more types may be used.

·光酸產生劑 抗蝕劑組成物較佳為包含光酸產生劑(藉由光化射線或放射線的照射而產生酸的化合物)。 作為光酸產生劑,並無特別限定,較佳為藉由光化射線或放射線的照射而產生有機酸的化合物。 作為光酸產生劑,可適宜選擇使用光陽離子聚合的光起始劑、光自由基聚合的光起始劑、色素類的光消色劑、光變色劑、或微抗蝕劑等中所使用的藉由光化射線或放射線的照射而產生酸的公知的化合物及該些的混合物,例如可列舉日本專利特開2010-61043號公報的段落[0039]~段落[0103]中所記載的化合物、日本專利特開2013-4820號公報的段落[0284]~段落[0389]中所記載的化合物等,但本發明並不限定於此。 例如可列舉:重氮鎓鹽、鏻鹽、鋶鹽、錪鹽、醯亞胺磺酸酯、肟磺酸酯、重氮二碸、二碸、磺酸鄰硝基苄酯。·Photoacid generator The resist composition preferably contains a photoacid generator (a compound that generates an acid by irradiation with actinic rays or radiation). The photoacid generator is not particularly limited, but is preferably a compound that generates an organic acid by irradiation with actinic rays or radiation. As the photoacid generator, a photoinitiator for photocation polymerization, a photoinitiator for photoradical polymerization, a photodecolorizer for pigments, a photochromic agent, or a microresist can be appropriately selected and used. The well-known compounds that generate acid by irradiation with actinic rays or radiation and mixtures of these include, for example, the compounds described in paragraph [0039] to paragraph [0103] of JP 2010-61043 A , Japanese Patent Laid-Open No. 2013-4820, the compound described in paragraph [0284] to paragraph [0389], but the present invention is not limited thereto. For example, a diazonium salt, a phosphonium salt, a sulfonium salt, an iodonium salt, an imine sulfonate, an oxime sulfonate, diazodisulfonate, disulfonate, and o-nitrobenzyl sulfonate are mentioned.

作為抗蝕劑組成物含有的光酸產生劑,例如較佳為下述式(3)所表示的藉由光化射線或放射線的照射而產生酸的化合物(特定光酸產生劑)。As the photoacid generator contained in the resist composition, for example, a compound represented by the following formula (3) that generates an acid by irradiation with actinic rays or radiation (specific photoacid generator).

[化19]

Figure 02_image039
[化19]
Figure 02_image039

··陰離子 式(3)中, Xf分別獨立地表示氟原子、或經至少一個氟原子取代的烷基。 R4 及R5 分別獨立地表示氫原子、氟原子、烷基、或經至少一個氟原子取代的烷基,存在多個時的R4 、R5 分別可相同亦可不同。 L表示二價連結基,存在多個時的L可相同亦可不同。 W表示包含環狀結構的有機基。 o表示1~3的整數。p表示0~10的整數。q表示0~10的整數。·· In the anionic formula (3), Xf each independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom. R 4 and R 5 each independently represent a hydrogen atom, a fluorine atom, an alkyl group, or an alkyl group substituted with at least one fluorine atom, and when there are a plurality of R 4 and R 5 , each may be the same or different. L represents a divalent linking group, and L may be the same or different when there are multiple. W represents an organic group containing a cyclic structure. o represents an integer of 1-3. p represents an integer of 0-10. q represents an integer of 0-10.

··陽離子 式(3)中,X+ 表示陽離子。 X+ 只要為陽離子,則並無特別限制,作為較佳的態樣,例如可列舉後述的通式(ZI)、通式(ZII)或通式(ZIII)中的陽離子(Z- 以外的部分)。··Cation In formula (3), X + represents a cation. X + is not particularly limited as long as it is a cation. As a preferred aspect, for example, the cation (other than Z - ) in the general formula (ZI), general formula (ZII) or general formula (ZIII) described later can be cited ).

··較佳的態樣 作為特定光酸產生劑的較佳的態樣,例如可列舉下述通式(ZI)、通式(ZII)或通式(ZIII)所表示的化合物。··Better appearance As a preferable aspect of the specific photoacid generator, the compound represented by the following general formula (ZI), general formula (ZII), or general formula (ZIII) is mentioned, for example.

[化20]

Figure 02_image041
[化20]
Figure 02_image041

所述通式(ZI)中, R201 、R202 及R203 分別獨立地表示有機基。 作為R201 、R202 及R203 的有機基的碳數一般而言為1~30,較佳為1~20。 另外,R201 ~R203 中兩個可鍵結而形成環結構,可於環內包含氧原子、硫原子、酯鍵、醯胺鍵、及/或羰基。作為R201 ~R203 中的兩個鍵結而形成的基,例如可列舉伸烷基(例如,伸丁基、伸戊基)。 Z- 表示陰離子,較佳為所述式(3)中的陰離子。In the general formula (ZI), R 201 , R 202 and R 203 each independently represent an organic group. The carbon number of the organic group as R 201 , R 202 and R 203 is generally 1-30, preferably 1-20. In addition, two of R 201 to R 203 may be bonded to form a ring structure, and an oxygen atom, a sulfur atom, an ester bond, an amide bond, and/or a carbonyl group may be included in the ring. Examples of the group formed by bonding two of R 201 to R 203 include an alkylene group (for example, a butylene group and a pentylene group). Z - represents an anion, preferably an anion in the formula (3).

其次,對通式(ZII)、通式(ZIII)進行說明。 通式(ZII)、通式(ZIII)中,R204 ~R207 分別獨立地表示芳基、烷基或環烷基。Next, the general formula (ZII) and the general formula (ZIII) will be explained. In general formula (ZII) and general formula (ZIII), R 204 to R 207 each independently represent an aryl group, an alkyl group, or a cycloalkyl group.

光酸產生劑(包含特定光酸產生劑。以下相同)可為低分子化合物的形態,亦可為組入至聚合體的一部分中的形態。另外,亦可併用低分子化合物的形態與組入至聚合體的一部分中的形態。 於光酸產生劑為低分子化合物的形態的情況下,分子量較佳為580以上,更佳為600以上,進而佳為620以上,特佳為640以上。上限並無特別限制,較佳為3000以下,更佳為2000以下,進而佳為1000以下。 於光酸產生劑為組入至聚合體的一部分中的形態的情況下,可組入至所述樹脂的一部分中,亦可組入至與樹脂不同的樹脂中。 光酸產生劑可利用公知的方法合成,例如可按照日本專利特開2007-161707號公報中記載的方法來合成。 光酸產生劑可單獨使用一種或將兩種以上組合而使用。以抗蝕劑組成物的總固體成分為基準,光酸產生劑於抗蝕劑組成物中的含量(於存在多種的情況下為其合計)較佳為0.1質量%~30質量%,更佳為0.5質量%~25質量%,進而佳為3質量%~20質量%,特佳為3質量%~15質量%。The photoacid generator (including the specific photoacid generator. The same applies below) may be in the form of a low-molecular compound, or may be in the form of being incorporated into a part of the polymer. In addition, the form of the low-molecular compound and the form incorporated into a part of the polymer may be used in combination. When the photoacid generator is in the form of a low-molecular compound, the molecular weight is preferably 580 or more, more preferably 600 or more, still more preferably 620 or more, and particularly preferably 640 or more. The upper limit is not particularly limited, but is preferably 3000 or less, more preferably 2000 or less, and still more preferably 1000 or less. In the case where the photoacid generator is incorporated into a part of the polymer, it may be incorporated into a part of the resin, or may be incorporated into a resin different from the resin. The photoacid generator can be synthesized by a known method, for example, it can be synthesized according to the method described in Japanese Patent Laid-Open No. 2007-161707. The photoacid generator can be used individually by 1 type or in combination of 2 or more types. Based on the total solid content of the resist composition, the content of the photoacid generator in the resist composition (in the case of multiple types, the total amount) is preferably 0.1% by mass to 30% by mass, and more preferably It is 0.5% by mass to 25% by mass, more preferably 3% by mass to 20% by mass, and particularly preferably 3% by mass to 15% by mass.

·酸擴散控制劑 抗蝕劑組成物較佳為含有酸擴散控制劑。酸擴散控制劑作為如下淬滅劑發揮作用,所述淬滅劑捕獲曝光時由光酸產生劑等所產生的酸,抑制多餘的產生酸所引起的未曝光部中的酸分解性樹脂的反應。作為酸擴散控制劑,可使用鹼性化合物;具有氮原子、且具有因酸的作用而脫離的基的低分子化合物;藉由光化射線或放射線的照射而鹼性降低或消失的鹼性化合物;或者對於光酸產生劑而言相對地變成弱酸的鎓鹽。 酸擴散控制劑可單獨使用一種,亦可併用兩種以上。 以抗蝕劑組成物的總固體成分為基準,酸擴散控制劑於抗蝕劑組成物中的含量(於存在多種的情況下為其合計)較佳為0.1質量%~10質量%,更佳為0.1質量%~5質量%。·Acid diffusion control agent The resist composition preferably contains an acid diffusion control agent. The acid diffusion control agent functions as a quencher that captures the acid generated by the photoacid generator during exposure, and suppresses the reaction of the acid-decomposable resin in the unexposed portion caused by the excessive generation of acid . As the acid diffusion control agent, basic compounds can be used; low-molecular compounds having nitrogen atoms and groups that are detached by the action of acid; basic compounds whose basicity decreases or disappears by irradiation with actinic rays or radiation ; Or relatively weak acid onium salt for the photoacid generator. One kind of acid diffusion control agent may be used alone, or two or more kinds may be used in combination. Based on the total solid content of the resist composition, the content of the acid diffusion control agent in the resist composition (the total when there are multiple types) is preferably 0.1% by mass to 10% by mass, more preferably It is 0.1% by mass to 5% by mass.

·疏水性樹脂 抗蝕劑組成物中與所述樹脂(A)獨立地,亦可包含與樹脂(A)不同的疏水性樹脂。 疏水性樹脂較佳為設計成偏在於抗蝕劑膜的表面,但與界面活性劑不同,分子內未必需要具有親水基,亦可無助於極性物質及非極性物質的均勻混合。 作為添加疏水性樹脂帶來的效果,可列舉控制抗蝕劑膜表面相對於水的靜態及動態的接觸角、以及抑制逸氣等。·Hydrophobic resin Independently of the resin (A), the resist composition may include a hydrophobic resin different from the resin (A). The hydrophobic resin is preferably designed to be localized on the surface of the resist film, but unlike surfactants, it does not necessarily have a hydrophilic group in the molecule, and it may not contribute to the uniform mixing of polar and non-polar substances. As the effect brought by the addition of the hydrophobic resin, control of the static and dynamic contact angle of the surface of the resist film with respect to water, suppression of outgassing, and the like can be cited.

就向膜表層的偏在化的方面而言,疏水性樹脂較佳為具有「氟原子」、「矽原子」、及「樹脂的側鏈部分中所含的CH3 部分結構」中任一種以上,更佳為具有兩種以上。另外,所述疏水性樹脂較佳為具有碳數5以上的烴基。該些基可存在於樹脂的主鏈中,亦可於側鏈進行取代。In terms of localization to the film surface layer, the hydrophobic resin preferably has any one or more of "fluorine atom", "silicon atom", and "CH 3 partial structure contained in the side chain part of the resin", It is more preferable to have two or more types. In addition, the hydrophobic resin preferably has a hydrocarbon group having a carbon number of 5 or more. These groups may exist in the main chain of the resin, or may be substituted in the side chain.

於疏水性樹脂包含氟原子及/或矽原子的情況下,疏水性樹脂中的所述氟原子及/或矽原子可包含於樹脂的主鏈中,亦可包含於側鏈中。When the hydrophobic resin contains fluorine atoms and/or silicon atoms, the fluorine atoms and/or silicon atoms in the hydrophobic resin may be included in the main chain of the resin, or may be included in the side chain.

於抗蝕劑組成物包含疏水性樹脂的情況下,相對於抗蝕劑組成物的總固體成分,疏水性樹脂的含量較佳為0.01質量%~20質量%,更佳為0.1質量%~15質量%。When the resist composition contains a hydrophobic resin, the content of the hydrophobic resin is preferably 0.01% by mass to 20% by mass, and more preferably 0.1% by mass to 15%, relative to the total solid content of the resist composition. quality%.

·溶劑 抗蝕劑組成物亦較佳為包含溶劑。 作為可於製備抗蝕劑組成物時使用的溶劑,例如可列舉:烷二醇單烷基醚羧酸酯、烷二醇單烷基醚、乳酸烷基酯、烷氧基丙酸烷基酯、環狀內酯(較佳為碳數4~10)、可具有環的單酮化合物(較佳為碳數4~10)、碳酸伸烷基酯、烷氧基乙酸烷基酯、及丙酮酸烷基酯等有機溶劑。 該些溶劑的具體例可列舉美國專利申請案公開2008/0187860號說明書的[0441]~[0455]中記載的溶劑。·Solvent The resist composition also preferably contains a solvent. Examples of solvents that can be used when preparing the resist composition include: alkane glycol monoalkyl ether carboxylate, alkane glycol monoalkyl ether, alkyl lactate, and alkyl alkoxy propionate. , Cyclic lactone (preferably carbon number 4-10), monoketone compound which may have a ring (preferably carbon number 4-10), alkylene carbonate, alkyl alkoxy acetate, and acetone Organic solvents such as acid alkyl esters. Specific examples of these solvents include the solvents described in [0441] to [0455] in the specification of U.S. Patent Application Publication No. 2008/0187860.

·界面活性劑 抗蝕劑組成物可進而含有界面活性劑亦可不進而含有界面活性劑。 界面活性劑較佳為氟系及/或矽系界面活性劑(氟系界面活性劑、矽系界面活性劑、具有氟原子與矽原子兩者的界面活性劑)。 該些界面活性劑可單獨使用一種,亦可使用兩種以上。 於抗蝕劑組成物含有界面活性劑的情況下,相對於抗蝕劑組成物的總固體成分,界面活性劑的使用量較佳為0.0001質量%~2質量%,更佳為0.0005質量%~1質量%。·Surfactant The resist composition may or may not further contain a surfactant. The surfactant is preferably a fluorine-based and/or silicon-based surfactant (a fluorine-based surfactant, a silicon-based surfactant, a surfactant having both a fluorine atom and a silicon atom). These surfactants may be used singly, or two or more may be used. When the resist composition contains a surfactant, relative to the total solid content of the resist composition, the amount of the surfactant used is preferably 0.0001% by mass to 2% by mass, more preferably 0.0005% by mass to 1% by mass.

·其他添加劑 抗蝕劑組成物可含有羧酸鎓鹽亦可不含有羧酸鎓鹽。此種羧酸鎓鹽可列舉美國專利申請案公開2008/0187860號說明書的段落[0605]~段落[0606]中記載者。 該些羧酸鎓鹽可藉由使氫氧化鋶、氫氧化錪、氫氧化銨與羧酸於適當的溶劑中與氧化銀進行反應來合成。·Other additives The resist composition may or may not contain the onium carboxylate salt. Examples of such onium carboxylates include those described in paragraph [0605] to paragraph [0606] of the specification of U.S. Patent Application Publication No. 2008/0187860. These onium carboxylates can be synthesized by reacting sulfonium hydroxide, iodonium hydroxide, ammonium hydroxide, and carboxylic acid with silver oxide in a suitable solvent.

於抗蝕劑組成物含有羧酸鎓鹽的情況下,相對於組成物的總固體成分,其含量較佳為0.1質量%~20質量%,更佳為0.5質量%~10質量%,進而佳為1質量%~7質量%。 於抗蝕劑組成物中,視需要可進而含有酸增殖劑、染料、塑化劑、光增感劑、光吸收劑、鹼可溶性樹脂、溶解抑制劑及促進對於顯影液的溶解性的化合物(例如,分子量1000以下的酚化合物、具有羧基的脂環族或脂肪族化合物)等。When the resist composition contains an onium carboxylate, its content is preferably 0.1% by mass to 20% by mass relative to the total solid content of the composition, more preferably 0.5% by mass to 10% by mass, and still more preferably It is 1% by mass to 7% by mass. The resist composition may further contain acid multiplying agents, dyes, plasticizers, photosensitizers, light absorbers, alkali-soluble resins, dissolution inhibitors, and compounds that promote solubility in developer ( For example, phenol compounds having a molecular weight of 1,000 or less, alicyclic or aliphatic compounds having a carboxyl group), and the like.

此種分子量1000以下的酚化合物例如可參考日本專利特開平4-122938號公報、日本專利特開平2-28531號公報、美國專利第4,916,210、歐洲專利第219294等中記載的方法,由本領域從業人員容易地合成。 作為具有羧基的脂環族或脂肪族化合物,例如可列舉:膽酸、去氧膽酸、石膽酸等具有類固醇結構的羧酸衍生物、金剛烷羧酸衍生物、金剛烷二羧酸、環己烷羧酸、及環己烷二羧酸等,但並不限定於該些。Such a phenol compound with a molecular weight of 1000 or less can refer to the methods described in Japanese Patent Laid-Open No. 4-122938, Japanese Patent Laid-Open No. 2-28531, U.S. Patent No. 4,916,210, European Patent No. 219294, etc., for example, by those skilled in the art. Easily synthesized. Examples of the alicyclic or aliphatic compound having a carboxyl group include carboxylic acid derivatives having a steroid structure such as cholic acid, deoxycholic acid, and lithocholic acid, adamantane carboxylic acid derivatives, adamantane dicarboxylic acid, Although cyclohexane carboxylic acid, cyclohexane dicarboxylic acid, etc. are not limited to these.

抗蝕劑組成物的固體成分濃度並無特別限定,較佳為1.0質量%~20質量%,更佳為2.0質量%~15質量%,進而佳為2.0質量%~10質量%。 所謂抗蝕劑組成物的固體成分,是指抗蝕劑組成物的溶劑以外的成分。 所謂抗蝕劑組成物的固體成分濃度,是溶劑除外的其他抗蝕劑成分的質量相對於組成物的總質量的比例(百分率)。The solid content concentration of the resist composition is not particularly limited, but is preferably 1.0% by mass to 20% by mass, more preferably 2.0% by mass to 15% by mass, and still more preferably 2.0% by mass to 10% by mass. The solid content of the resist composition refers to components other than the solvent of the resist composition. The solid content concentration of the resist composition is the ratio (percentage) of the mass of other resist components excluding the solvent to the total mass of the composition.

抗蝕劑組成物的製備方法並無特別限制,但較佳為將所述各成分溶解於規定的有機溶劑、較佳為所述混合溶劑中並進行過濾器過濾。過濾器過濾中使用的過濾器較佳為細孔徑(pore size)為0.1 μm以下(較佳為0.05 μm以下、更佳為0.03 μm以下)的聚四氟乙烯製、聚乙烯製、或尼龍製的過濾器。於過濾器過濾中,例如可如日本專利特開2002-62667號公報般,進行循環過濾、或將多種過濾器串聯連接或並聯連接而進行過濾。另外,亦可將組成物過濾多次。進而,亦可於過濾器過濾的前後對組成物進行脫氣處理等。The preparation method of the resist composition is not particularly limited, but it is preferable to dissolve the respective components in a predetermined organic solvent, preferably the mixed solvent, and filter it. The filter used in filter filtration is preferably made of polytetrafluoroethylene, polyethylene, or nylon with a pore size of 0.1 μm or less (preferably 0.05 μm or less, more preferably 0.03 μm or less) Filter. In filter filtration, for example, as in Japanese Patent Laid-Open No. 2002-62667, it is possible to perform cyclic filtration, or to connect multiple filters in series or in parallel to perform filtration. In addition, the composition may be filtered multiple times. Furthermore, the composition may be degassed before and after filtration by the filter.

〔步驟(2)的程序〕 步驟(2)的程序並無特別限制,可列舉:將抗蝕劑組成物塗佈於抗蝕劑底層膜上,視需要實施硬化處理的方法(塗佈法),或者於假支撐體上形成抗蝕劑膜,並將抗蝕劑膜轉印至基板上的方法等。其中,就生產性優異的方面而言,較佳為塗佈法。[Procedure of step (2)] The procedure of step (2) is not particularly limited. Examples include: coating the resist composition on the resist underlayer film and, if necessary, hardening treatment (coating method), or forming on a dummy support Resist film, the method of transferring the resist film to the substrate, etc. Among them, the coating method is preferred in terms of excellent productivity.

〔抗蝕劑膜〕 抗蝕劑膜的膜厚並無特別限定,較佳為1 μm以下,更佳為700 nm以下,進而佳為500 nm以下。 另外,抗蝕劑膜的膜厚較佳為1 nm以上,更佳為10 nm以上,進而佳為30 nm以上。將組成物中的固體成分濃度設定為適當的範圍,使其具有適度的黏度,並提高塗佈性、製膜性,藉此可製成此種膜厚。〔Resist Film〕 The thickness of the resist film is not particularly limited, but is preferably 1 μm or less, more preferably 700 nm or less, and still more preferably 500 nm or less. In addition, the thickness of the resist film is preferably 1 nm or more, more preferably 10 nm or more, and still more preferably 30 nm or more. By setting the solid content concentration in the composition to an appropriate range, making it have an appropriate viscosity, and improving coating properties and film forming properties, such a film thickness can be achieved.

為了減少抗蝕劑圖案的剝離或倒塌,亦可於抗蝕劑底層膜與抗蝕劑膜之間設置密接輔助層。In order to reduce peeling or collapse of the resist pattern, an adhesion auxiliary layer may be provided between the resist underlayer film and the resist film.

作為密接輔助層的形成方法,可較佳地列舉於抗蝕劑底層膜上形成具有聚合性基的密接輔助層的方法。藉由本方法而形成的密接輔助層中的聚合性基於抗蝕劑底層膜及抗蝕劑膜之間形成化學性或物理性鍵,因此認為結果於抗蝕劑底層膜與抗蝕劑膜之間表現出優異的密接性。As a method of forming the adhesion auxiliary layer, a method of forming an adhesion auxiliary layer having a polymerizable group on a resist base film is preferably cited. The polymerizability in the adhesion auxiliary layer formed by this method is based on the formation of a chemical or physical bond between the resist underlayer film and the resist film, so it is considered that the result is between the resist underlayer film and the resist film Shows excellent adhesion.

密接輔助層較佳為具有聚合性基。更具體而言,較佳為形成密接輔助層的材料(特佳為樹脂)具有聚合性基。 聚合性基的種類並無特別限制,例如可列舉:(甲基)丙烯醯基、環氧基、氧雜環丁基、馬來醯亞胺基、衣康酸酯基、巴豆酸酯基、異巴豆酸酯基、馬來酸酯基、苯乙烯基、乙烯基、丙烯醯胺基、甲基丙烯醯胺基等。其中,較佳為(甲基)丙烯醯基、環氧基、氧雜環丁基、馬來醯亞胺基,更佳為(甲基)丙烯醯基。The adhesion auxiliary layer preferably has a polymerizable group. More specifically, it is preferable that the material (particularly preferably a resin) forming the adhesion auxiliary layer has a polymerizable group. The type of polymerizable group is not particularly limited, and examples include (meth)acrylic acid groups, epoxy groups, oxetanyl groups, maleimino groups, itaconate groups, crotonate groups, Isocrotonic acid ester group, maleic acid ester group, styryl group, vinyl group, acrylamide group, methacrylamide group, etc. Among them, a (meth)acryloyl group, an epoxy group, an oxetanyl group, and a maleimino group are preferred, and a (meth)acryloyl group is more preferred.

密接輔助層的厚度並無特別限制,就可形成更高精度的微細圖案的理由而言,較佳為1 nm~100 nm,更佳為1 nm~50 nm,進而佳為1 nm~10 nm,特佳為1 nm~5 nm。The thickness of the adhesion auxiliary layer is not particularly limited. For the reason that a finer pattern can be formed with higher accuracy, it is preferably 1 nm to 100 nm, more preferably 1 nm to 50 nm, and still more preferably 1 nm to 10 nm. , Particularly preferably 1 nm~5 nm.

所述密接輔助層的形成方法並無特別限制,可列舉:將密接輔助層形成用組成物塗佈於抗蝕劑底層膜上,視需要實施硬化處理來形成所述密接輔助層的方法(塗佈法),或者於假支撐體上形成密接輔助層,並將密接輔助層轉印至抗蝕劑底層膜上的方法等。其中,就生產性優異的方面而言,較佳為塗佈法。 作為將密接輔助層形成用組成物塗佈於抗蝕劑底層膜上的方法,並無特別限制,可使用公知的方法,但於半導體製造領域中可較佳地使用旋塗。The method for forming the adhesion auxiliary layer is not particularly limited, and examples thereof include: coating the composition for forming the adhesion auxiliary layer on the resist underlayer film and, if necessary, hardening treatment to form the adhesion auxiliary layer (coating Cloth method), or a method of forming an adhesion auxiliary layer on the dummy support and transferring the adhesion auxiliary layer to the resist underlayer film, etc. Among them, the coating method is preferred in terms of excellent productivity. There is no particular limitation on the method of applying the composition for forming an adhesion auxiliary layer to the resist underlayer film, and a known method can be used, but spin coating can be preferably used in the field of semiconductor manufacturing.

亦可於將密接輔助層形成用組成物塗佈於抗蝕劑底層膜上後,視需要進行硬化處理。硬化處理並無特別限制,例如可列舉曝光處理或加熱處理等。After coating the composition for forming an adhesion auxiliary layer on the resist underlayer film, a hardening treatment may be performed as necessary. The hardening treatment is not particularly limited, and for example, exposure treatment, heat treatment, and the like can be mentioned.

曝光處理中可使用利用紫外線(ultraviolet,UV)燈、可見光線等的光照射等。作為光源,例如存在水銀燈、金屬鹵化物燈、氙燈、化學燈、碳弧燈等。作為放射線,亦存在電子束、X射線、離子束、遠紅外線等。作為具體的態樣,可較佳地列舉:利用紅外線雷射的掃描曝光、氙放電燈等的高照度閃光曝光、或紅外線燈曝光等。 作為曝光時間,根據聚合物的反應性及光源而不同,通常為10秒~5小時之間。作為曝光能量,只要為10 mJ/cm2 ~10000 mJ/cm2 左右即可,較佳為100 mJ/cm2 ~8000 mJ/cm2 的範圍。 另外,於使用加熱處理的情況下,可使用送風乾燥機、烘箱、紅外線乾燥機、加熱鼓等。 亦可將曝光處理與加熱處理加以組合。For the exposure treatment, light irradiation with ultraviolet (UV) lamps, visible rays, etc. can be used. As the light source, for example, there are mercury lamps, metal halide lamps, xenon lamps, chemical lamps, carbon arc lamps, and the like. As radiation, there are electron beams, X-rays, ion beams, far infrared rays, and the like. As a specific aspect, scanning exposure using infrared laser, high-illuminance flash exposure such as a xenon discharge lamp, or infrared lamp exposure, etc. are preferably mentioned. The exposure time varies depending on the reactivity of the polymer and the light source, but it is usually between 10 seconds and 5 hours. The exposure energy may be about 10 mJ/cm 2 to 10000 mJ/cm 2 , and is preferably in the range of 100 mJ/cm 2 to 8000 mJ/cm 2 . In addition, in the case of heat treatment, a blower dryer, oven, infrared dryer, heating drum, etc. can be used. It is also possible to combine exposure treatment and heating treatment.

[步驟(3)] 步驟(3)是對步驟(2)中所形成的抗蝕劑膜進行曝光的步驟。此處,所謂對抗蝕劑膜進行曝光,是指對抗蝕劑膜照射光化射線或放射線。[Step (3)] Step (3) is a step of exposing the resist film formed in step (2). Here, exposing the resist film means irradiating the resist film with actinic rays or radiation.

曝光中所使用的光並無特別限制,例如可列舉:紅外光、可見光、紫外光、遠紫外光、極紫外光、X射線、電子束等。可列舉較佳為250 nm以下的波長的遠紫外光或電子束、更佳為220 nm以下的波長的遠紫外光或電子束、進而佳為1 nm~200 nm的波長的遠紫外光或電子束。 更具體而言,可列舉:KrF準分子雷射(248 nm)、ArF準分子雷射(193 nm)、F2 準分子雷射(157 nm)、X射線、EUV(13 nm)、電子束等,其中,較佳為KrF準分子雷射、ArF準分子雷射、EUV或電子束,更佳為ArF準分子雷射、EUV或電子束。The light used for exposure is not particularly limited, and examples thereof include infrared light, visible light, ultraviolet light, extreme ultraviolet light, extreme ultraviolet light, X-rays, and electron beams. Examples include extreme ultraviolet light or electron beams preferably having a wavelength of 250 nm or less, more preferably extreme ultraviolet light or electron beams having a wavelength of 220 nm or less, and further preferably extreme ultraviolet light or electrons having a wavelength of 1 nm to 200 nm. bundle. More specifically, include: KrF excimer laser (248 nm), ArF excimer laser (193 nm), F 2 excimer laser (157 nm), X-ray, EUV (13 nm), electron beam Among them, KrF excimer laser, ArF excimer laser, EUV or electron beam is preferred, and ArF excimer laser, EUV or electron beam is more preferred.

於曝光步驟中可應用液浸曝光方法。液浸曝光方法可與相移法、變形照明法等超解析技術組合。液浸曝光例如可依據日本專利特開2013-242397號公報的段落[0594]~段落[0601]中所記載的方法來進行。A liquid immersion exposure method can be used in the exposure step. The liquid immersion exposure method can be combined with super-resolution techniques such as phase shift method and anamorphic illumination method. The liquid immersion exposure can be performed in accordance with the method described in paragraph [0594] to paragraph [0601] of JP 2013-242397 A, for example.

於步驟(3)中,較佳為藉由KrF曝光、ArF曝光、及ArF液浸曝光中的任一種對抗蝕劑膜進行曝光,更佳為藉由KrF曝光進行曝光。In step (3), it is preferable to expose the resist film by any one of KrF exposure, ArF exposure, and ArF immersion exposure, and it is more preferable to perform exposure by KrF exposure.

亦可於步驟(3)之後、後述的步驟(4)之前,對步驟(3)中經光化射線或放射線照射的(經曝光的)膜實施加熱處理(PEB:Post Exposure Bake)。藉由本步驟而促進曝光部的反應。加熱處理(PEB)可進行多次。 加熱處理的溫度較佳為70℃~130℃,更佳為80℃~120℃。 加熱處理的時間較佳為30秒~300秒,更佳為30秒~180秒,進而佳為30秒~90秒。 加熱處理可藉由通常的曝光機、顯影機中所包括的機構來進行,亦可使用加熱板等來進行。After step (3) and before step (4) described later, heat treatment (PEB: Post Exposure Bake) may be performed on the (exposed) film irradiated with actinic rays or radiation in step (3). This step promotes the reaction of the exposure part. Heat treatment (PEB) can be performed multiple times. The temperature of the heat treatment is preferably 70°C to 130°C, more preferably 80°C to 120°C. The heat treatment time is preferably 30 seconds to 300 seconds, more preferably 30 seconds to 180 seconds, and still more preferably 30 seconds to 90 seconds. The heat treatment can be performed by a mechanism included in a normal exposure machine or a developing machine, or a hot plate or the like can be used.

[步驟(4)] 步驟(4)是對步驟(3)中經光化射線或放射線照射的(經曝光的)膜進行顯影而形成抗蝕劑圖案的步驟。[Step (4)] Step (4) is a step of developing the (exposed) film irradiated with actinic rays or radiation in step (3) to form a resist pattern.

步驟(4)較佳為利用顯影液對經曝光的抗蝕劑膜進行顯影而形成抗蝕劑圖案的步驟,顯影液可為鹼性顯影液,亦可為包含有機溶劑的顯影液。 作為鹼性顯影液,通常使用氫氧化四甲基銨所代表的四級銨鹽,除此以外亦可使用無機鹼、一級胺~三級胺、醇胺、環狀胺等的鹼性水溶液。 具體而言,作為鹼性顯影液,例如可使用氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水等無機鹼類;乙胺、正丙胺等一級胺類;二乙胺、二-正丁胺等二級胺類;三乙胺、甲基二乙胺等三級胺類;二甲基乙醇胺、三乙醇胺等醇胺類;氫氧化四甲基銨、氫氧化四乙基銨等四級銨鹽;吡咯、哌啶等環狀胺類等的鹼性水溶液。該些中,較佳為使用氫氧化四乙基銨的水溶液。 進而,亦可於所述鹼性顯影液中添加適當量的醇類、界面活性劑。鹼性顯影液的鹼濃度通常為0.1質量%~20質量%。鹼性顯影液的pH通常為10.0~15.0。 使用鹼性顯影液進行顯影的時間通常為10秒~300秒。 鹼性顯影液的鹼濃度(及pH)及顯影時間可根據所形成的圖案而適宜調整。 亦可於使用鹼性顯影液的顯影後使用沖洗液進行清洗,作為該沖洗液,使用純水,亦可添加適當量的界面活性劑來使用。 另外,於顯影處理或沖洗處理後,可進行利用超臨界流體去除附著於圖案上的顯影液或沖洗液的處理。 進而,於沖洗處理或利用超臨界流體的處理後,為了去除圖案中殘存的水分,可進行加熱處理。Step (4) is preferably a step of developing the exposed resist film with a developer to form a resist pattern. The developer may be an alkaline developer or a developer containing an organic solvent. As the alkaline developer, a quaternary ammonium salt represented by tetramethylammonium hydroxide is generally used. In addition to this, alkaline aqueous solutions such as inorganic bases, primary to tertiary amines, alcohol amines, and cyclic amines may also be used. Specifically, as the alkaline developer, for example, inorganic bases such as sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, and ammonia; primary amines such as ethylamine and n-propylamine; Secondary amines such as ethylamine and di-n-butylamine; tertiary amines such as triethylamine and methyldiethylamine; alcohol amines such as dimethylethanolamine and triethanolamine; tetramethylammonium hydroxide, hydroxide Quaternary ammonium salts such as tetraethylammonium; alkaline aqueous solutions of cyclic amines such as pyrrole and piperidine. Among these, it is preferable to use an aqueous solution of tetraethylammonium hydroxide. Furthermore, an appropriate amount of alcohols and surfactants may be added to the alkaline developer. The alkali concentration of the alkaline developer is usually 0.1% by mass to 20% by mass. The pH of the alkaline developer is usually 10.0 to 15.0. The development time using an alkaline developer is usually 10 seconds to 300 seconds. The alkali concentration (and pH) and development time of the alkaline developer can be appropriately adjusted according to the pattern formed. It is also possible to wash with a rinse liquid after development using an alkaline developer, and pure water may be used as the rinse liquid, and an appropriate amount of surfactant may be added and used. In addition, after the development process or the rinsing process, a process of using a supercritical fluid to remove the developer or rinsing liquid adhering to the pattern may be performed. Furthermore, after rinsing treatment or treatment with a supercritical fluid, heat treatment may be performed in order to remove water remaining in the pattern.

作為有機系顯影液,可使用酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑、醚系溶劑等極性溶劑及烴系溶劑,具體而言,例如除了日本專利特開2014-048500號公報的段落[0461]~段落[0463]中所記載者以外,可列舉2-羥基異丁酸甲酯、丁酸丁酯、異丁酸異丁酯、丙酸丁酯、丁酸丁酯及乙酸異戊酯。 所述溶劑可混合多種,亦可與所述以外的溶劑或水混合而使用。其中,有機系顯影液較佳為作為顯影液整體的含水率未滿10質量%,更佳為實質上不含有水分。 即,相對於顯影液的總量,有機溶劑相對於有機系顯影液的使用量較佳為90質量%以上且100質量%以下,更佳為95質量%以上且100質量%以下。As the organic developer, polar solvents and hydrocarbon solvents such as ketone solvents, ester solvents, alcohol solvents, amide solvents, ether solvents, etc. can be used. Specifically, for example, in addition to Japanese Patent Laid-Open No. 2014-048500 In addition to those described in paragraph [0461] to paragraph [0463] of the bulletin, examples include methyl 2-hydroxyisobutyrate, butyl butyrate, isobutyl isobutyrate, butyl propionate, butyl butyrate, and Isoamyl acetate. The said solvent may mix multiple types, and may mix and use with the solvent or water other than the said. Among them, the organic developer preferably has a moisture content of less than 10% by mass as the entire developer, and more preferably contains substantially no moisture. That is, the use amount of the organic solvent with respect to the organic developer is preferably 90% by mass or more and 100% by mass or less, and more preferably 95% by mass or more and 100% by mass or less with respect to the total amount of the developer.

特別是有機系顯影液較佳為含有選自由酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑所組成的群組中的至少一種有機溶劑的顯影液。In particular, the organic developer is preferably a developer containing at least one organic solvent selected from the group consisting of ketone solvents, ester solvents, alcohol solvents, amide solvents, and ether solvents.

有機系顯影液的蒸氣壓於20℃下較佳為5 kPa以下,進而佳為3 kPa以下,特佳為2 kPa以下。藉由將有機系顯影液的蒸氣壓設為5 kPa以下,顯影液於基板上或顯影杯內的蒸發得到抑制,晶圓面內的溫度均勻性提高,結果晶圓面內的尺寸均勻性變佳。The vapor pressure of the organic developer is preferably 5 kPa or less at 20°C, more preferably 3 kPa or less, and particularly preferably 2 kPa or less. By setting the vapor pressure of the organic developer to 5 kPa or less, the evaporation of the developer on the substrate or in the developing cup is suppressed, the temperature uniformity in the wafer surface is improved, and the dimensional uniformity in the wafer surface is reduced. good.

於有機系顯影液中,視需要可添加適當量的界面活性劑。 作為界面活性劑,並無特別限定,例如可使用離子性或非離子性的氟系及/或矽系界面活性劑等。作為該些氟系及/或矽系界面活性劑,例如可列舉日本專利特開昭62-36663號公報、日本專利特開昭61-226746號公報、日本專利特開昭61-226745號公報、日本專利特開昭62-170950號公報、日本專利特開昭63-34540號公報、日本專利特開平7-230165號公報、日本專利特開平8-62834號公報、日本專利特開平9-54432號公報、日本專利特開平9-5988號公報、美國專利第5405720號說明書、美國專利第5360692號說明書、美國專利第5529881號說明書、美國專利第5296330號說明書、美國專利第5436098號說明書、美國專利第5576143號說明書、美國專利第5294511號說明書、美國專利第5824451號說明書中記載的界面活性劑,較佳為非離子性的界面活性劑。作為非離子性的界面活性劑,並無特別限定,進而佳為使用氟系界面活性劑或矽系界面活性劑。In the organic developer, an appropriate amount of surfactant can be added as necessary. The surfactant is not particularly limited. For example, ionic or nonionic fluorine-based and/or silicon-based surfactants can be used. As these fluorine-based and/or silicon-based surfactants, for example, Japanese Patent Laid-Open No. 62-36663, Japanese Patent Laid-Open No. 61-226746, Japanese Patent Laid-Open No. 61-226745, Japanese Patent Laid-Open No. 62-170950, Japanese Patent Laid-Open No. 63-34540, Japanese Patent Laid-Open No. 7-230165, Japanese Patent Laid-Open No. 8-62834, Japanese Patent Laid-Open No. 9-54432 Bulletin, Japanese Patent Laid-Open No. 9-5988, U.S. Patent No. 5,405,720, U.S. Patent No. 5360692, U.S. Patent No. 5,529,881, U.S. Patent No. 5296330, U.S. Patent No. 5,436,098, U.S. Patent No. The surfactants described in specification No. 5576143, US Patent No. 5,294,511, and US Patent No. 5,824,451 are preferably nonionic surfactants. The nonionic surfactant is not particularly limited, and it is more preferable to use a fluorine-based surfactant or a silicon-based surfactant.

相對於顯影液的總量,界面活性劑的使用量通常為0.001質量%~5質量%,較佳為0.005質量%~2質量%,進而佳為0.01質量%~0.5質量%。The amount of the surfactant used is usually 0.001% by mass to 5% by mass relative to the total amount of the developer, preferably 0.005% by mass to 2% by mass, and more preferably 0.01% by mass to 0.5% by mass.

有機系顯影液亦可包含鹼性化合物。作為本發明中所使用的有機系顯影液可包含的鹼性化合物的具體例及較佳例,與作為酸擴散控制劑而所述的組成物可包含的鹼性化合物中的具體例及較佳例相同。The organic developer may also contain an alkaline compound. Specific examples and preferred examples of alkaline compounds that can be contained in the organic developer used in the present invention, and specific examples and preferred examples of alkaline compounds that can be contained in the composition as an acid diffusion control agent The case is the same.

作為顯影方法,例如可應用:使基板於充滿顯影液的槽中浸漬固定時間的方法(浸漬法);藉由利用表面張力使顯影液堆積至基板表面並靜止固定時間來進行顯影的方法(覆液(puddle)法);將顯影液噴霧至基板表面的方法(噴霧法);一面以固定速度掃描顯影液噴出噴嘴,一面朝以固定速度旋轉的基板上連續噴出顯影液的方法(動態分配法)等。再者,關於所噴出的顯影液的噴出壓力的較佳範圍、以及對顯影液的噴出壓力進行調整的方法等,並無特別限定,例如可使用日本專利特開2013-242397號公報的段落[0631]~段落[0636]中所記載的範圍及方法。As a development method, for example, a method of immersing a substrate in a tank filled with a developer solution for a fixed period of time (dipping method); a method of performing development by depositing the developer solution on the surface of the substrate using surface tension and standing still for a fixed period of time (covering Puddle method); a method of spraying the developer onto the surface of the substrate (spray method); a method in which the developer spray nozzle is scanned at a fixed speed, and the developer is continuously sprayed onto the substrate rotating at a fixed speed (dynamic distribution Law) and so on. In addition, there are no particular limitations on the preferable range of the discharge pressure of the discharged developer and the method of adjusting the discharge pressure of the developer. For example, the paragraph of Japanese Patent Laid-Open No. 2013-242397 can be used [ 0631] ~ Scope and method described in paragraph [0636].

於本發明的圖案形成方法中,亦可將使用鹼性顯影液進行顯影的步驟(鹼顯影步驟)、及使用包含有機溶劑的顯影液進行顯影的步驟組合而使用。藉此,可形成更微細的圖案。 於本發明中,藉由有機溶劑顯影步驟而將曝光強度弱的部分去除,進而藉由進行鹼顯影步驟而亦將曝光強度強的部分去除。藉由以所述方式進行多次顯影的多重顯影製程,僅不使中間的曝光強度的區域溶解而進行圖案形成,因此可形成較通常更微細的圖案(與日本專利特開2008-292975號公報的段落[0077]相同的機制)。 於本發明的圖案形成方法中,鹼顯影步驟及有機溶劑顯影步驟的順序並無特別限定,更佳為於有機溶劑顯影步驟之前進行鹼顯影。In the pattern forming method of the present invention, a step of developing using an alkaline developer (alkaline development step) and a step of developing using a developer containing an organic solvent may be used in combination. Thereby, a finer pattern can be formed. In the present invention, the part with weak exposure intensity is removed by the organic solvent development step, and the part with strong exposure intensity is also removed by the alkali development step. By performing the multiple development process in which multiple developments are performed in this manner, pattern formation is performed without dissolving only the area of the intermediate exposure intensity, so that a finer pattern than usual can be formed (in accordance with Japanese Patent Laid-Open No. 2008-292975 The same mechanism as the paragraph [0077]). In the pattern forming method of the present invention, the order of the alkali development step and the organic solvent development step is not particularly limited, and it is more preferable to perform alkali development before the organic solvent development step.

較佳為於使用包含有機溶劑的顯影液進行顯影的步驟後,包括使用沖洗液進行清洗的步驟。 作為使用包含有機溶劑的顯影液進行顯影的步驟後的沖洗步驟中使用的沖洗液,只要不使抗蝕劑圖案溶解,則並無特別限制,可使用包含一般的有機溶劑的溶液。作為沖洗液,較佳為使用含有選自由烴系溶劑、酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑所組成的群組中的至少一種有機溶劑的沖洗液。 作為烴系溶劑、酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑的具體例,可列舉與包含有機溶劑的顯影液中所說明的具體例相同者。Preferably, after the step of performing development using a developer containing an organic solvent, a step of washing with a rinse solution is included. The rinsing liquid used in the rinsing step after the step of performing development using a developer containing an organic solvent is not particularly limited as long as it does not dissolve the resist pattern, and a solution containing a general organic solvent can be used. As the rinse liquid, it is preferable to use a rinse liquid containing at least one organic solvent selected from the group consisting of hydrocarbon solvents, ketone solvents, ester solvents, alcohol solvents, amide solvents, and ether solvents. Specific examples of hydrocarbon solvents, ketone solvents, ester solvents, alcohol solvents, amide solvents, and ether solvents include the same ones as those described in the developer containing an organic solvent.

於使用包含有機溶劑的顯影液進行顯影的步驟後,更佳為實施使用含有選自由酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑、烴系溶劑所組成的群組中的至少一種有機溶劑的沖洗液進行清洗的步驟,進而佳為實施使用含有醇系溶劑或酯系溶劑的沖洗液進行清洗的步驟,特佳為實施使用含有一元醇的沖洗液進行清洗的步驟,最佳為實施使用含有碳數5以上的一元醇的沖洗液進行清洗的步驟。 作為含有烴系溶劑的沖洗液,較佳為碳數6~30的烴化合物,更佳為碳數8~30的烴化合物,特佳為碳數10~30的烴化合物。其中,藉由使用包含癸烷及/或十一烷的沖洗液,可抑制圖案倒塌。 於使用酯系溶劑作為有機溶劑的情況下,除了酯系溶劑(一種或兩種以上),亦可使用二醇醚系溶劑。作為該情況下的具體例,可列舉使用酯系溶劑(較佳為乙酸丁酯)作為主成分且使用二醇醚系溶劑(較佳為丙二醇單甲醚(PGME))作為副成分。藉此,可進一步抑制殘渣缺陷。After the step of performing development using a developer containing an organic solvent, it is more preferable to use at least one selected from the group consisting of ketone solvents, ester solvents, alcohol solvents, amide solvents, and hydrocarbon solvents. An organic solvent-based rinsing liquid is used for cleaning, and it is more preferable to implement a cleaning step using a rinsing liquid containing an alcohol-based solvent or an ester-based solvent, and it is particularly preferable to implement a cleaning step using a rinsing liquid containing a monohydric alcohol. To implement the step of washing using a washing liquid containing a monohydric alcohol with a carbon number of 5 or more. The rinsing liquid containing a hydrocarbon solvent is preferably a hydrocarbon compound having 6 to 30 carbon atoms, more preferably a hydrocarbon compound having 8 to 30 carbon atoms, and particularly preferably a hydrocarbon compound having 10 to 30 carbon atoms. Among them, by using a rinse liquid containing decane and/or undecane, pattern collapse can be suppressed. When using an ester-based solvent as an organic solvent, in addition to the ester-based solvent (one type or two or more types), a glycol ether-based solvent may also be used. As a specific example in this case, an ester solvent (preferably butyl acetate) is used as a main component and a glycol ether solvent (preferably propylene glycol monomethyl ether (PGME)) is used as a subcomponent. Thereby, residue defects can be further suppressed.

此處,作為沖洗步驟中所使用的一元醇,可列舉直鏈狀、分支狀、環狀的一元醇,具體而言,可使用1-丁醇、2-丁醇、3-甲基-1-丁醇、第三丁醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、環戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇等,作為特佳的碳數5以上的一元醇,可使用1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。Here, as the monohydric alcohol used in the rinsing step, linear, branched, and cyclic monohydric alcohols can be cited. Specifically, 1-butanol, 2-butanol, and 3-methyl-1 can be used. -Butanol, tertiary butanol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1-heptanol, 1-octanol, 2-hexanol, cyclo Pentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol, etc. As particularly preferred monohydric alcohols with 5 or more carbon atoms, 1- Hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol, etc.

各成分可混合多種,亦可與所述以外的有機溶劑混合而使用。 沖洗液中的含水率較佳為10質量%以下,更佳為5質量%以下,特佳為3質量%以下。藉由將含水率設為10質量%以下,可獲得良好的顯影特性。Each component may mix multiple types, and may mix and use with organic solvents other than the said. The water content in the rinse liquid is preferably 10% by mass or less, more preferably 5% by mass or less, and particularly preferably 3% by mass or less. By setting the moisture content to 10% by mass or less, good development characteristics can be obtained.

於使用包含有機溶劑的顯影液進行顯影的步驟後使用的沖洗液的蒸氣壓於20℃下較佳為0.05 kPa以上且5 kPa以下,進而佳為0.1 kPa以上且5 kPa以下,最佳為0.12 kPa以上且3 kPa以下。藉由將沖洗液的蒸氣壓設為0.05 kPa以上且5 kPa以下,晶圓面內的溫度均勻性提高,進而抑制由沖洗液的滲透所引起的膨潤,且晶圓面內的尺寸均勻性變佳。The vapor pressure of the rinse liquid used after the step of developing using a developer containing an organic solvent is preferably 0.05 kPa or more and 5 kPa or less at 20°C, more preferably 0.1 kPa or more and 5 kPa or less, and most preferably 0.12 kPa or more and 3 kPa or less. By setting the vapor pressure of the rinsing liquid to 0.05 kPa or more and 5 kPa or less, the temperature uniformity in the wafer surface is improved, and the swelling caused by the penetration of the rinsing liquid is suppressed, and the dimensional uniformity in the wafer surface is changed. good.

於沖洗液中,亦可添加適當量的界面活性劑來使用。 於沖洗步驟中,使用所述包含有機溶劑的沖洗液,對使用包含有機溶劑的顯影液進行了顯影的晶圓實施清洗處理。清洗處理的方法並無特別限定,例如可應用:朝以固定速度旋轉的基板上連續噴出沖洗液的方法(旋轉塗佈法);使基板於充滿沖洗液的槽中浸漬固定時間的方法(浸漬法);將沖洗液噴霧至基板表面的方法(噴霧法)等,其中,較佳為藉由旋轉塗佈方法來進行清洗處理,於清洗後使基板以2000 rpm~4000 rpm(每分鐘轉數(rotations per minute);轉/分鐘)的轉數旋轉,而自基板上去除沖洗液。另外,亦較佳為於沖洗步驟後包括加熱步驟(Post Bake)。藉由烘烤來將殘留於圖案間及圖案內部的顯影液及沖洗液去除。沖洗步驟後的加熱步驟於通常40℃~160℃、較佳為70℃~95℃下,進行通常10秒鐘~3分鐘、較佳為30秒鐘至90秒鐘。In the rinse liquid, an appropriate amount of surfactant can also be added for use. In the rinsing step, the rinsing liquid containing the organic solvent is used to perform a cleaning process on the wafer that has been developed using the developer containing the organic solvent. The method of cleaning treatment is not particularly limited. For example, a method of continuously spraying a rinse liquid onto a substrate rotating at a fixed speed (spin coating method); a method of immersing the substrate in a bath filled with rinse liquid for a fixed period of time (dipping Method); the method of spraying the rinse liquid onto the surface of the substrate (spray method), etc., among which, it is preferable to perform the cleaning process by a spin coating method. After cleaning, the substrate is subjected to 2000 rpm to 4000 rpm (revolutions per minute). (Rotations per minute) rotations per minute) to remove the rinse liquid from the substrate. In addition, it is also preferable to include a heating step (Post Bake) after the washing step. Baking is used to remove the developer and rinsing liquid remaining between the patterns and inside the patterns. The heating step after the rinsing step is usually carried out at 40°C to 160°C, preferably 70°C to 95°C, for usually 10 seconds to 3 minutes, preferably 30 seconds to 90 seconds.

本發明的抗蝕劑組成物、及本發明的圖案形成方法中使用的各種材料(例如,顯影液、沖洗液等)較佳為不包含金屬等雜質。作為金屬雜質成分,例如可列舉:Na、K、Ca、Fe、Cu、Mn、Mg、Al、Cr、Ni、Zn、Ag、Sn、Pb、及Li。作為該些材料中所含的雜質的合計含量,較佳為1 ppm(parts per million,百萬分之一)以下,更佳為10 ppb以下,進而佳為100 ppt(parts per trillion,兆分之一)以下,特佳為10 ppt以下,最佳為1 ppt以下。 作為自所述各種材料去除金屬等雜質的方法,例如可列舉使用過濾器的過濾。作為過濾器孔徑,較佳為細孔徑為50 nm以下,更佳為10 nm以下,進而佳為5 nm以下。作為過濾器的材質,較佳為聚四氟乙烯製、聚乙烯製、尼龍製的過濾器。於過濾器過濾步驟中,亦可將多種過濾器串聯連接或並聯連接來使用。於使用多種過濾器的情況下,亦可將孔徑及/或材質不同的過濾器組合而使用。另外,可將各種材料過濾多次,且過濾多次的步驟可為循環過濾步驟。 另外,作為減少所述各種材料中所含的金屬等雜質的方法,可列舉選擇金屬含量少的原料作為構成各種材料的原料、對構成各種材料的原料進行過濾器過濾等方法。對構成各種材料的原料進行的過濾器過濾中的較佳條件與所述條件相同。 除了過濾器過濾以外,可利用吸附材料進行雜質的去除,亦可將過濾器過濾與吸附材料組合而使用。作為吸附材料,可使用公知的吸附材料,例如可使用矽膠、沸石等無機系吸附材料、活性碳等有機系吸附材料。 為了減少所述各種材料中所含的金屬等雜質,需要防止製造步驟中的金屬雜質的混入。是否已自製造裝置將金屬雜質充分地去除可藉由對製造裝置的清洗中所使用的清洗液中所含的金屬成分的含量進行測定而確認。使用後的清洗液中所含的金屬成分的含量更佳為100 ppt(parts per trillion,兆分之一)以下,進而佳為10 ppt以下,特佳為1 ppt以下。 為了防止與靜電帶電、繼而產生的靜電放電相伴的藥液配管或各種部分(過濾器、O-環、管等)的故障,本發明的抗蝕劑組成物、及本發明的圖案形成方法中所使用的有機系處理液(抗蝕劑溶劑、顯影液、沖洗液等)可添加導電性的化合物。作為導電性的化合物,並無特別限制,例如可列舉甲醇。添加量並無特別限制,就維持較佳的顯影特性的觀點而言,較佳為10質量%以下,進而佳為5質量%以下。關於藥液配管的構件,可使用SUS(不鏽鋼)、或者由實施了抗靜電處理的聚乙烯、聚丙烯、或氟樹脂(聚四氟乙烯、全氟烷氧基樹脂等)所被膜的各種配管。關於過濾器或O-環亦同樣,可使用實施了抗靜電處理的聚乙烯、聚丙烯、或氟樹脂(聚四氟乙烯、全氟烷氧基樹脂等)。The resist composition of the present invention and the various materials used in the pattern forming method of the present invention (for example, a developer, a rinse liquid, etc.) preferably do not contain impurities such as metals. Examples of metal impurity components include Na, K, Ca, Fe, Cu, Mn, Mg, Al, Cr, Ni, Zn, Ag, Sn, Pb, and Li. The total content of impurities contained in these materials is preferably 1 ppm (parts per million) or less, more preferably 10 ppb or less, and still more preferably 100 ppt (parts per trillion, trillion points). 1) Below, particularly preferably below 10 ppt, most preferably below 1 ppt. Examples of methods for removing impurities such as metals from the various materials include filtration using a filter. The pore diameter of the filter is preferably 50 nm or less, more preferably 10 nm or less, and still more preferably 5 nm or less. As the material of the filter, a filter made of polytetrafluoroethylene, polyethylene, or nylon is preferable. In the filter filtration step, multiple filters can also be connected in series or in parallel for use. When multiple filters are used, filters with different pore sizes and/or materials can also be used in combination. In addition, various materials can be filtered multiple times, and the step of filtering multiple times can be a cyclic filtering step. In addition, as methods for reducing impurities such as metals contained in the various materials, methods such as selecting a raw material with a low metal content as the raw material constituting the various materials, filtering the raw materials constituting the various materials, and the like can be cited. The preferable conditions in the filter filtration of the raw materials constituting the various materials are the same as the above-mentioned conditions. In addition to filter filtration, the adsorption material can be used to remove impurities, and the filter can also be used in combination with the adsorption material. As the adsorbent, well-known adsorbents can be used. For example, inorganic adsorbents such as silica gel and zeolite, and organic adsorbents such as activated carbon can be used. In order to reduce impurities such as metals contained in the various materials, it is necessary to prevent the incorporation of metal impurities in the manufacturing process. Whether or not the metal impurities have been sufficiently removed from the manufacturing device can be confirmed by measuring the content of the metal component contained in the cleaning liquid used in the cleaning of the manufacturing device. The content of the metal component contained in the cleaning solution after use is more preferably 100 ppt (parts per trillion, one trillion) or less, further preferably 10 ppt or less, particularly preferably 1 ppt or less. In order to prevent malfunctions of the chemical solution piping or various parts (filters, O-rings, tubes, etc.) associated with electrostatic charging and subsequent electrostatic discharge, the resist composition of the present invention and the pattern forming method of the present invention The organic treatment liquid (resist solvent, developer, rinsing liquid, etc.) used can be added with a conductive compound. The conductive compound is not particularly limited, and for example, methanol can be mentioned. The addition amount is not particularly limited, but from the viewpoint of maintaining better development characteristics, it is preferably 10% by mass or less, and more preferably 5% by mass or less. Regarding the components of the chemical liquid piping, SUS (stainless steel), or various piping coated with antistatic polyethylene, polypropylene, or fluororesin (polytetrafluoroethylene, perfluoroalkoxy resin, etc.) can be used . The same applies to filters or O-rings, and antistatically treated polyethylene, polypropylene, or fluororesin (polytetrafluoroethylene, perfluoroalkoxy resin, etc.) can be used.

亦可對藉由本發明的方法而形成的圖案應用改善圖案的表面粗化的方法。作為改善圖案的表面粗化的方法,例如可列舉WO2014/002808A1中所揭示的利用含有氫的氣體的電漿來對抗蝕劑圖案進行處理的方法。除此以外,亦可應用如日本專利特開2004-235468號公報、US2010/0020297A、日本專利特開2008-83384號公報、國際光學工程學會紀要(Proceeding of Society of Photo-optical Instrumentation Engineers,Proc. of SPIE)第8328卷83280N-1「線寬粗糙度(Line Width Roughness,LWR)還原與蝕刻選擇性增強的EUV抗蝕劑固化技術(EUV Resist Curing Technique for LWR Reduction and Etch Selectivity Enhancement)」中記載般的公知的方法。 本發明的圖案形成方法亦可用於定向自組裝(Directed Self-Assembly,DSA)中的引導圖案形成(例如,參照「美國化學會奈米(American Chemical Society Nano,ACS Nano)」第4卷第8期第4815-4823頁)。 另外,利用所述方法而形成的抗蝕劑圖案例如可用作日本專利特開平3-270227號公報及日本專利特開2013-164509號公報中所揭示的間隔物製程的芯材(core)。A method of improving the surface roughness of the pattern can also be applied to the pattern formed by the method of the present invention. As a method of improving the surface roughness of the pattern, for example, a method of processing a resist pattern using a plasma of a hydrogen-containing gas disclosed in WO2014/002808A1 can be cited. In addition to this, it can also be applied such as Japanese Patent Laid-Open No. 2004-235468, US2010/0020297A, Japanese Patent Laid-Open No. 2008-83384, Proceeding of Society of Photo-optical Instrumentation Engineers, Proc. of SPIE) Vol. 8328 83280N-1 "Line Width Roughness (LWR) Reduction and Etch Selectivity Enhancement EUV Resist Curing Technique for LWR Reduction and Etch Selectivity Enhancement" described in The general well-known method. The pattern formation method of the present invention can also be used for the formation of guided patterns in Directed Self-Assembly (DSA) (for example, refer to "American Chemical Society Nano (ACS Nano)" Volume 4, 8 Issue 4815-4823). In addition, the resist pattern formed by the method can be used as a core material for the spacer process disclosed in Japanese Patent Laid-Open No. 3-270227 and Japanese Patent Laid-Open No. 2013-164509, for example.

另外,亦可對藉由本發明的方法而形成的圖案應用圖案微細化製程。作為圖案微細化製程,例如可列舉如日本專利特開2013-145290號公報及日本專利特開2014-071424號公報所示,藉由於圖案上塗佈微細化用組成物並進行加熱而使抗蝕劑圖案寬度變寬的方法。再者,為了維持微細化製程後的抗蝕劑圖案的耐蝕刻性,微細化用組成物較佳為含有矽原子。In addition, a pattern refining process can also be applied to the pattern formed by the method of the present invention. As the pattern refining process, for example, as shown in Japanese Patent Laid-Open No. 2013-145290 and Japanese Patent Laid-Open No. 2014-071424, the pattern is coated with a refining composition and heated to make the resist The method of widening the width of the agent pattern. Furthermore, in order to maintain the etching resistance of the resist pattern after the miniaturization process, the miniaturization composition preferably contains silicon atoms.

[步驟(5)] 步驟(5)是將所述抗蝕劑圖案作為遮罩而進行蝕刻來形成圖案的步驟。作為步驟(5)的更具體的態樣,例如可列舉如下步驟:將步驟(4)中所形成的抗蝕劑圖案作為遮罩進行蝕刻(較佳為乾式蝕刻),對抗蝕劑底層膜進行加工來形成圖案。[Step (5)] Step (5) is a step of forming a pattern by etching the resist pattern as a mask. As a more specific aspect of step (5), for example, the following steps can be cited: the resist pattern formed in step (4) is used as a mask to etch (preferably dry etching), and the resist underlayer film is etched Processed to form patterns.

蝕刻方法並無特別限定,步驟(5)較佳為藉由將抗蝕劑圖案作為遮罩並對抗蝕劑底層膜進行乾式蝕刻來形成圖案的步驟。 乾式蝕刻可為一段蝕刻,亦可為包含多段的蝕刻。於蝕刻為包含多段的蝕刻的情況下,各段的蝕刻可為相同的處理,亦可為不同的處理。 乾式蝕刻裝置的方式並無特別限定,特別是更佳為感應耦合電漿(ICP(Inductive Coupled Plasma)、感應耦合)型、二頻導電耦合電漿(CCP(Conductive Coupled Plasma)、容量耦合)型、電子迴旋加速器共振(electron cyclotron resonance,ECR)型等般的可獨立控制電漿密度與偏壓的方式。 蝕刻可使用公知的方法中的任一種,各種條件等根據基板的種類或用途等適宜確定。例如,可依照國際光學工程學會紀要(Proc. of SPIE)第6924卷,692420(2008)、日本專利特開2009-267112號公報等實施蝕刻。另外,亦可依照「半導體製程教本 第四版 2007年刊行 發行人:日本國際半導體設備與材料協會(semiconductor equipment and materials international,SEMI)」的「第四章 蝕刻」中記載的方法。The etching method is not particularly limited, and step (5) is preferably a step of forming a pattern by using the resist pattern as a mask and dry etching the resist base film. Dry etching may be one-stage etching, or may include multiple-stage etching. When the etching includes multiple stages of etching, the etching of each stage may be the same treatment or different treatments. The method of the dry etching device is not particularly limited, and it is more preferably an inductively coupled plasma (ICP (Inductive Coupled Plasma), inductive coupling) type, a two-frequency conductively coupled plasma (CCP (Conductive Coupled Plasma), capacitive coupling) type , Electron cyclotron resonance (ECR) type and other methods that can independently control plasma density and bias voltage. Etching can use any of the well-known methods, and various conditions and the like are appropriately determined according to the type and use of the substrate. For example, etching can be performed in accordance with Proc. of SPIE Vol. 6924, 692420 (2008), Japanese Patent Laid-Open No. 2009-267112, and the like. In addition, you can also follow the method described in the "Chapter 4 Etching" of "Semiconductor Process Textbook Fourth Edition 2007 Issuer: Japan International Semiconductor Equipment and Materials Association (Semiconductor Equipment and Materials International, SEMI)".

其中,對於抗蝕劑底層膜的乾式蝕刻較佳為氧電漿蝕刻。 此處所述的氧電漿蝕刻是指使用含有氧原子的氣體的電漿蝕刻,具體而言,選擇由O2 、O3 、CO、CO2 、NO、NO2 、N2 O、SO、SO2 、COS等所組成的群組中的至少一種。另外,除加入所述含氧氣體以外,可加入由Ar、He、Xe、Kr、N2 等所組成的群組中的至少一種作為稀釋氣體,進而加入由Cl2 、HBr、BCl3 、CH4 、NH4 等所組成的群組中的至少一種作為添加氣體。 若使用含氧原子的氣體,則藉由在電漿中產生的氧自由基及氧離子的照射效果,促進抗蝕劑底層膜的蝕刻,另一方面,關於含矽的抗蝕劑膜,藉由抗蝕劑膜中的矽成分的氧化、凝聚,耐蝕刻性提高,可提高含矽的抗蝕劑膜與抗蝕劑底層膜的選擇比。 於抑制蝕刻前後的圖案尺寸變動的情況下,藉由提高包含氧原子及C、N、S等中至少一種的含氧氣體(例如,CO、CO2 、NO、NO2 、N2 O、SO、SO2 、COS)的比率,於電漿中生成的堆積性成分附著於蝕刻加工圖案側壁,抑制由氧自由基引起的側蝕效果,可減少蝕刻前後的線寬變窄。藉由在含氧氣體(例如O2 、O3 、CO、CO2 、NO、NO2 、N2 O、SO、SO2 、COS)中加入CH4 或NH4 作為添加氣體,亦可同樣地發揮所述效果。 另外,若使用包含Cl2 或HBr等氟以外的鹵素元素的氣體,則形成有高沸點的碳氯化物或碳溴化物作為底層膜的蝕刻生成物,提高對加工圖案側壁的附著性。該情況下,亦可期待氧自由基所引起的側蝕的抑制效果。 另一方面,藉由適當選擇O2 或O3 氣體與稀釋氣體的混合比率,亦可控制含矽的抗蝕劑膜及抗蝕劑底層膜的側蝕量,於蝕刻的同時亦可實施所期望尺寸量的修整處理。Among them, the dry etching of the resist underlayer film is preferably oxygen plasma etching. The oxygen plasma etching described here refers to plasma etching using a gas containing oxygen atoms. Specifically, it is selected from O 2 , O 3 , CO, CO 2 , NO, NO 2 , N 2 O, SO, At least one of the group consisting of SO 2 and COS. In addition, in addition to adding the oxygen-containing gas, at least one of the group consisting of Ar, He, Xe, Kr, N 2 and the like can be added as a diluent gas, and then Cl 2 , HBr, BCl 3 , CH 4. At least one of the group consisting of NH 4 is used as an additive gas. If a gas containing oxygen atoms is used, the irradiating effect of oxygen radicals and oxygen ions generated in the plasma promotes the etching of the resist underlayer film. On the other hand, regarding the silicon-containing resist film, The oxidation and aggregation of silicon components in the resist film improves the etching resistance, and can increase the selection ratio of the silicon-containing resist film to the resist base film. In the case of suppressing changes in the pattern size before and after etching, by increasing the oxygen-containing gas containing oxygen atoms and at least one of C, N, S, etc. (for example, CO, CO 2 , NO, NO 2 , N 2 O, SO , SO 2 , COS), the accumulation component generated in the plasma adheres to the sidewall of the etching pattern, suppresses the side etching effect caused by oxygen radicals, and can reduce the narrowing of the line width before and after etching. By adding CH 4 or NH 4 as an additive gas in an oxygen-containing gas (such as O 2 , O 3 , CO, CO 2 , NO, NO 2 , N 2 O, SO, SO 2 , COS), the same can be done Play the effect. In addition, if a gas containing a halogen element other than fluorine such as Cl 2 or HBr is used, a high-boiling carbon chloride or carbon bromide is formed as an etching product of the underlying film, and the adhesion to the sidewall of the processed pattern is improved. In this case, the inhibitory effect of side corrosion due to oxygen radicals can also be expected. On the other hand, by appropriately selecting the mixing ratio of O 2 or O 3 gas and the diluent gas, the amount of side etching of the silicon-containing resist film and the resist underlayer film can also be controlled, and the etching can be performed at the same time. The trimming of the desired size.

本發明亦有關於包含所述本發明的圖案形成方法的電子器件的製造方法、以及利用該製造方法製造的電子器件。 本發明的電子器件是較佳地搭載於電氣電子設備(家電、辦公室自動化(Office Automation,OA)、媒體相關設備、光學用設備及通訊設備等)上的電子器件。 [實施例]The present invention also relates to a manufacturing method of an electronic device including the pattern forming method of the present invention, and an electronic device manufactured by the manufacturing method. The electronic device of the present invention is an electronic device that is preferably mounted on electrical and electronic equipment (home appliances, Office Automation (OA), media-related equipment, optical equipment, communication equipment, etc.). [Example]

以下列舉實施例來更具體地說明本發明的實施形態。只要不脫離本發明的實施形態的主旨,則可適宜變更以下的實施例中所示的材料、使用量、比例、處理內容、及處理程序等。因而,本發明的實施形態的範圍並不限定於以下所示的具體例。再者,只要無特別說明,則「份」、「%」為質量基準。Examples are given below to more specifically explain the embodiments of the present invention. As long as it does not deviate from the gist of the embodiment of the present invention, the materials, usage amount, ratio, processing content, processing procedure, etc. shown in the following examples can be appropriately changed. Therefore, the scope of the embodiments of the present invention is not limited to the specific examples shown below. In addition, unless otherwise specified, "parts" and "%" are quality standards.

(β-PGMEA與α-PGMEA的精製) 將丙二醇單甲醚乙酸酯(PGMEA)(關東化學股份有限公司製造)放入包括蒸餾裝置的燒瓶中,減壓至100 mmHg並緩慢加熱。去除於80℃附近獲得的餾分(1-甲氧基-2-丙基乙酸酯(α-PGMEA))(溶劑F-1)後,回收於110℃附近獲得的餾分(2-甲氧基-1-丙醇乙酸酯(β-PGMEA))。 以所述方式獲得α-PGMEA、β-PGMEA。 所獲得的蒸餾物為α-PGMEA、β-PGMEA是分別藉由1 H-核磁共振(Nuclear Magnetic Resonance,NMR)來確認。(Purification of β-PGMEA and α-PGMEA) Put propylene glycol monomethyl ether acetate (PGMEA) (manufactured by Kanto Chemical Co., Ltd.) into a flask containing a distillation apparatus, reduce the pressure to 100 mmHg and slowly heat. After removing the fraction (1-methoxy-2-propyl acetate (α-PGMEA)) (solvent F-1) obtained near 80°C, the fraction obtained near 110°C (2-methoxy -1-propanol acetate (β-PGMEA)). In this way, α-PGMEA and β-PGMEA are obtained. The obtained distillates were α-PGMEA and β-PGMEA, respectively, which were confirmed by 1 H-NMR (Nuclear Magnetic Resonance, NMR).

利用與所述相同的方法,由市售的丙二醇單甲醚(PGME)獲得1-甲氧基-2-丙醇(α-PGME)(溶劑F-2)與2-甲氧基-1-丙醇(β-PGME)。 另外,利用與所述相同的方法,由市售的丙二醇單乙醚(PGEE)獲得1-乙氧基-2-丙醇(α-PGEE)(溶劑F-3)與2-乙氧基-1-丙醇(β-PGEE)。Using the same method as described above, 1-methoxy-2-propanol (α-PGME) (solvent F-2) and 2-methoxy-1-propanol were obtained from commercially available propylene glycol monomethyl ether (PGME). Propanol (β-PGME). In addition, using the same method as described above, 1-ethoxy-2-propanol (α-PGEE) (solvent F-3) and 2-ethoxy-1 were obtained from commercially available propylene glycol monoethyl ether (PGEE). -Propanol (β-PGEE).

<具有芳香環的樹脂> 所使用的具有芳香環的樹脂(A-1~A-15)分別具有下述所示的結構。樹脂中所含的重複單元的組成比為莫耳比率。將具有芳香環的樹脂的重量平均分子量(Mw)示於下述表1。其中,對於樹脂A-6,示出取代酚性羥基的數量與非取代酚性羥基的數量之比,而非示出Mw。 再者,具有芳香環的樹脂的重量平均分子量(Mw)如所述般是利用GPC(載體:四氫呋喃(THF))測定(為聚苯乙烯換算量)。另外,樹脂中所含的重複單元的組成比(莫耳比率)是藉由13 C-核磁共振(Nuclear Magnetic Resonance,NMR)測定。<Resin having an aromatic ring> The resins (A-1 to A-15) having an aromatic ring to be used each have the structure shown below. The composition ratio of the repeating units contained in the resin is the molar ratio. The weight average molecular weight (Mw) of the resin having an aromatic ring is shown in Table 1 below. However, for resin A-6, the ratio of the number of substituted phenolic hydroxyl groups to the number of non-substituted phenolic hydroxyl groups is shown instead of Mw. In addition, the weight average molecular weight (Mw) of the resin which has an aromatic ring is measured by GPC (carrier: tetrahydrofuran (THF)) as mentioned above (it is a polystyrene conversion amount). In addition, the composition ratio (molar ratio) of the repeating units contained in the resin is measured by 13 C-nuclear magnetic resonance (NMR).

[化21]

Figure 02_image043
[化21]
Figure 02_image043

[化22]

Figure 02_image045
[化22]
Figure 02_image045

[化23]

Figure 02_image047
[化23]
Figure 02_image047

[表1] 具有芳香環的樹脂 Mw 取代酚性羥基的數量/非取代酚性羥基的數量 A-1 7000 - A-2 5000 - A-3 6500 - A-4 4500 - A-5 11000 - A-6 - 70/30 A-7 9000 - A-8 13000 - A-9 8300 - A-10 8000 - A-11 5000 - A-12 6000 - A-13 4000 - A-14 7000 - A-15 9000   [Table 1] Resin with aromatic ring Mw Number of substituted phenolic hydroxyl groups/Number of non-substituted phenolic hydroxyl groups A-1 7000 - A-2 5000 - A-3 6500 - A-4 4500 - A-5 11000 - A-6 - 70/30 A-7 9000 - A-8 13000 - A-9 8300 - A-10 8000 - A-11 5000 - A-12 6000 - A-13 4000 - A-14 7000 - A-15 9000

<交聯劑> 以下示出所使用的交聯劑(CL-1~CL-10)的結構。<Crosslinking agent> The structure of the crosslinking agent (CL-1 to CL-10) used is shown below.

[化24]

Figure 02_image049
[化24]
Figure 02_image049

[化25]

Figure 02_image051
[化25]
Figure 02_image051

[化26]

Figure 02_image053
[化26]
Figure 02_image053

[化27]

Figure 02_image055
[化27]
Figure 02_image055

<酸產生劑> 以下示出所使用的酸產生劑(T-1~T-9)。T-5及T-6為金氏產業(KING INDUSTRIES)公司製造。T-1~T-9均為熱酸產生劑。<Acid Generator> The acid generators (T-1 to T-9) used are shown below. T-5 and T-6 are manufactured by KING INDUSTRIES. T-1~T-9 are all thermal acid generators.

[化28]

Figure 02_image057
[化28]
Figure 02_image057

[化29]

Figure 02_image059
[化29]
Figure 02_image059

<溶劑> 下述示出所使用的溶劑。 F-1:1-甲氧基-2-丙基乙酸酯(α-PGMEA) F-2:1-甲氧基-2-丙醇(α-PGME) F-3:1-乙氧基-2-丙醇(α-PGEE) F-4:環己酮 F-5:環戊酮 F-6:2-庚酮 F-7:乳酸乙酯 F-8:γ-丁內酯 F-9:碳酸伸丙酯<Solvent> The solvents used are shown below. F-1: 1-Methoxy-2-propyl acetate (α-PGMEA) F-2: 1-Methoxy-2-propanol (α-PGME) F-3: 1-Ethoxy-2-propanol (α-PGEE) F-4: Cyclohexanone F-5: Cyclopentanone F-6: 2-Heptanone F-7: Ethyl lactate F-8: γ-butyrolactone F-9: Propyl Carbonate

(實施例1~實施例16、及比較例1~比較例3) <抗蝕劑底層膜形成用組成物的製備> 使用表2所示的量(質量份)的下述表2所示的各成分,以固體成分濃度成為5質量%的方式混合而獲得溶液。其中,以成為下述表3所示的值的方式調整β-PGMEA、β-PGME、β-PGEE、及水的含量。繼而,利用具有0.03 μm細孔徑的聚乙烯過濾器對所獲得的溶液進行過濾,藉此製備抗蝕劑底層膜形成用組成物。 β-PGMEA、β-PGME、及β-PGEE的含量分別藉由將利用所述方法而獲得的β-PGMEA、β-PGME、及β-PGEE添加至用於抗蝕劑底層膜形成用組成物的製備的溶劑中來調整。 水的含量藉由相對於用於抗蝕劑底層膜形成用組成物的α-PGMEA(F-1)添加純水或者進行脫水操作來調整。 再者,於抗蝕劑底層膜形成用組成物中所謂固體成分,是指自抗蝕劑底層膜形成用組成物中去除溶劑、水、通式(1)所表示的化合物、通式(2)所表示的化合物、及通式(3)所表示的化合物後的所有成分。於實施例及比較例中使用所獲得的抗蝕劑底層膜形成用組成物。(Example 1 to Example 16, and Comparative Example 1 to Comparative Example 3) <Preparation of composition for forming resist base film> The respective components shown in Table 2 below were used in the amounts (parts by mass) shown in Table 2 and mixed so that the solid content concentration became 5% by mass to obtain a solution. However, the contents of β-PGMEA, β-PGME, β-PGEE, and water were adjusted so as to become the values shown in Table 3 below. Then, the obtained solution was filtered with a polyethylene filter having a pore diameter of 0.03 μm, thereby preparing a composition for forming a resist underlayer film. The contents of β-PGMEA, β-PGME, and β-PGEE were obtained by adding β-PGMEA, β-PGME, and β-PGEE obtained by the method to the composition for forming a resist underlayer film, respectively The preparation of the solvent can be adjusted. The content of water is adjusted by adding pure water or performing a dehydration operation to α-PGMEA (F-1) used in the composition for forming a resist underlayer film. In addition, in the composition for forming a resist underlayer film, the so-called solid content means removing the solvent, water, the compound represented by the general formula (1), and the compound represented by the general formula (2) from the composition for forming a resist underlayer film. ) And all components after the compound represented by the general formula (3). The obtained resist underlayer film formation composition was used in Examples and Comparative Examples.

[表2] 抗蝕劑底層膜形成用組成物 具有芳香環的樹脂 交聯劑 酸產生劑 溶劑 種類 質量份 種類 質量份 種類 質量份 種類 混合質量比 UL-1 A-1 1 CL-1 0.08 T-1 0.02 F-1 100 UL-2 A-2 1 CL-2 0.1 T-2 0.03 F-1/F-2 60/40 UL-3 A-3 1 CL-3 0.07 T-3 0.02 F-1/F-3 80/20 UL-4 A-4 1 CL-4 0.09 T-4 0.04 F-1/F-4 90/10 UL-5 A-5 1 CL-5 0.11 T-5 0.05 F-1/F-5 70/30 UL-6 A-6 1 CL-6 0.05 T-6 0.01 F-1/F-6 80/20 UL-7 A-7 1 CL-7 0.08 T-1 0.07 F-1/F-7 60/40 UL-8 A-8 1 CL-8 0.07 T-2 0.06 F-1/F-8 95/5 UL-9 A-9 1 CL-9 0.1 T-3 0.1 F-1/F-9 99/1 UL-10 A-10 1 CL-1 0.12 T-4 0.04 F-1 100 UL-11 A-11 1 CL-3 0.09 T-5 0.03 F-1/F-2 40/60 UL-12 A-12 1 CL-5 0.05 T-6 0.01 F-1/F-4 30/70 UL-13 A-13 1 CL-7 0.06 T-7 0.02 F-1/F-7 20/80 UL-14 A-14 1 CL-9 0.05 T-8 0.03 F-1 100 UL-15 A-15 1 CL-10 0.08 T-9 0.05 F-1 100 UL-16 A-1 1 CL-1 0.08 T-1 0.02 F-1 100 UL-17 A-2 1 CL-2 0.08 T-2 0.02 F-1/F-2 60/40 UL-18 A-5 1 CL-5 0.11 T-5 0.05 F-1/F-5 70/30 UL-19 A-5 1 CL-5 0.11 T-5 0.05 F-1/F-5 70/30 [Table 2] Composition for forming resist underlayer film Resin with aromatic ring Crosslinking agent Acid generator Solvent species Mass parts species Mass parts species Mass parts species Mixed mass ratio UL-1 A-1 1 CL-1 0.08 T-1 0.02 F-1 100 UL-2 A-2 1 CL-2 0.1 T-2 0.03 F-1/F-2 60/40 UL-3 A-3 1 CL-3 0.07 T-3 0.02 F-1/F-3 80/20 UL-4 A-4 1 CL-4 0.09 T-4 0.04 F-1/F-4 90/10 UL-5 A-5 1 CL-5 0.11 T-5 0.05 F-1/F-5 70/30 UL-6 A-6 1 CL-6 0.05 T-6 0.01 F-1/F-6 80/20 UL-7 A-7 1 CL-7 0.08 T-1 0.07 F-1/F-7 60/40 UL-8 A-8 1 CL-8 0.07 T-2 0.06 F-1/F-8 95/5 UL-9 A-9 1 CL-9 0.1 T-3 0.1 F-1/F-9 99/1 UL-10 A-10 1 CL-1 0.12 T-4 0.04 F-1 100 UL-11 A-11 1 CL-3 0.09 T-5 0.03 F-1/F-2 40/60 UL-12 A-12 1 CL-5 0.05 T-6 0.01 F-1/F-4 30/70 UL-13 A-13 1 CL-7 0.06 T-7 0.02 F-1/F-7 20/80 UL-14 A-14 1 CL-9 0.05 T-8 0.03 F-1 100 UL-15 A-15 1 CL-10 0.08 T-9 0.05 F-1 100 UL-16 A-1 1 CL-1 0.08 T-1 0.02 F-1 100 UL-17 A-2 1 CL-2 0.08 T-2 0.02 F-1/F-2 60/40 UL-18 A-5 1 CL-5 0.11 T-5 0.05 F-1/F-5 70/30 UL-19 A-5 1 CL-5 0.11 T-5 0.05 F-1/F-5 70/30

<抗蝕劑底層膜形成用組成物中的β-PGMEA的含量的測定> 抗蝕劑底層膜形成用組成物中的β-PGMEA的含量是如下般測定。 使用瑪珂思(MARKES)公司製造的加熱吸附裝置μ-CTE250,於加熱溫度170℃下使試樣中的溶劑成分加熱、氣化而吸附於專用的試樣管。之後,使用GL科學(GL Sciences)公司製造的加熱解吸裝置HandyTD TD265,於加熱溫度170℃下使吸附於試樣管的溶劑成分解吸後,利用日本電子公司製造的氣相層析質量分析計JMS-Q1500GC進行定量分析。<Measurement of β-PGMEA content in resist base film formation composition> The content of β-PGMEA in the composition for forming a resist base film is measured as follows. Using a heating adsorption device μ-CTE250 manufactured by MARKES, the solvent component in the sample is heated and vaporized at a heating temperature of 170°C and adsorbed in a dedicated sample tube. After that, the heating and desorption device HandyTD TD265 manufactured by GL Sciences (GL Sciences) was used to desorb the solvent components adsorbed in the sample tube at a heating temperature of 170°C, and then the gas chromatography mass analyzer JMS manufactured by JEOL was used. -Q1500GC for quantitative analysis.

<抗蝕劑底層膜形成用組成物中的β-PGME及β-PGEE的含量的測定> 抗蝕劑底層膜形成用組成物中的β-PGME及β-PGEE的含量亦與所述「抗蝕劑底層膜形成用組成物中的β-PGMEA的含量的測定」同樣地測定。<Measurement of the content of β-PGME and β-PGEE in the composition for forming a resist base film> The content of β-PGME and β-PGEE in the composition for forming a resist underlayer film is also measured in the same manner as the above-mentioned "Measurement of the content of β-PGMEA in the composition for forming a resist underlayer".

<抗蝕劑底層膜形成用組成物中的水的含量的測定> 抗蝕劑底層膜形成用組成物中的水的含量是使用京都電子工業公司製造的卡爾費歇爾水分計MKC-510N進行測定。使用HYDRANAL-Coulomat AK(霍尼韋爾(Honeywell)公司製造)作為陽極液,使用HYDRANAL-Coulomat CG(霍尼韋爾(Honeywell)公司製造)作為陰極液,注入試樣5 g來測定水分量。<Measurement of the water content in the composition for forming a resist base film> The content of water in the composition for forming a resist underlayer film was measured using a Karl Fischer moisture meter MKC-510N manufactured by Kyoto Electronics Industry Co., Ltd. HYDRANAL-Coulomat AK (manufactured by Honeywell) was used as the anolyte, and HYDRANAL-Coulomat CG (manufactured by Honeywell) was used as the catholyte, and 5 g of the sample was injected to measure the moisture content.

<性能評價> 如下述般對經時後的塗佈缺陷及經時後的平坦性進行評價。再者,抗蝕劑底層膜形成用組成物是使用於製備後於35℃的恆溫槽中保管六個月者。<Performance evaluation> The coating defects after time and the flatness after time were evaluated as follows. In addition, the composition for forming a resist underlayer film is used for storing in a thermostat at 35°C for six months after preparation.

[經時後的塗佈缺陷] 使用旋塗機(東京電子公司的「克林特拉庫(CLEAN TRACK)ACT12」)利用旋塗法,以1500 rpm的轉數將抗蝕劑底層膜形成用組成物塗敷於矽晶圓上。繼而,於大氣環境下於205℃且60秒下進行加熱,從而於矽晶圓上形成膜厚100 nm的抗蝕劑底層膜。 利用UVision5(AMAT公司製造)檢測矽晶圓上的缺陷分佈,使用SEMVisionG4(AMAT公司製造)測定缺陷的數量。 A:每個晶圓的缺陷的數量為10個以下 B:每個晶圓的缺陷的數量為11個~50個 C:每個晶圓的缺陷的數量為51個~100個 D:每個晶圓的缺陷的數量為101個以上[Coating Defects after Time] Using a spin coater ("CLEAN TRACK ACT12" of Tokyo Electronics Co., Ltd.), the composition for forming a resist underlayer film was applied to the silicon wafer at a rotation speed of 1500 rpm using a spin coating method . Then, heating is performed at 205° C. for 60 seconds in an atmospheric environment to form a resist underlayer film with a thickness of 100 nm on the silicon wafer. Use UVision5 (manufactured by AMAT) to detect the distribution of defects on the silicon wafer, and use SEMVisionG4 (manufactured by AMAT) to determine the number of defects. A: The number of defects per wafer is less than 10 B: The number of defects per wafer is 11-50 C: The number of defects per wafer is 51-100 D: The number of defects per wafer is more than 101

[經時後的平坦性] 使用旋塗機(東京電子公司的「克林特拉庫(CLEAN TRACK)ACT12」)利用旋塗法,以1500 rpm的轉數將抗蝕劑底層膜形成用組成物塗敷於形成有深度100 nm、槽寬10 μm的溝槽圖案(槽彼此的間隔為10 μm)的矽晶圓上。繼而,於大氣環境下於205℃且60秒下進行加熱,從而於矽晶圓上形成膜厚100 nm的抗蝕劑底層膜。 利用掃描式電子顯微鏡(日立高新技術(Hitachi High-technologies)公司的「S-4800」)來觀察具有該抗蝕劑底層膜的矽晶圓的剖面形狀,並將該抗蝕劑底層膜的所述溝槽圖案的中央部分的高度與距所述溝槽圖案的端部5 μm的位置的非溝槽圖案部分的高度之差(ΔFT)設為經時後的平坦性的指標。 經時後的平坦性是將該ΔFT未滿30 nm的情況評價為「A」,將30 nm以上且未滿40 nm的情況評價為「B」,將40 nm以上的情況評價為「C」。[Flatness after time] Using a spin coater (“CLEAN TRACK ACT12” of Tokyo Electronics Co., Ltd.), the composition for forming a resist underlayer film was applied to a depth of 100 at a rotation speed of 1500 rpm using a spin coating method. On a silicon wafer with a groove pattern of 10 μm and a groove width of 10 μm (the interval between the grooves is 10 μm). Then, heating is performed at 205° C. for 60 seconds in an atmospheric environment to form a resist underlayer film with a thickness of 100 nm on the silicon wafer. A scanning electron microscope (Hitachi High-technologies’ "S-4800") was used to observe the cross-sectional shape of the silicon wafer with the resist underlayer film, and The difference (ΔFT) between the height of the central portion of the groove pattern and the height of the non-groove pattern portion at a position 5 μm from the end of the groove pattern (ΔFT) is used as an index of flatness over time. For the flatness after time, the case where the ΔFT is less than 30 nm is evaluated as "A", the case where the ΔFT is more than 30 nm and less than 40 nm is evaluated as "B", and the case where the ΔFT is greater than 40 nm is evaluated as "C" .

將所獲得的評價結果示於表3中。 表3所示的「β-PGMEA的含量」是β-PGMEA相對於抗蝕劑底層膜形成用組成物的總質量的含量。 表3所示的「β-PGME的含量」是β-PGME相對於抗蝕劑底層膜形成用組成物的總質量的含量。 表3所示的「β-PGEE的含量」是β-PGEE相對於抗蝕劑底層膜形成用組成物的總質量的含量。 表3所示的「水的含量」是水相對於抗蝕劑底層膜形成用組成物的總質量的含量。 表3所示的「β-PGMEA相對於α-PGMEA的比率」是抗蝕劑底層膜形成用組成物中的β-PGMEA相對於α-PGMEA的含量的比率(質量%)。 表3中所謂「β-PGMEA的含量」為「未檢測」,表示β-PGMEA相對於抗蝕劑底層膜形成用組成物的總質量的含量未滿0.1質量ppm。 表3中「β-PGME的含量」為「-」,表示β-PGME相對於抗蝕劑底層膜形成用組成物的總質量的含量未滿0.1質量ppm。 表3中「β-PGEE的含量」為「-」,表示β-PGEE相對於抗蝕劑底層膜形成用組成物的總質量的含量未滿0.1質量ppm。 表3中「水的含量」為「-」,表示水相對於抗蝕劑底層膜形成用組成物的總質量的含量未滿0.1質量ppm。Table 3 shows the obtained evaluation results. The "content of β-PGMEA" shown in Table 3 is the content of β-PGMEA with respect to the total mass of the composition for forming a resist base film. The "content of β-PGME" shown in Table 3 is the content of β-PGME with respect to the total mass of the composition for forming a resist underlayer film. The "content of β-PGEE" shown in Table 3 is the content of β-PGEE with respect to the total mass of the composition for forming a resist base film. The "water content" shown in Table 3 is the content of water with respect to the total mass of the composition for forming a resist underlayer film. The “ratio of β-PGMEA to α-PGMEA” shown in Table 3 is the ratio (mass %) of β-PGMEA to the content of α-PGMEA in the composition for forming a resist base film. The “content of β-PGMEA” in Table 3 is “undetected”, which means that the content of β-PGMEA with respect to the total mass of the resist base film forming composition is less than 0.1 mass ppm. "The content of β-PGME" in Table 3 is "-", which means that the content of β-PGME with respect to the total mass of the resist base film formation composition is less than 0.1 mass ppm. The "content of β-PGEE" in Table 3 is "-", which means that the content of β-PGEE with respect to the total mass of the resist base film formation composition is less than 0.1 mass ppm. The "content of water" in Table 3 is "-", which means that the content of water relative to the total mass of the composition for forming a resist base film is less than 0.1 mass ppm.

[化30]

Figure 02_image061
[化30]
Figure 02_image061

[表3]   抗蝕劑底層膜形成用組成物 β-PGMEA的含量[質量ppm] β-PGME的含量[質量ppm] β-PGEE的含量[質量ppm] 水的含量[質量ppm] β-PGMEA相對於α-PGMEA的比率[質量%] 經時後的塗佈缺陷 經時後的平坦性 實施例1 UL-1 0.8 - - 90 0.00008 C B 實施例2 UL-2 0.4 0.4 - 2500 0.00007 C A 實施例3 UL-3 0.5 - 50 6000 0.00007 C A 實施例4 UL-4 0.9 - - 7500 0.00011 B A 實施例5 UL-5 20 30 - 60 0.00301 A B 實施例6 UL-6 40 - - 3000 0.00526 B A 實施例7 UL-7 30 - - 8000 0.00526 B A 實施例8 UL-8 20 - - 15000 0.00222 A C 實施例9 UL-9 300 - - 75 0.03191 B B 實施例10 UL-10 450 - - 1500 0.04739 B A 實施例11 UL-11 200 200 - 6300 0.05266 B A 實施例12 UL-13 25 - - 95 0.01316 B B 實施例13 UL-14 15 20 - 4100 0.00158 A A 實施例14 UL-15 35 30 - - 0.00368 A C 比較例1 UL-16 未檢測 - - 1000 - D A 比較例2 UL-12 2500 - - - 0.88496 D C 比較例3 UL-17 600 600 - 20000 0.10537 D C 實施例15 UL-18 20 210 - 60 0.00301 B B 實施例16 UL-19 37 30 - 60 0.00556 B B [table 3] Composition for forming resist underlayer film The content of β-PGMEA [mass ppm] The content of β-PGME [mass ppm] The content of β-PGEE [mass ppm] Water content [mass ppm] Ratio of β-PGMEA to α-PGMEA [mass%] Coating defects after time Flatness after time Example 1 UL-1 0.8 - - 90 0.00008 C B Example 2 UL-2 0.4 0.4 - 2500 0.00007 C A Example 3 UL-3 0.5 - 50 6000 0.00007 C A Example 4 UL-4 0.9 - - 7500 0.00011 B A Example 5 UL-5 20 30 - 60 0.00301 A B Example 6 UL-6 40 - - 3000 0.00526 B A Example 7 UL-7 30 - - 8000 0.00526 B A Example 8 UL-8 20 - - 15000 0.00222 A C Example 9 UL-9 300 - - 75 0.03191 B B Example 10 UL-10 450 - - 1500 0.04739 B A Example 11 UL-11 200 200 - 6300 0.05266 B A Example 12 UL-13 25 - - 95 0.01316 B B Example 13 UL-14 15 20 - 4100 0.00158 A A Example 14 UL-15 35 30 - - 0.00368 A C Comparative example 1 UL-16 Not detected - - 1000 - D A Comparative example 2 UL-12 2500 - - - 0.88496 D C Comparative example 3 UL-17 600 600 - 20000 0.10537 D C Example 15 UL-18 20 210 - 60 0.00301 B B Example 16 UL-19 37 30 - 60 0.00556 B B

由表3所示的結果可知,本發明的抗蝕劑底層膜形成用組成物可抑制經時後的塗佈缺陷,且經時後的平坦性優異。From the results shown in Table 3, it can be seen that the composition for forming a resist underlayer film of the present invention can suppress coating defects over time and is excellent in flatness over time.

[多層抗蝕劑製程的實施例] <抗蝕劑組成物的製備> 使用下述所示的量(質量份)的下述所示的各成分,使用丙二醇單甲醚乙酸酯/環己酮/γ-丁內酯=70/29/1(質量比)的混合溶劑作為溶劑,以固體成分濃度成為4質量%的方式混合而獲得溶液。繼而,利用具有0.03 μm細孔徑的聚乙烯過濾器對所獲得的溶液進行過濾,藉此製備抗蝕劑組成物Re-1。[Example of multilayer resist manufacturing process] <Preparation of resist composition> Use the following components in the amounts (parts by mass) shown below, using a mixture of propylene glycol monomethyl ether acetate/cyclohexanone/γ-butyrolactone=70/29/1 (mass ratio) As the solvent, the solvent was mixed so that the solid content concentration became 4% by mass to obtain a solution. Then, the obtained solution was filtered with a polyethylene filter having a pore diameter of 0.03 μm, thereby preparing a resist composition Re-1.

(用於抗蝕劑組成物Re-1的製備的成分(固體成分)) 酸分解性樹脂P-1             1質量份 光酸產生劑PAG-1            0.11質量份 酸擴散控制劑D-1             0.03質量份 疏水性樹脂E-1                 0.008質量份 界面活性劑H-1                0.002質量份(Ingredients (solid content) used in the preparation of resist composition Re-1) Acid decomposable resin P-1 1 part by mass Photoacid generator PAG-1 0.11 parts by mass Acid diffusion control agent D-1 0.03 parts by mass Hydrophobic resin E-1 0.008 parts by mass Surfactant H-1 0.002 parts by mass

以下記載所使用的成分。再者,樹脂中所含的重複單元的組成比率為莫耳比率。The ingredients used are described below. In addition, the composition ratio of the repeating unit contained in the resin is a molar ratio.

[化31]

Figure 02_image063
[化31]
Figure 02_image063

界面活性劑H-1為美佳法(Megafac)F176(迪愛生(DIC)(股)製造,氟系界面活性劑)。Surfactant H-1 is Megafac F176 (manufactured by DIC (stock), fluorine-based surfactant).

<圖案形成方法> 於矽晶圓上塗佈抗蝕劑底層膜形成用組成物UL-1,於205℃下烘烤60秒鐘,形成膜厚100 nm的抗蝕劑底層膜。於其上塗佈SHB-A940(信越化學工業公司製造的含矽自旋硬遮罩),於220℃下烘烤60秒鐘而形成膜厚30 nm的中間膜。進而於其上塗佈抗蝕劑組成物Re-1,於100℃下烘烤60秒鐘,形成膜厚90 nm的抗蝕劑膜。對於抗蝕劑膜,使用ArF準分子雷射液浸掃描器(艾司莫耳(ASML)公司製造;XT1950i、NA1.35、C-Quad、外西格瑪0.930、內西格瑪0.730、XY偏轉),介隔線寬50 nm的1:1線與空間圖案的6%半色調遮罩進行曝光。液浸液是使用超純水。 將曝光後的抗蝕劑膜於100℃下烘烤60秒鐘後,利用2.38質量%氫氧化四甲基銨(TMAH)水溶液顯影30秒鐘,繼而利用純水沖洗30秒鐘。之後,將其旋轉乾燥而獲得正型的線寬50 nm的1:1線與空間圖案(抗蝕劑圖案)。 對於形成有抗蝕劑圖案的矽晶圓,使用電漿系統製造的平行平板型反應離子蝕刻裝置DES-245R,將抗蝕劑圖案作為遮罩,於下述蝕刻條件1下對中間膜進行蝕刻。將所獲得的中間膜的圖案作為遮罩,進一步於下述蝕刻條件2下對抗蝕劑底層膜進行蝕刻,從而獲得良好的圖案。另外,對於實施例2~實施例16中使用的抗蝕劑底層膜形成用組成物,亦於蝕刻後獲得良好的圖案。<Pattern formation method> The composition UL-1 for forming a resist underlayer film was coated on a silicon wafer, and baked at 205°C for 60 seconds to form a resist underlayer film with a thickness of 100 nm. SHB-A940 (silicon-containing spin hard mask manufactured by Shin-Etsu Chemical Co., Ltd.) was coated on it, and baked at 220°C for 60 seconds to form an intermediate film with a thickness of 30 nm. Furthermore, a resist composition Re-1 was applied thereon, and baked at 100°C for 60 seconds to form a resist film with a film thickness of 90 nm. For the resist film, use an ArF excimer laser immersion scanner (manufactured by ASML; XT1950i, NA1.35, C-Quad, external sigma 0.930, internal sigma 0.730, XY deflection). Expose with a 6% halftone mask of a 1:1 line and a space pattern with a line width of 50 nm. The liquid immersion liquid is ultrapure water. After the exposed resist film was baked at 100°C for 60 seconds, it was developed with a 2.38% by mass tetramethylammonium hydroxide (TMAH) aqueous solution for 30 seconds, and then rinsed with pure water for 30 seconds. After that, it was spin-dried to obtain a positive 1:1 line and space pattern (resist pattern) with a line width of 50 nm. For the silicon wafer on which the resist pattern is formed, the parallel plate type reactive ion etching device DES-245R manufactured by Plasma System is used to etch the intermediate film under the following etching condition 1 using the resist pattern as a mask. . Using the obtained pattern of the intermediate film as a mask, the resist base film was further etched under the following etching conditions 2 to obtain a good pattern. In addition, the resist base film forming composition used in Example 2 to Example 16 also obtained a good pattern after etching.

(蝕刻條件1) 蝕刻氣體:CF4 壓力:20 mTorr 施加功率:100 mW/cm2 (Etching condition 1) Etching gas: CF 4 Pressure: 20 mTorr Applied power: 100 mW/cm 2

(蝕刻條件2) 蝕刻氣體:O2 壓力:20 mTorr 施加功率:100 mW/cm2 [產業上之可利用性](Etching condition 2) Etching gas: O 2 Pressure: 20 mTorr Applied power: 100 mW/cm 2 [Industrial applicability]

根據本發明,可提供抑制經時後的塗佈缺陷的產生、且經時後的平坦性優異的抗蝕劑底層膜形成用組成物、使用所述抗蝕劑底層膜形成用組成物的圖案形成方法及電子器件的製造方法。According to the present invention, it is possible to provide a composition for forming a resist underlayer film that suppresses the occurrence of coating defects after time and has excellent flatness after time, and a pattern using the composition for forming a resist underlayer film Forming method and manufacturing method of electronic device.

已參照特定的實施態樣且詳細地對本發明進行了說明,對於本領域從業人員而言明確的是,可不脫離本發明的精神與範圍而施加各種變更或修正。 本申請案是基於2019年11月29日提出申請的日本專利申請案(日本專利特願2019-217608)者,其內容作為參照而被編入至本文中。The present invention has been described in detail with reference to specific embodiments, and it is clear to those skilled in the art that various changes or modifications can be added without departing from the spirit and scope of the present invention. This application is based on a Japanese patent application (Japanese Patent Application No. 2019-217608) filed on November 29, 2019, and the content is incorporated herein as a reference.

no

no

Figure 109140190-A0101-11-0001-1
Figure 109140190-A0101-11-0001-1

無。no.

Claims (14)

一種抗蝕劑底層膜形成用組成物,含有:具有芳香環的樹脂、及下述通式(1)所表示的化合物,所述抗蝕劑底層膜形成用組成物中, 相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(1)所表示的化合物的含量為0.1質量ppm以上且500質量ppm以下,
Figure 03_image065
通式(1)中,R1 ~R3 各自獨立地表示碳數1~5的烷基。
A composition for forming a resist underlayer film, comprising: a resin having an aromatic ring and a compound represented by the following general formula (1); The total mass of the composition for forming an etchant underlayer film, and the content of the compound represented by the general formula (1) is 0.1 mass ppm or more and 500 mass ppm or less,
Figure 03_image065
In the general formula (1), R 1 to R 3 each independently represent an alkyl group having 1 to 5 carbon atoms.
如請求項1所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(1)所表示的化合物的含量為1質量ppm以上。The composition for forming a resist underlayer film according to claim 1, wherein the content of the compound represented by the general formula (1) is 1 relative to the total mass of the composition for forming a resist underlayer film Mass ppm or more. 如請求項1所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(1)所表示的化合物的含量為100質量ppm以下。The composition for forming a resist underlayer film according to claim 1, wherein the content of the compound represented by the general formula (1) is 100 relative to the total mass of the composition for forming a resist underlayer film Mass ppm or less. 如請求項1所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,含有0.1質量ppm以上且500質量ppm以下的下述通式(2)所表示的化合物,
Figure 03_image067
通式(2)中,R4 及R5 各自獨立地表示碳數1~5的烷基。
The composition for forming a resist underlayer film according to claim 1, wherein the composition for forming a resist underlayer film contains the following general formula of 0.1 mass ppm or more and 500 mass ppm or less relative to the total mass of the resist underlayer film forming composition (2) The compound represented,
Figure 03_image067
In the general formula (2), R 4 and R 5 each independently represent an alkyl group having 1 to 5 carbon atoms.
如請求項4所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(2)所表示的化合物的含量為1質量ppm以上。The composition for forming a resist underlayer film according to claim 4, wherein the content of the compound represented by the general formula (2) is 1 relative to the total mass of the composition for forming a resist underlayer film Mass ppm or more. 如請求項4所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述通式(2)所表示的化合物的含量為200質量ppm以下。The composition for forming a resist underlayer film according to claim 4, wherein the content of the compound represented by the general formula (2) is 200 relative to the total mass of the composition for forming a resist underlayer. Mass ppm or less. 如請求項1至請求項6中任一項所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,含有1質量ppm以上且1質量%以下的水。The composition for forming a resist underlayer film according to any one of claims 1 to 6, wherein the composition for forming a resist underlayer film contains 1 mass ppm or more and 1 mass ppm relative to the total mass of the composition for forming a resist underlayer film. Water below mass%. 如請求項7所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述水的含量為0.01質量%以上。The composition for forming a resist underlayer film according to claim 7, wherein the content of the water is 0.01% by mass or more with respect to the total mass of the composition for forming a resist underlayer. 如請求項7所述的抗蝕劑底層膜形成用組成物,其中相對於所述抗蝕劑底層膜形成用組成物的總質量,所述水的含量為0.5質量%以下。The composition for forming a resist underlayer film according to claim 7, wherein the content of the water is 0.5% by mass or less with respect to the total mass of the composition for forming a resist underlayer. 如請求項1至請求項6中任一項所述的抗蝕劑底層膜形成用組成物,含有下述通式(3)所表示的化合物,所述通式(1)所表示的化合物相對於所述通式(3)所表示的化合物的含量為0.1質量ppm以上且0.05質量%以下,
Figure 03_image069
通式(3)中,R6 ~R8 各自獨立地表示碳數1~5的烷基。
The composition for forming a resist underlayer film according to any one of claims 1 to 6, containing a compound represented by the following general formula (3), and the compound represented by the general formula (1) is relatively The content of the compound represented by the general formula (3) is 0.1 mass ppm or more and 0.05 mass% or less,
Figure 03_image069
In the general formula (3), R 6 to R 8 each independently represent an alkyl group having 1 to 5 carbon atoms.
如請求項10所述的抗蝕劑底層膜形成用組成物,其中所述通式(1)所表示的化合物相對於所述通式(3)所表示的化合物的含量為1質量ppm以上且0.005質量%以下。The composition for forming a resist underlayer film according to claim 10, wherein the content of the compound represented by the general formula (1) relative to the compound represented by the general formula (3) is 1 mass ppm or more and 0.005 mass% or less. 如請求項1至請求項6中任一項所述的抗蝕劑底層膜形成用組成物,含有熱酸產生劑及交聯劑。The composition for forming a resist underlayer film according to any one of claims 1 to 6 contains a thermal acid generator and a crosslinking agent. 一種圖案形成方法,包括: (1)於基板上利用如請求項1至請求項12中任一項所述的抗蝕劑底層膜形成用組成物形成抗蝕劑底層膜的步驟; (2)於所述抗蝕劑底層膜上利用抗蝕劑組成物形成抗蝕劑膜的步驟; (3)對所述抗蝕劑膜進行曝光的步驟; (4)對所述經曝光的抗蝕劑膜進行顯影形成抗蝕劑圖案的步驟;以及 (5)將所述抗蝕劑圖案作為遮罩進行蝕刻形成圖案的步驟。A pattern forming method includes: (1) A step of forming a resist underlayer film on a substrate using the composition for forming a resist underlayer film according to any one of claims 1 to 12; (2) A step of forming a resist film using a resist composition on the resist underlayer film; (3) The step of exposing the resist film; (4) The step of developing the exposed resist film to form a resist pattern; and (5) A step of etching the resist pattern as a mask to form a pattern. 一種電子器件的製造方法,包括如請求項13所述的圖案形成方法。A manufacturing method of an electronic device includes the pattern forming method as described in claim 13.
TW109140190A 2019-11-29 2020-11-18 Composition for forming resist underlayer film, pattern formation method, and electronic device manufacturing method TW202120464A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019217608 2019-11-29
JP2019-217608 2019-11-29

Publications (1)

Publication Number Publication Date
TW202120464A true TW202120464A (en) 2021-06-01

Family

ID=76130175

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109140190A TW202120464A (en) 2019-11-29 2020-11-18 Composition for forming resist underlayer film, pattern formation method, and electronic device manufacturing method

Country Status (3)

Country Link
JP (1) JPWO2021106536A1 (en)
TW (1) TW202120464A (en)
WO (1) WO2021106536A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240058101A (en) * 2021-09-24 2024-05-03 닛산 가가쿠 가부시키가이샤 Resist underlayer film forming composition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3895224B2 (en) * 2001-12-03 2007-03-22 東京応化工業株式会社 Positive resist composition and resist pattern forming method using the same
JP5481979B2 (en) * 2009-07-15 2014-04-23 Jsr株式会社 Radiation-sensitive resin composition and polymer used therefor
US9440899B2 (en) * 2014-12-15 2016-09-13 Rohm And Haas Electronic Materials Llc Purification method
TWI699617B (en) * 2015-11-10 2020-07-21 日商富士軟片股份有限公司 Colored composition, color filter, pattern forming method, solid-state imaging element and image display device
JP7196389B2 (en) * 2016-08-10 2022-12-27 Jsr株式会社 Composition for forming resist underlayer film for semiconductor, resist underlayer film, method for forming resist underlayer film, and method for producing patterned substrate

Also Published As

Publication number Publication date
JPWO2021106536A1 (en) 2021-06-03
WO2021106536A1 (en) 2021-06-03

Similar Documents

Publication Publication Date Title
TWI687773B (en) Pattern forming method, laminate and resist composition for organic solvent development
US9086624B2 (en) Monomer, polymer, resist composition, and patterning process
TW201927746A (en) Active-light-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, method for manufacturing electronic device, and compound
JP6833053B2 (en) Photosensitive resin composition, resist film, pattern forming method and manufacturing method of electronic device
WO2016190368A1 (en) Substrate processing method, resin composition, and method for producing electronic device
TW201837018A (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for producing electronic device
TWI813634B (en) Active light sensitive or radiation sensitive resin composition, resist film, method for forming pattern, method for manufacturing electronic device
KR20150028336A (en) Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, method for manufacturing electronic device, and electronic device
TWI822668B (en) Photosensitive radiation or radiation-sensitive resin composition, resist film, pattern forming method, and manufacturing method of electronic component
KR20200110438A (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method, resin
TWI818966B (en) Photosensitive resin composition and method for manufacturing thereof, resist film, method for forming pattern, and method for manufacturing electronic device
TW202120464A (en) Composition for forming resist underlayer film, pattern formation method, and electronic device manufacturing method
TWI805669B (en) Resist composition, resist film, method for forming pattern, and method for manufacturing electronic device
JP7045381B2 (en) Pattern forming method, ion implantation method, laminate, and manufacturing method of electronic device
TWI766074B (en) Photosensitive radiation-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and manufacturing method of electronic component
JPWO2016121535A1 (en) Radiation-sensitive or actinic-ray-sensitive composition, and film, mask blank, resist pattern forming method, and electronic device manufacturing method using the same
JP7280957B2 (en) Actinic ray- or radiation-sensitive resin composition, actinic ray- or radiation-sensitive film, pattern forming method, and electronic device manufacturing method
WO2021039407A1 (en) Active-ray-sensitive or radiation-sensitive resin composition, pattern formation method, and electronic device manufacturing method
WO2016132803A1 (en) Composition for organic pattern embedding, pattern forming method and method for manufacturing electronic device
JP7301151B2 (en) Underlayer film forming composition, resist pattern forming method, electronic device manufacturing method
JP7301152B2 (en) Underlayer film forming composition, resist pattern forming method, electronic device manufacturing method
KR20190042035A (en) A pattern forming method, an electronic device manufacturing method, and an actinic ray-sensitive or radiation-sensitive composition
JP2011053643A (en) Resist pattern forming method and radiation-sensitive resin composition
TWI833701B (en) Photosensitive radiation or radiation-sensitive resin composition, resist film, pattern forming method, manufacturing method of electronic component
WO2021106537A1 (en) Resist underlayer film-forming composition, pattern forming method, and electronic device manufacturing method