TW202107621A - Fabricating photonics structure conductive pathways - Google Patents

Fabricating photonics structure conductive pathways Download PDF

Info

Publication number
TW202107621A
TW202107621A TW109112443A TW109112443A TW202107621A TW 202107621 A TW202107621 A TW 202107621A TW 109112443 A TW109112443 A TW 109112443A TW 109112443 A TW109112443 A TW 109112443A TW 202107621 A TW202107621 A TW 202107621A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
trench
optical element
optical
Prior art date
Application number
TW109112443A
Other languages
Chinese (zh)
Inventor
道格拉斯 庫柏
杰拉爾德 利克
Original Assignee
紐約州立大學研究基金會
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 紐約州立大學研究基金會 filed Critical 紐約州立大學研究基金會
Priority to EP20771374.4A priority Critical patent/EP3987578A2/en
Priority to JP2021574804A priority patent/JP2022536793A/en
Priority to KR1020227001861A priority patent/KR20220086548A/en
Priority to US17/596,775 priority patent/US20220229228A1/en
Priority to PCT/US2020/028418 priority patent/WO2020256819A2/en
Publication of TW202107621A publication Critical patent/TW202107621A/en

Links

Images

Abstract

There is set forth herein a method including fabricating a photonics structure having one or more photonics device. The method can include forming one or more conductive material formation for communicating electrical signals to and/or from the one or more photonics device.

Description

製造光學結構傳導途徑的方法Method of manufacturing optical structure transmission path

〔政府權利聲明〕 本發明為以同意契約編號:HR0011-12-2-0007而受美國政府的國防先進研究計劃署(Defense Advanced Research Projects Agency,DARPA)支持。 美國政府可擁有本發明的特定權利。[Statement of Government Rights] The present invention is supported by the Defense Advanced Research Projects Agency (DARPA) of the US government under the agreement number: HR0011-12-2-0007. The U.S. government may have certain rights in this invention.

本發明一般關於光學,具體關於光學結構的製造。The present invention generally relates to optics, and specifically relates to the manufacture of optical structures.

市售光學積體電路為在晶圓上製造,例如:大塊矽晶圓(bulk silicon)、絕緣層上矽(silicon-on-insulator)晶圓。Commercially available optical integrated circuits are manufactured on wafers, such as bulk silicon wafers and silicon-on-insulator wafers.

一方面,市售的光學積體電路可包括:傳送光訊號的波導,光訊號是在光學積體電路晶片的不同面區之間以及該晶片上及該晶片外傳送。市售波導為矩形或脊形幾何形狀,並且以矽(單晶或多晶)或氮化矽製成。On the one hand, a commercially available optical integrated circuit may include a waveguide that transmits optical signals, and the optical signals are transmitted between different areas of the optical integrated circuit chip and on and off the chip. Commercially available waveguides have rectangular or ridge geometry and are made of silicon (single crystal or polycrystalline) or silicon nitride.

市售的光學積體電路可包括:光感測器及其他光學構件。光學積體電路依賴於通訊波段(約1.3μm至約1.55μm)中光的發射、調變及感測。鍺的帶隙吸收邊限(bandgap absorption edge)接近1.58μm。鍺已被觀察到對於使用1.3μm及1.55μm載波波長的光電應用可以提供足夠的光響應。Commercially available optical integrated circuits may include photo sensors and other optical components. The optical integrated circuit relies on the emission, modulation and sensing of light in the communication band (about 1.3 μm to about 1.55 μm). The bandgap absorption edge of germanium is close to 1.58μm. Germanium has been observed to provide sufficient light response for optoelectronic applications using 1.3μm and 1.55μm carrier wavelengths.

市售的光學積體電路晶片,為在具有光學積體電路晶片的系統上使用,該光學積體電路晶片為設置於印刷電路板上。A commercially available optical integrated circuit chip is used in a system with an optical integrated circuit chip, and the optical integrated circuit chip is installed on a printed circuit board.

本發明藉由光學結構的提供,克服先前技術的缺點並提供附加的優點。The present invention overcomes the shortcomings of the prior art and provides additional advantages through the provision of the optical structure.

本發明揭露一種方法,該方法包括:沉積介電材料的層,而使該介電材料的層的第一部分形成於光敏感材料構造上,且使該介電材料的層的第二部分形成在光學結構之介電堆疊的介電層上,該光學結構具有一個以上的光學元件;沉積蝕刻停止層在該介電材料的層上;形成介電材料層在該蝕刻停止層上;執行對於該蝕刻停止層有選擇性之該介電材料層的第一蝕刻而構成在光敏感材料構造上方的溝槽;執行該蝕刻停止層的第二蝕刻,其中該第二蝕刻移除整個該蝕刻停止層的厚度之該蝕刻停止層的材料以及移除部分該介電材料的層的厚度之該介電材料的層的材料,該第二蝕刻增加該溝槽的深度;以及執行該介電材料的層的剩餘厚度的無電漿蝕刻而顯露該光敏感材料構造,而使該溝槽的底部由該光敏感材料構造界定。The present invention discloses a method comprising: depositing a layer of dielectric material, forming a first part of the layer of dielectric material on a photosensitive material structure, and forming a second part of the layer of dielectric material on a structure of photosensitive material On the dielectric layer of the dielectric stack of the optical structure, the optical structure has more than one optical element; depositing an etching stop layer on the dielectric material layer; forming a dielectric material layer on the etching stop layer; The first etching of the etch stop layer is selective for the dielectric material layer to form a trench above the photosensitive material structure; the second etch of the etch stop layer is performed, wherein the second etch removes the entire etch stop layer The thickness of the material of the etch stop layer and the material of the layer of the dielectric material from the thickness of the layer of the dielectric material removed, the second etching increases the depth of the trench; and the layer of the dielectric material is performed The remaining thickness of the plasma-free etching reveals the photosensitive material structure, and the bottom of the trench is bounded by the photosensitive material structure.

本發明揭露一種方法,該方法包括:沉積一個以上的層,其中所述的沉積一個以上的該層包括一個以上的介電層而延伸介電堆疊的高度,其中一個以上的該層的一部分形成於傳導材料構造之上,且一個以上的該層的一部分形成於構成該介電堆疊之介電材料之上;蝕刻該介電堆疊而構成溝槽,該溝槽對齊於該傳導材料構造;進一步蝕刻該介電堆疊而擴寬該溝槽的上部區域,而使該溝槽具有較寬直徑的上部區域以及較窄直徑的下部區域;在單一沉積階段沉積鋁進入該溝槽,而使在執行該單一沉積階段,該下部區域以及該上部區域填充鋁,其中執行該沉積,而使該鋁過度填充該溝槽;以及平坦化該鋁的過度填充部分,而使在完成平坦化後的製造的中間階段的具有該介電堆疊之光學結構的頂部表面具有原子光滑的平坦的頂部表面,該平坦的頂部表面由該介電堆疊之介電材料以及該鋁構成。The present invention discloses a method comprising: depositing more than one layer, wherein the deposited more than one layer includes more than one dielectric layer to extend the height of the dielectric stack, wherein a part of the more than one layer is formed On the conductive material structure, and more than one part of the layer is formed on the dielectric material constituting the dielectric stack; etching the dielectric stack to form a trench, the trench being aligned with the conductive material structure; further The dielectric stack is etched to widen the upper area of the trench, so that the trench has an upper area with a wider diameter and a lower area with a narrower diameter; aluminum is deposited into the trench in a single deposition stage, and the execution In the single deposition stage, the lower region and the upper region are filled with aluminum, wherein the deposition is performed so that the aluminum overfills the trench; and the overfilled portion of the aluminum is planarized, so that the manufacturing after planarization is completed The top surface of the optical structure with the dielectric stack in the intermediate stage has an atomically smooth flat top surface, and the flat top surface is composed of the dielectric material of the dielectric stack and the aluminum.

本發明揭露一種方法,該方法包括:圖案化第一層而構成一個以上的光學元件;執行離子植入而在該第一層構成一個以上的離子植入區域;沉積一個以上的介電材料層在該第一層之上;蝕刻一個以上的該介電材料層而在一個以上的該介電材料層構成一個以上的溝槽,而使一個以上的溝槽的第一個該溝槽的底部對齊於一個以上的該離子植入區域中的特定的該離子植入區域;以及填充一個以上的該溝槽,其中所述的填充包括:以傳導材料填充第一個該溝槽,而使該傳導材料電連接於特定的該離子植入區域,以及其中該傳導材料包括:鋁。The present invention discloses a method, which includes: patterning a first layer to form more than one optical element; performing ion implantation to form more than one ion implantation region in the first layer; and depositing more than one dielectric material layer On the first layer; etching more than one layer of the dielectric material to form more than one trench in more than one layer of the dielectric material, and the bottom of the trench is the first of the more than one trench Aligning to a specific ion implantation region in more than one ion implantation region; and filling more than one trench, wherein the filling includes: filling the first trench with a conductive material so that the The conductive material is electrically connected to the specific ion implantation area, and wherein the conductive material includes aluminum.

本發明揭露一種方法,該方法包括:圖案化波導層而構成光學元件,該波導層由波導材料形成;沉積介電層在該光學元件上;對於該介電層進行化學機械平坦化而減低該介電層的高度,且對於該介電層進行化學機械研磨,而使該介電層構成原子光滑的表面;沉積第二介電層在該原子光滑的表面上;對於該第二介電層進行化學機械平坦化而減低該第二介電層的高度,且對於該第二介電層進行化學機械研磨,而使該第二介電層構成原子光滑的介電表面;沉積第二波導層在該原子光滑的介電表面之上;以及圖案化該第二波導層而構成第二光學元件。The present invention discloses a method. The method includes: patterning a waveguide layer to form an optical element, the waveguide layer is formed of a waveguide material; depositing a dielectric layer on the optical element; performing chemical mechanical planarization on the dielectric layer to reduce the The height of the dielectric layer, and chemical mechanical polishing is performed on the dielectric layer, so that the dielectric layer constitutes an atomically smooth surface; a second dielectric layer is deposited on the atomically smooth surface; for the second dielectric layer Perform chemical mechanical planarization to reduce the height of the second dielectric layer, and perform chemical mechanical polishing on the second dielectric layer so that the second dielectric layer constitutes an atomically smooth dielectric surface; depositing a second waveguide layer On the atomically smooth dielectric surface; and patterning the second waveguide layer to form a second optical element.

通過本發明揭露的技術實現了附加的特徵及優點。Additional features and advantages are realized through the technology disclosed in the present invention.

以下請參考圖式中的非限制性例子以更全面地解釋本發明的特徵、優點及細節。以下將省略公知材料、製造工具、處理技術等的說明,以免不必要地混淆本發明內容。然而,應理解的是,實施方式及具體例子雖然指出本發明的多個方面,但僅以例示方式給出,而非限制方式。在本發明概念下的精神及/或範圍內的各種替換、修改、添加、及/或排列對於本發明的技術領域中熟習該項技術者將是顯而易見的。Please refer to the non-limiting examples in the drawings below to more fully explain the features, advantages and details of the present invention. Descriptions of well-known materials, manufacturing tools, processing techniques, etc. will be omitted below to avoid unnecessarily obscuring the content of the present invention. However, it should be understood that although the embodiments and specific examples point out various aspects of the present invention, they are only given in an illustrative manner and not in a restrictive manner. Various substitutions, modifications, additions, and/or arrangements within the spirit and/or scope of the concept of the present invention will be obvious to those skilled in the technical field of the present invention.

本發明揭露在光學結構內製造傳導途徑的各種製造處理。The present invention discloses various manufacturing processes for manufacturing conductive pathways in optical structures.

參照圖1A至1J的製造階段圖,描述在光感測器240的光敏感材料之上溝槽的形成以及傳導材料的形成的處理。Referring to the manufacturing stage diagrams of FIGS. 1A to 1J, the formation of trenches on the photosensitive material of the photo sensor 240 and the formation of the conductive material will be described.

參照圖1A至1J,描述用於製造光學元件(例如:光學元件的光感測器)之傳導材料接點構造(conductive material contact formation)的處理,其中傳導材料構造係為接觸於光敏感材料(如,可以由鍺提供)。在圖1A至1J,描述具有光敏感材料構造242的光感測器240,該光敏感材料構造242可以由鍺構造提供。參照一個實施例的圖1A至1J描述的處理為提供出將傳導材料予以「軟著陸(soft landing)」在光敏感材料構造242之上。軟著陸處理提供將缺陷施加在光敏感材料構造242上的最小風險。1A to 1J, describe the processing of conductive material contact formation used to manufacture optical elements (for example: optical sensors of optical elements), wherein the conductive material structure is in contact with the photosensitive material ( For example, it can be provided by germanium). In FIGS. 1A to 1J, a photo sensor 240 having a light-sensitive material construction 242, which may be provided by a germanium construction, is depicted. The process described with reference to FIGS. 1A to 1J of an embodiment is to provide a “soft landing” of the conductive material on the photosensitive material structure 242. The soft landing process provides minimal risk of imposing defects on the light-sensitive material construction 242.

在圖1A所示的階段圖中,示出具有光感測器240的光學結構200,該光感測器240具有構成光敏感材料構造242的光敏感材料,該光敏感材料構造242經沉積、平坦化及光滑處理而構成在高度1605處水平平面延伸的平坦的頂部表面。可以由鍺構造提供之光敏感材料構造242可以具有離子植入區域1850。In the stage diagram shown in FIG. 1A, an optical structure 200 having a light sensor 240 is shown. The light sensor 240 has a light-sensitive material constituting a light-sensitive material structure 242. The light-sensitive material structure 242 is deposited, Flattened and smoothed to form a flat top surface extending in a horizontal plane at a height of 1605. The photosensitive material structure 242, which may be provided by the germanium structure, may have an ion implantation region 1850.

參照圖1A的階段圖,層2611可被沉積。層2611的一部分可沉積在光敏感材料構造242之上,而層2611的一部分可沉積在層2602之上。在層2611之上,層2612可被沉積。在層2612之上,層2613可被沉積。在層2613之上,層2614可被沉積。在圖1A的製造階段圖所示的實施例,層2602、2611、2612及2614可以由介電材料(例如:氧化物,如SiO2 )形成。在一實施例的層2613可以是蝕刻停止層,例如:氮化矽(SiN)。Referring to the stage diagram of FIG. 1A, layer 2611 may be deposited. A portion of the layer 2611 may be deposited on the photosensitive material construction 242, and a portion of the layer 2611 may be deposited on the layer 2602. On top of layer 2611, layer 2612 may be deposited. On top of layer 2612, layer 2613 may be deposited. On top of layer 2613, layer 2614 may be deposited. In the embodiment shown in the manufacturing stage diagram of FIG. 1A, the layers 2602, 2611, 2612, and 2614 may be formed of a dielectric material (for example, oxide, such as SiO 2 ). In one embodiment, the layer 2613 may be an etch stop layer, such as silicon nitride (SiN).

本發明的實施例認知到,在以氮化矽(SiN)形成的層2613可在蝕刻停止功能方面帶來優點的情況下,在光學結構200之內傳播的光訊號可以耦合至氮化物基結構。本發明的方法可包括:圖案化由蝕刻停止層提供的層2613,而使在光學結構20內傳播的光訊號不會耦合至層2613。本發明的方法可包括:圖案化提供蝕刻停止層的層2613,而使該蝕刻停止層光隔離於由下列所組成的群組中所選擇的一個以上的結構:(a)該光學結構的一個以上的光學元件,(b)該光學結構的特定光學元件,(c)該光學結構的複數個光學元件,以及(d)該光學結構的每一個光學元件。根據一個實施例,為了防止層2613耦合到外部光學結構的一個以上的光學元件,層2613可被圖案化而局部地形成,例如:形成如圖1A所示的截短長度,其中層2613具有如圖1A所示的左端及右端,該左端及該右端分別實質地對齊於所示之溝槽(圖1G中的1810)的所示側壁的左部分及右部分且在該左部分及該右部分的上方,光敏感材料構造242形成於所示之溝槽。The embodiment of the present invention recognizes that in the case where the layer 2613 formed of silicon nitride (SiN) can bring advantages in terms of the etch stop function, the optical signal propagating within the optical structure 200 can be coupled to the nitride-based structure . The method of the present invention may include: patterning the layer 2613 provided by the etch stop layer so that the optical signal propagating in the optical structure 20 will not be coupled to the layer 2613. The method of the present invention may include: patterning the layer 2613 that provides an etch stop layer, so that the etch stop layer is optically isolated from more than one structure selected from the group consisting of: (a) one of the optical structures The above optical element, (b) a specific optical element of the optical structure, (c) a plurality of optical elements of the optical structure, and (d) each optical element of the optical structure. According to one embodiment, in order to prevent the layer 2613 from being coupled to more than one optical element of the external optical structure, the layer 2613 may be patterned and locally formed, for example, to form a truncated length as shown in FIG. The left end and the right end shown in FIG. 1A, the left end and the right end are respectively substantially aligned with the left part and the right part of the side wall shown in the groove (1810 in FIG. 1G) and in the left part and the right part Above the photo-sensitive material structure 242 is formed in the groove shown.

在經降低的溫度範圍,例如:在約300°C至約500°C的溫度範圍內,層2602、2611、2612、2613及2614可以使用電漿輔助化學氣相沉積(PECVD,Plasma Enhanced Chemical Vapor Deposition)而沉積。在沉積每一個層2602、2611、2612、2613及2614之後,可以對各個層進行化學機械平坦化(CMP平坦化),而使在沉積之後的沉積層的頂部表面是平坦的並且在水平平面延伸,該水平平面平行於在相關於圖1A之參考座標系統所示的XY平面。In the reduced temperature range, for example, in the temperature range of about 300°C to about 500°C, the layers 2602, 2611, 2612, 2613, and 2614 can use plasma-assisted chemical vapor deposition (PECVD, Plasma Enhanced Chemical Vapor Deposition) and deposition. After depositing each layer 2602, 2611, 2612, 2613, and 2614, chemical mechanical planarization (CMP planarization) can be performed on each layer, so that the top surface of the deposited layer after deposition is flat and extends in a horizontal plane , The horizontal plane is parallel to the XY plane shown in the reference coordinate system related to FIG. 1A.

CMP平坦化可以伴隨化學機械研磨(CMP研磨),而使經CMP研磨的頂部表面可以原子光滑。本發明中的所有CMP平坦化階段都可以伴隨CMP研磨階段。該CMP平坦化階段可以產生水平延伸的平坦表面。該伴隨的CMP研磨階段可以構成原子光滑的表面。在目前揭露之所有部分所示的平坦化,可以通過CMP平坦化提供平坦化,該CMP平坦化減低了經平坦化的表面的高度並且致使經平坦化的表面是水平延伸的平坦表面。在目前揭露之所有部分所示的平坦化,平坦化可以伴隨由CMP研磨提供的研磨,以致使經CMP研磨的表面是原子光滑。CMP planarization can be accompanied by chemical mechanical polishing (CMP polishing), so that the top surface polished by CMP can be atomically smooth. All the CMP planarization stages in the present invention can be accompanied by the CMP polishing stage. This CMP planarization stage can produce a horizontally extending flat surface. The accompanying CMP polishing stage can form an atomically smooth surface. The planarization shown in all parts of the present disclosure can be provided by CMP planarization, which reduces the height of the planarized surface and causes the planarized surface to be a horizontally extending flat surface. In the planarization shown in all parts of the present disclosure, planarization may be accompanied by polishing provided by CMP polishing, so that the CMP polished surface is atomically smooth.

在一實施例,層2611可為氧化物離子植入屏蔽(screening)層,以促進離子植入及光敏感材料構造242之離子植入區域1850的形成。如本發明所述,複數個相鄰層可以被視為「一層」,在該「一層」中,複數個相鄰層中的每一個層是一個子層。In one embodiment, the layer 2611 may be an oxide ion implantation screening layer to facilitate ion implantation and the formation of the ion implantation region 1850 of the photosensitive material structure 242. As described in the present invention, a plurality of adjacent layers can be regarded as a "layer" in which each of the plurality of adjacent layers is a sublayer.

仍然參考圖1A的製造階段圖,在層2614被平坦化及研磨之後,包含層711、712及713的光刻堆疊(Photolithography Stack)可以沉積在層2614之上,而使層2614的頂部表面構成在高度1612的水平平面延伸的平坦且光滑表面。圖1A的製造階段圖所示的光刻堆疊,可以包括:沉積在層711上的層712及沉積在層712上的層713。層711可以是有機平坦化層(OPL,Organic Planarization Layer),層712可以是含矽抗反射塗層(SIARC,Silicon Containing Anti-Reflective Coating Layer),而層713可以是光阻層。層713的圖案化可以使用光刻工具構成,該光刻工具包括:光刻光罩(Photolithography Mask)。在使用光刻工具對層713進行曝光時,根據光罩的圖案對層713進行圖案化。Still referring to the manufacturing stage diagram of FIG. 1A, after the layer 2614 is planarized and polished, a photolithography stack including layers 711, 712, and 713 can be deposited on the layer 2614, so that the top surface of the layer 2614 is formed A flat and smooth surface extending in a horizontal plane at a height of 1612. The lithography stack shown in the manufacturing stage diagram of FIG. 1A may include: a layer 712 deposited on the layer 711 and a layer 713 deposited on the layer 712. The layer 711 may be an Organic Planarization Layer (OPL), the layer 712 may be a Silicon Containing Anti-Reflective Coating Layer (SIARC), and the layer 713 may be a photoresist layer. The patterning of the layer 713 can be formed using a photolithography tool, and the photolithography tool includes: a photolithography mask (Photolithography Mask). When the layer 713 is exposed using a photolithography tool, the layer 713 is patterned according to the pattern of the photomask.

圖1B示出在使用層713的圖案化進行層2614之材料的蝕刻之後的製造的中間階段之如圖1A所示的光學結構200。在圖1B所示的製造階段圖,執行對於層2613的材料有選擇性之層2614的蝕刻,而使圖1B所示的階段圖之層2613的材料不被蝕刻。在圖1B的階段圖所示移除層2614的材料之蝕刻的執行,可以構成具有垂直延伸的中心軸1713的溝槽1712。FIG. 1B shows the optical structure 200 shown in FIG. 1A in an intermediate stage of manufacturing after etching the material of the layer 2614 using the patterning of the layer 713. In the manufacturing stage diagram shown in FIG. 1B, etching of the layer 2614 selective to the material of the layer 2613 is performed, so that the material of the layer 2613 in the stage diagram shown in FIG. 1B is not etched. The etching performed to remove the material of the layer 2614 shown in the stage diagram of FIG. 1B can form a trench 1712 having a central axis 1713 extending vertically.

圖1C示出在對於層2612的材料有選擇性之進一步蝕刻以移除層2613的材料之後的製造的中間階段的如圖1B所示的光學結構200,而使層2613(由蝕刻停止材料形成,如SiN)的材料在由層2611及層2612構成的層適度凹陷的情況下移除。在一實施例,由層2611及層2612構成的該層可以具有在約20nm及約100nm之間的厚度,以及在一實施例則為在約40nm及約60nm之間。在一實施例,層2611可以具有在約5nm及約15nm之間的厚度。在圖1C所示的中間階段,溝槽1712的底部可以由層2612構成。FIG. 1C shows the optical structure 200 shown in FIG. 1B in an intermediate stage of manufacturing after the material of the layer 2612 is selectively etched to remove the material of the layer 2613, and the layer 2613 (formed of an etch stop material) The material such as SiN is removed when the layer composed of the layer 2611 and the layer 2612 is properly recessed. In one embodiment, the layer composed of layer 2611 and layer 2612 may have a thickness between about 20 nm and about 100 nm, and in one embodiment, between about 40 nm and about 60 nm. In an embodiment, the layer 2611 may have a thickness between about 5 nm and about 15 nm. In the intermediate stage shown in FIG. 1C, the bottom of the trench 1712 may be formed by the layer 2612.

圖1D示出在由溝槽1712的底部以及光敏感材料構造242的頂部表面之間剩餘的溝槽1712之層2611及2612的材料移除之後的製造的中間階段的如圖1C所示的光學結構200。在完成圖1D所示的階段後,由離子植入區域1850據有的光敏感材料構造242的頂部表面可以被顯露出。在圖1D所示的中間階段,溝槽1712的底部可以由光敏感材料構造242的離子植入區域1850構成。FIG. 1D shows the optical fiber shown in FIG. 1C in the intermediate stage of manufacturing after the material of the layers 2611 and 2612 of the trench 1712 remaining between the bottom of the trench 1712 and the top surface of the photosensitive material structure 242 is removed. Structure 200. After the stage shown in FIG. 1D is completed, the top surface of the photosensitive material structure 242 owned by the ion implantation region 1850 can be exposed. In the intermediate stage shown in FIG. 1D, the bottom of the trench 1712 may be formed by the ion implantation region 1850 of the photosensitive material structure 242.

在圖1D中示出用於由溝槽1712移除層2611及2612的材料的執行。無電漿的氣體蝕刻處理可以使用。本發明的實施例認知到,用於移除層2611及層2612的材料的無電漿的氣體蝕刻處理可以減低施加在其離子植入區域1850處之光敏感材料構造242的頂部表面的缺陷。在一實施例,無電漿的氣體蝕刻處理可以包括:第一及第二處理腔室的使用。第一處理腔室可以是化學處理腔室,在該化學處理腔室,在製造的中間階段所示的光學結構200可以曝露於氣體化合物,例如:HF/NH3 。於氣體化合物的曝露可以在包括表面溫度及氣壓的控制條件下進行。第二處理腔室可以是熱處理腔室,該熱處理腔室可以昇華在該第一處理腔室的反應副產物。該第一處理腔室可用於執行表面微蝕刻而移除在溝槽1712內的層2611及層2612的材料。由該第一腔室的使用可以將HF/NH3 吸附到層2611及2612的表面上。該第一處理腔室可以具有在約20°C至40°C之間的腔室溫度。通過該第二處理腔室的使用,可以將製造的中間階段所示的光學結構200加熱到在約100°C至200°C之間的溫度,以蒸發由於該第一處理腔室的使用而產生的副產物。在一實施例,本發明所述的無電漿蝕刻處理可包括:化學氧化物移除處理。The execution of the material used to remove the layers 2611 and 2612 from the trench 1712 is shown in FIG. 1D. Plasma-free gas etching treatment can be used. The embodiment of the present invention recognizes that the plasma-free gas etching process for removing the materials of the layer 2611 and the layer 2612 can reduce the defects applied to the top surface of the photosensitive material structure 242 at the ion implantation region 1850 thereof. In one embodiment, the plasma-free gas etching process may include the use of first and second processing chambers. The first processing chamber may be a chemical processing chamber in which the optical structure 200 shown in the intermediate stage of manufacturing may be exposed to gaseous compounds, such as HF/NH 3 . Exposure to gaseous compounds can be carried out under controlled conditions including surface temperature and air pressure. The second processing chamber may be a heat treatment chamber, and the heat treatment chamber may sublimate the reaction by-products in the first processing chamber. The first processing chamber can be used to perform surface micro-etching to remove the materials of the layer 2611 and the layer 2612 in the trench 1712. The use of the first chamber can adsorb HF/NH 3 onto the surfaces of the layers 2611 and 2612. The first processing chamber may have a chamber temperature between about 20°C and 40°C. Through the use of the second processing chamber, the optical structure 200 shown in the intermediate stage of manufacturing can be heated to a temperature between about 100°C and 200°C to evaporate due to the use of the first processing chamber. By-products. In one embodiment, the plasmaless etching process of the present invention may include: chemical oxide removal process.

在一實施例,蝕刻參數可以被提供,而使通過圖1D所示的蝕刻,可以移除由子層2611及2612構成之層在約20%至約80%之間的厚度(通過圖1C所示的蝕刻移除由子層2611及2612構成之層的在約80%至20%之間)。在一實施例,通過圖1D所示的蝕刻可以移除由子層2611及2612構成之層的在約40%至約60%之間的厚度(通過圖1C所示的蝕刻可以移除由子層2611及2612構成之層的在約60%至約40%之間)。在一實施例,通過圖1D所示的蝕刻可以移除由子層2611及2612構成之層的在約5%至約95%之間的厚度(通過圖1C所示的蝕刻可以移除由子層2611及2612構成之層在約95%至約5%之間)。In one embodiment, the etching parameters can be provided, so that through the etching shown in FIG. 1D, the thickness of the layer composed of the sub-layers 2611 and 2612 can be removed between about 20% and about 80% (as shown in FIG. 1C). The etching removes between about 80% and 20% of the layer composed of the sub-layers 2611 and 2612). In one embodiment, the thickness of the layer composed of the sub-layers 2611 and 2612 can be removed by the etching shown in FIG. 1D between about 40% and about 60% (the etching shown in FIG. 1C can be used to remove the sub-layer 2611 And 2612 constitute the layer between about 60% to about 40%). In one embodiment, the thickness of the layer composed of the sub-layers 2611 and 2612 can be removed by the etching shown in FIG. 1D between about 5% and about 95% (the etching shown in FIG. 1C can be used to remove the sub-layer 2611 And the layer composed of 2612 is between about 95% to about 5%).

圖1E示出在溝槽1712(圖1B至1D)內沉積傳導材料2712之後的製造的中間階段的如圖1D所示的光學結構200。在一實施例,傳導材料2712可以由鋁(Al)形成。FIG. 1E shows the optical structure 200 shown in FIG. 1D in an intermediate stage of manufacturing after the conductive material 2712 is deposited in the trench 1712 (FIGS. 1B to 1D ). In an embodiment, the conductive material 2712 may be formed of aluminum (Al).

傳導材料2712的沉積可以包括:物理氣相沉積(PVD)的使用。透過PVD用以沉積之材料的使用,使凝聚相轉變為蒸汽相,接著回到薄膜凝聚相。PVD處理可以包括:濺射及蒸發。可以執行傳導材料2712的沉積,而使傳導材料2712覆蓋(在如圖1E所示之製造的中間階段)在其上製造光學結構200之晶片的整個頂部表面。可以在其上製造光學結構200的晶圓可以由絕緣層上矽(SOI)晶圓提供,該絕緣層上矽晶圓具有基板100、由絕緣層提供的層202、由如本發明進一步於圖2A所示之矽層提供的層201。各種光學元件,例如:波導、光感測器、光柵及/或調變器,可以通過包括:對於由矽形成之層201的圖案化的處理製造。The deposition of the conductive material 2712 may include the use of physical vapor deposition (PVD). The use of materials for deposition through PVD transforms the condensed phase into a vapor phase, and then back to the thin film condensed phase. PVD processing can include: sputtering and evaporation. The deposition of the conductive material 2712 may be performed so that the conductive material 2712 covers (in the intermediate stage of manufacturing as shown in FIG. 1E) the entire top surface of the wafer on which the optical structure 200 is manufactured. The wafer on which the optical structure 200 can be fabricated can be provided by a silicon-on-insulator (SOI) wafer having a substrate 100, a layer 202 provided by an insulating layer, as shown in FIG. The layer 201 provided by the silicon layer shown in 2A. Various optical components, such as waveguides, light sensors, gratings, and/or modulators, can be manufactured by processes including: patterning the layer 201 formed of silicon.

圖1F示出在光學結構200的平坦化之後的製造的中間階段的如圖1E所示的光學結構200。圖1F的中間製造階段圖所示的平坦化可以包括:CMP平坦化以減低光學結構200的高度至如圖1F所示的高度1612。可以執行CMP平坦化而減低傳導材料2712的高度,直到光學結構200的頂部表面由傳導材料構造C1構成並且顯露如圖1F所示的層2614為止。可以執行如圖1F所示的平坦化,而使如圖1F的中間階段圖所示的光學結構200的頂部表面由傳導材料2712部分地構成以及由層2614部分地構成,該頂部表面可以被平坦化並可以在平行於所示參考座標系統的XY平面的水平平面延伸。CMP平坦化可以伴隨CMP研磨,而使在圖1F所示的中間階段圖中的光學結構200的頂部表面由傳導材料2712部分地構成以及由原子光滑的層2614部分地構成。FIG. 1F shows the optical structure 200 as shown in FIG. 1E in an intermediate stage of manufacturing after the planarization of the optical structure 200. The planarization shown in the intermediate manufacturing stage diagram of FIG. 1F may include CMP planarization to reduce the height of the optical structure 200 to a height 1612 as shown in FIG. 1F. CMP planarization can be performed to reduce the height of the conductive material 2712 until the top surface of the optical structure 200 is composed of the conductive material structure C1 and the layer 2614 as shown in FIG. 1F is exposed. The planarization shown in FIG. 1F can be performed, and the top surface of the optical structure 200 as shown in the intermediate stage diagram of FIG. 1F is partially composed of the conductive material 2712 and partially composed of the layer 2614, and the top surface can be flattened It can extend in a horizontal plane parallel to the XY plane of the reference coordinate system shown. The CMP planarization may be accompanied by CMP polishing, so that the top surface of the optical structure 200 in the intermediate stage diagram shown in FIG. 1F is partially composed of the conductive material 2712 and partially composed of the atomically smooth layer 2614.

在如圖1F所示的光學結構200的平坦化上,傳導材料2712構成在溝槽1712(圖1B至1D)內形成的傳導材料構造C1。In the planarization of the optical structure 200 as shown in FIG. 1F, the conductive material 2712 constitutes the conductive material structure C1 formed in the trench 1712 (FIGS. 1B to 1D ).

在一實施例,光學結構200可適於感測通訊波長範圍內的光。具有光感測器的光學結構200的製造方法如下。根據一個實施例的方法,執行(1)在矽波導上方形成具有一個以上的介電材料的層的介電堆疊,並蝕刻在介電堆疊中延伸至矽波導的溝槽。可以執行(2)在溝槽內磊晶成長鍺,以及(3)退火通過磊晶成長形成的鍺。可以重複進行磊晶成長及退火,直到鍺充分地過度填充該溝槽。In an embodiment, the optical structure 200 may be suitable for sensing light in the communication wavelength range. The method of manufacturing the optical structure 200 with the light sensor is as follows. According to the method of one embodiment, performing (1) forming a dielectric stack with more than one layer of dielectric material above the silicon waveguide, and etching the trenches extending to the silicon waveguide in the dielectric stack. It is possible to perform (2) epitaxial growth of germanium in the trench, and (3) annealing the germanium formed by epitaxial growth. The epitaxial growth and annealing can be repeated until germanium sufficiently overfills the trench.

由於該方法的執行,可以形成鍺基的光感測器,該鍺基的光感測器可以不存在將鍺構造連接到矽表面的低溫緩衝層。構成光感測器的所得光學結構200提供低洩漏電流及增加的訊號雜訊比。Due to the execution of this method, a germanium-based photo sensor can be formed, and the germanium-based photo sensor may not have a low-temperature buffer layer connecting the germanium structure to the silicon surface. The resulting optical structure 200 constituting the light sensor provides low leakage current and increased signal-to-noise ratio.

參照圖1G至1H示出該方法的其他方面,圖1G至1H示出在製造的各個中間階段中的光學結構200。本發明提出了一種矽光學結構及處理,其中積體設置在絕緣層上矽(SOI)晶圓之上的垂直的光感測器可以進行圖案化而構成波導,例如:由矽形成的波導210,絕緣層上矽(SOI)晶圓具有基板100、由絕緣層提供的層202以及由矽形成的層201。在一實施例,通過圖案化介電材料(例如:氧化物)的層內的溝槽、用結晶鍺填充、平坦化鍺的過度填充以及形成頂部及底部的接點,以使垂直的光感測器可以積體設置在SOI的頂部矽波導高度。1G to 1H show other aspects of the method, and FIGS. 1G to 1H show the optical structure 200 in various intermediate stages of manufacturing. The present invention proposes a silicon optical structure and processing, in which a vertical optical sensor integrated on a silicon-on-insulator (SOI) wafer can be patterned to form a waveguide, for example: a waveguide formed of silicon 210 A silicon-on-insulator (SOI) wafer has a substrate 100, a layer 202 provided by an insulating layer, and a layer 201 formed of silicon. In one embodiment, the trenches in the layer of dielectric material (for example: oxide) are patterned, filled with crystalline germanium, over-filled with germanium is planarized, and top and bottom contacts are formed to make the vertical photosensitive The detector can be integrated at the height of the silicon waveguide on the top of the SOI.

圖1G示出在製造的中間階段的光學結構200,其示出在矽波導之上及周圍形成介電材料及圖案化溝槽的執行。光學結構200可以包括:由矽形成的基板100、由埋置氧化形成的層202、在圖1G示出感測器平面剖面的波導210、波導210以及可以由介電材料(例如:形成在波導210之上及周圍的氧化物)形成披覆層的層2601,波導可以在由矽形成的波導層201圖案化且由該波導層201構成。介電堆疊206形成在波導210之上,其可以包括:可以是披覆層的層2601以及可以是覆蓋層的層2602。層2601及層2602可具有大於約500nm的組合厚度,並且在一實施例,在約500nm至約1500nm之間。在一實施例,由披覆層提供的層2601以及由覆蓋層提供的層2602的組合具有約1000nm的組合厚度,而使形成的光感測器結構的高度具有約800nm至約1000nm的高度。FIG. 1G shows the optical structure 200 in an intermediate stage of manufacturing, which shows the execution of forming a dielectric material and patterning trenches on and around a silicon waveguide. The optical structure 200 may include: a substrate 100 formed of silicon, a layer 202 formed of buried oxidation, a waveguide 210 shown in a plane cross-section of the sensor in FIG. 1G, a waveguide 210, and a dielectric material (for example, formed in a waveguide). The oxide on and around 210) forms a cladding layer 2601, and the waveguide can be patterned on and constituted by the waveguide layer 201 formed of silicon. The dielectric stack 206 is formed on the waveguide 210 and may include: a layer 2601 that may be a cladding layer and a layer 2602 that may be a capping layer. The layer 2601 and the layer 2602 may have a combined thickness greater than about 500 nm, and in one embodiment, between about 500 nm and about 1500 nm. In one embodiment, the combination of the layer 2601 provided by the cladding layer and the layer 2602 provided by the cover layer has a combined thickness of about 1000 nm, and the height of the formed photo sensor structure is about 800 nm to about 1000 nm.

光感測器240的波導210可以通過由矽形成的層201的圖案化構成。層201可以是預製的絕緣層上矽(SOI)晶圓上的矽層,該絕緣層上矽(SOI)晶圓具有基板100、由絕緣層提供的層202以及由矽層提供的層201。The waveguide 210 of the light sensor 240 may be constituted by patterning of the layer 201 formed of silicon. The layer 201 may be a silicon layer on a prefabricated silicon-on-insulator (SOI) wafer having a substrate 100, a layer 202 provided by an insulating layer, and a layer 201 provided by a silicon layer.

參照圖1G示出溝槽1810的形成的更多細節。在提供感測器溝槽的溝槽1810的形成之後,示出如圖1G所示的光學結構200,該感測器溝槽可以被圖案化以延伸到由矽波導提供的底層波導210。圖案化的執行也許可以使用,例如:一個以上的光刻、乾蝕刻或濕化學處理。在一實施例,形成的溝槽1810可以具有大於約500nm的深度,並且在一實施例,從約500nm至約1500nm的範圍內。在一實施例,溝槽1810可具有約800nm至約1000nm的深度。1G shows more details of the formation of trench 1810. After the formation of the trench 1810 that provides the sensor trench, an optical structure 200 as shown in FIG. 1G is shown. The sensor trench can be patterned to extend to the underlying waveguide 210 provided by the silicon waveguide. The patterning may be performed using, for example, more than one photolithography, dry etching, or wet chemical treatment. In one embodiment, the formed trench 1810 may have a depth greater than about 500 nm, and in one embodiment, it ranges from about 500 nm to about 1500 nm. In an embodiment, the trench 1810 may have a depth of about 800 nm to about 1000 nm.

參照圖1H示出磊晶成長階段、退火階段以及磊晶成長退火之重複的更多細節,圖1H表現出在製造的中間階段的光學結構200,其中光敏感材料構造過度填充溝槽1810,該光敏感材料構造由鍺構造提供。1H shows more details of the epitaxial growth phase, the annealing phase, and the repetition of the epitaxial growth annealing. FIG. 1H shows the optical structure 200 in the intermediate stage of manufacturing, in which the photosensitive material structure overfills the trench 1810. The light-sensitive material construction is provided by the germanium construction.

在進行鍺的磊晶成長之前,圖1G所示的光學結構200可以進行異位及/或原位的表面清潔處理,該表面清潔處理由濕化學或乾天然氧化物移除然後在還原氫環境中短暫地進行原位高溫烘烤所組成。後者可以負責移除亞化學計量的表面氧化物,該表面氧化物為在清潔工具及磊晶爐之間曝露於空氣而重新形成。Before performing the epitaxial growth of germanium, the optical structure 200 shown in FIG. 1G may be subjected to ex-situ and/or in-situ surface cleaning treatment, which is removed by wet chemical or dry natural oxide and then reduced in a hydrogen environment. It is composed of in-situ high-temperature baking briefly. The latter can be responsible for removing substoichiometric surface oxides that are reformed by exposure to air between the cleaning tool and the epitaxial furnace.

圖1H示出在溝槽1810內鍺的形成之後的圖1G的光學結構200。通過鍺的磊晶成長及退火,在介電堆疊206中圖案化的溝槽1810可以填充摻雜的或本徵的結晶鍺。FIG. 1H shows the optical structure 200 of FIG. 1G after the formation of germanium in the trench 1810. Through the epitaxial growth and annealing of germanium, the trenches 1810 patterned in the dielectric stack 206 can be filled with doped or intrinsic crystalline germanium.

參照磊晶成長階段及退火階段,可以在溝槽1810內選擇性地成長鍺部分並對其進行退火。在一實施例,鍺可以使用減壓化學氣相沉積(RPCVD,Reduced Pressure Chemical Vapor Deposition)選擇性地成長。關於鍺的磊晶成長的階段,在約550至約850攝氏度之間的溫度及約10托(Torr)至約300托之間的壓力下,多步驟高速率沉積處理可以分別使用鍺烷及H2 作為先導及攜帶氣體而執行。該溫度可以是穩定溫度或可變溫度。壓力可以是穩定壓力或可變壓力。可以不使用摻雜氣體(例如:對於p型使用乙硼烷,對於n型使用砷化氫或磷化氫)進行磊晶成長。在一特定實施例,在約550攝氏度至約700攝氏度之間的溫度範圍內的溫度及在約10托至約25托之間的壓力範圍內的壓力下,約200nm的本徵(或摻雜)Ge可以使用鍺烷及氫選擇性地成長(至高度1824)。With reference to the epitaxial growth stage and the annealing stage, the germanium portion can be selectively grown in the trench 1810 and annealed. In one embodiment, germanium can be selectively grown using Reduced Pressure Chemical Vapor Deposition (RPCVD). Regarding the stage of epitaxial growth of germanium, at a temperature of about 550 to about 850 degrees Celsius and a pressure of about 10 Torr (Torr) to about 300 Torr, the multi-step high-rate deposition process can use germane and H, respectively. 2 Performed as a pilot and carrier gas. The temperature can be a stable temperature or a variable temperature. The pressure can be a steady pressure or a variable pressure. It is possible to perform epitaxial growth without using doping gas (for example, diborane for p-type and arsine or phosphine for n-type). In a specific embodiment, at a temperature within a temperature range of about 550 degrees Celsius to about 700 degrees Celsius and a pressure within a pressure range of about 10 Torr to about 25 Torr, the intrinsic (or doped) of about 200 nm ) Ge can be grown selectively using germane and hydrogen (to a height of 1824).

參照一個實施例的退火,沉積腔室可以被清洗,並且在約1850攝氏度至約850攝氏度之間的溫度以及在約100托至約600托(在一實施例為300托)之間的壓力下,通過磊晶成長而沉積的鍺可以進行退火。該溫度可以是穩定溫度或可變溫度。該壓力可以是穩定壓力或可變壓力。With reference to the annealing of an embodiment, the deposition chamber can be cleaned at a temperature between about 1850 degrees Celsius to about 850 degrees Celsius and a pressure between about 100 Torr and about 600 Torr (300 Torr in one embodiment) , Germanium deposited by epitaxial growth can be annealed. The temperature can be a stable temperature or a variable temperature. The pressure can be a steady pressure or a variable pressure.

通過磊晶成長及退火形成的鍺膜可以包括:本徵鍺或摻雜的鍺。為了摻雜形成的鍺,可以將摻雜氣體(如乙硼烷、磷化氫、砷化氫)添加到在RPCVD磊晶成長期間使用的源氣體,例如:H2The germanium film formed by epitaxial growth and annealing may include intrinsic germanium or doped germanium. In order to dope the formed germanium, a doping gas (such as diborane, phosphine, arsine) can be added to the source gas used during RPCVD epitaxial growth, such as H 2 .

參考用於光感測器形成的方法(磊晶成長及退火)可以重複,直到沉積的鍺充分地填充溝槽1810為止。在一實施例,當過度填充允許適當的轉角覆蓋時,過度填充可以被認為是充份的。在一實施例,可以使用六個磊晶成長及退火循環(每一個約200nm)過度填充溝槽1810。舉例而言,在第一(初始)磊晶成長及退火循環之後,沉積的鍺可延伸至如圖1H所示的高度1821。在第二磊晶成長及退火循環之後,沉積的鍺可以延伸到高度1822。在第三磊晶成長及退火循環之後,沉積的鍺可以延伸至高度1823。在第四磊晶成長及退火循環之後,沉積的鍺可以延伸至高度1824。在第五磊晶成長及退火循環之後,沉積的鍺可以延伸到高度1825。在第六磊晶成長及退火循環之後,沉積的鍺可以延伸到高度1826,並且可以如圖1H所示使溝槽1810過度填充。由於原子尺寸的原因,Ge與Si晶格的不匹配會致使大量與應變相關的晶體缺陷,所述的晶體缺陷可能會延伸到初始成長界面之外。每一個成長及退火循環內的退火可用於消除在光敏感材料構造242內部的差排及其他延伸的缺陷。The method referred to for the formation of the photo sensor (epitaxial growth and annealing) can be repeated until the deposited germanium sufficiently fills the trench 1810. In one embodiment, when overfilling allows proper corner coverage, overfilling may be considered sufficient. In one embodiment, six epitaxial growth and annealing cycles (each about 200 nm) may be used to overfill trench 1810. For example, after the first (initial) epitaxial growth and annealing cycle, the deposited germanium may extend to a height of 1821 as shown in FIG. 1H. After the second epitaxial growth and annealing cycle, the deposited germanium can extend to a height of 1822. After the third epitaxial growth and annealing cycle, the deposited germanium can extend to a height of 1823. After the fourth epitaxial growth and annealing cycle, the deposited germanium can extend to a height of 1824. After the fifth epitaxial growth and annealing cycle, the deposited germanium can extend to a height of 1825. After the sixth epitaxial growth and annealing cycle, the deposited germanium can extend to a height 1826, and the trench 1810 can be overfilled as shown in FIG. 1H. Due to the atomic size, the mismatch of the Ge and Si lattice will cause a large number of strain-related crystal defects, which may extend beyond the initial growth interface. The annealing in each growth and annealing cycle can be used to eliminate the misalignment and other extended defects in the photosensitive material structure 242.

溝槽1810可以圍繞垂直延伸的中心軸1811同心地形成,並且可以具有由介電堆疊206的介電材料構成的垂直延伸的側壁1812。垂直延伸的側壁1812可以進入垂直延伸的平面1813及1814。光敏感材料構造242的過度填充部分可以從如圖1G及1H 所示的垂直延伸的平面1813及1814橫向向外延伸。The trench 1810 may be formed concentrically around a central axis 1811 that extends vertically, and may have a vertically extending sidewall 1812 composed of a dielectric material of the dielectric stack 206. The vertically extending sidewall 1812 can enter the vertically extending planes 1813 and 1814. The overfilled portion of the photosensitive material structure 242 may extend laterally outward from the vertically extending planes 1813 and 1814 as shown in FIGS. 1G and 1H.

如所指出的磊晶成長及退火可以在一個循環中重複,直到獲得期望的填充高度,這可以發生在例如:當沉積的鍺充分地過度填充溝槽1810時。據觀察,在相對於垂直<100>方向之<202>及<111>的晶體方向上,磊晶鍺可以在大幅降低速率的情形下成長。這種在溝槽1810的邊緣及轉角附近的磊晶成長的滯後可以通過過度填充溝槽1810克服。在一實施例,約1.0μm的過度填充可用於確保溝槽邊緣及轉角點的高品質填充。在圖1H所示的實施例的六個循環之後,<100>Ge成長前沿的頂部已經到達溝槽1810的頂部。對於最終處理,0.5μm的過填充沉積/退火循環,然後再進行0.5μm的最終成長可以被採用,以完成Ge的填充。完成成長/成長後退火而非只是退火,由於Ge特徵經觀察之重新分佈,特別是在轉角點附近,可以是有利的。The epitaxial growth and annealing as indicated can be repeated in one cycle until the desired fill height is obtained, which can occur, for example, when the deposited germanium sufficiently overfills the trench 1810. It is observed that in the crystal directions of <202> and <111> relative to the vertical <100> direction, epitaxial germanium can grow at a greatly reduced rate. This hysteresis of epitaxial growth near the edges and corners of the trench 1810 can be overcome by overfilling the trench 1810. In one embodiment, an overfill of about 1.0 μm can be used to ensure high-quality filling of the trench edges and corner points. After six cycles of the embodiment shown in FIG. 1H, the top of the <100> Ge growth front has reached the top of the trench 1810. For the final treatment, a 0.5 μm overfill deposition/anneal cycle followed by 0.5 μm final growth can be used to complete the Ge filling. Annealing after growth/growth is not just annealing, because the observed redistribution of Ge features, especially near the corner points, can be beneficial.

在參考圖1H所示的中間製造階段所示的替代方法中,在鍺(Ge)的形成之前,矽鍺(SiGe)或Ge緩衝層可以形成在由矽波導提供的波導210的頂部表面之上。SiGe或Ge緩衝可以在約300攝氏度至約450攝氏度範圍內的溫度使用減壓化學氣相沉積(RPCVD)沉積。這樣的處理在各種實施例可以是有用的。在一實施例,所形成的SiGe或Ge緩衝可被原位摻雜(n型或p型)。為了形成SiGe或Ge緩衝,矽烷(SiH4 )可以用作Si源氣體,而鍺烷(GeH4 )可以用作Ge源氣體。為了摻雜的緩衝層的形成,乙硼烷(B2 H6 ),磷化氫(PH3 )或砷化氫(AsH3 )可以用作摻雜氣體。然而,據觀察,上述低溫範圍可以提供過低的成長速率,並且可以不成比例地使長的處理持續時間成為必要。另外,隨著溫度降低,對反應爐及氣體純度的要求可能變得越來越嚴格。In the alternative method shown in the intermediate manufacturing stage shown with reference to FIG. 1H, before the formation of germanium (Ge), a silicon germanium (SiGe) or Ge buffer layer may be formed on the top surface of the waveguide 210 provided by the silicon waveguide . The SiGe or Ge buffer can be deposited using reduced pressure chemical vapor deposition (RPCVD) at a temperature in the range of about 300 degrees Celsius to about 450 degrees Celsius. Such processing can be useful in various embodiments. In one embodiment, the formed SiGe or Ge buffer can be doped in-situ (n-type or p-type). In order to form the SiGe or Ge buffer, silane (SiH 4 ) can be used as the Si source gas, and germane (GeH 4 ) can be used as the Ge source gas. For the formation of the doped buffer layer, diborane (B 2 H 6 ), phosphine (PH 3 ) or arsine (AsH 3 ) can be used as the doping gas. However, it has been observed that the aforementioned low temperature range can provide an excessively low growth rate, and can disproportionately necessitate a long treatment duration. In addition, as the temperature decreases, the requirements for the reactor and gas purity may become more and more stringent.

使用提出的方法,由光感測器240提供的所得光學元件可以不具有製程困難的低溫SiGe或Ge緩衝,並且可以包括:在波導(例如:波導210可以由矽形成)附近形成且直接形成在其上的鍺。根據為光感測器製造提供的方法,用於光感測器結構之形成的光學結構200可以以減低的延伸缺陷數量以及因此減低的反向漏電流為特點,減低的反向漏電流對於光的感測效率及速度至關重要,該光學結構200缺少低溫SiGe或Ge緩衝。Using the proposed method, the resulting optical element provided by the photo sensor 240 may not have low-temperature SiGe or Ge buffers that are difficult to process, and may include: forming near the waveguide (for example, the waveguide 210 may be formed of silicon) and directly forming Germanium on it. According to the method provided for the manufacture of the photo sensor, the optical structure 200 used for the formation of the photo sensor structure can be characterized by a reduced number of extension defects and therefore a reduced reverse leakage current. The reduced reverse leakage current has an impact on the optical sensor. The sensing efficiency and speed of the optical structure are very important. The optical structure 200 lacks low-temperature SiGe or Ge buffer.

對於光感測器的製造方法特別適於製造在具有寬度小於約150μm之溝槽內的鍺構造。具有寬度大於約150μm的溝槽可展現出減低的填充高度以及嚴重的表面粗糙化。因為在光學元件的一般光學元件溝槽寬度小於約10μm,該方法非常適合於與各種光學元件使用。據觀察,將鍺的成長區域限制為,例如:由溝槽1810的寬度構成的區域,可以減低異常特徵的形成,並且在鍺構造以及矽層之間沒有低溫SiGe或Ge緩衝的情形下可以促進在矽層之上的鍺的成長。溝槽1810可以具有的寬度小於約10μm,並且在一實施例可以具有出色的填充特徵以致寬度小至200nm或更小。The manufacturing method for the photo sensor is particularly suitable for manufacturing germanium structures in trenches with a width less than about 150 μm. A trench with a width greater than about 150 μm can exhibit a reduced fill height and severe surface roughness. Because the groove width of the general optical element in the optical element is less than about 10 μm, this method is very suitable for use with various optical elements. It has been observed that limiting the growth area of germanium to, for example, the area constituted by the width of the trench 1810 can reduce the formation of abnormal features, and can promote the formation of abnormal features in the absence of low-temperature SiGe or Ge buffers between the germanium structure and the silicon layer The growth of germanium above the silicon layer. The trench 1810 may have a width less than about 10 μm, and in one embodiment may have excellent filling characteristics such that the width is as small as 200 nm or less.

再次參考用於光感測器的光學元件製造處理中的方法,其可以在鍺的成長之後被執行。圖1I示出在鍺的平坦化之後的圖1H的光學結構。鍺的過度填充部分可以被移除並被平坦化,而使由鍺構造提供的光敏感材料構造242的頂部高度可以與層2602的頂部高度相同,層2602可以是覆蓋層。化學機械平坦化(CMP,Chemical Mechanical Planarization)處理可以用於平坦化的執行。CMP處理可以在層2601的不明顯的侵蝕的情形下被用於選擇性地移除Ge,層2601可以由氧化物形成。過度成長的鍺構造可以呈現出如圖1H所示之具有清晰的晶面以及尖銳的轉角及峰部的蘑菇狀的結構。為了移除這些特徵,CMP平坦化處理可以包括:使用研磨液(氫氧化物基)及第一軟墊,然後使用第二硬(或標準)墊。Referring again to the method used in the optical element manufacturing process of the light sensor, it can be performed after the growth of germanium. FIG. 1I shows the optical structure of FIG. 1H after the planarization of germanium. The over-filled portion of germanium can be removed and planarized, so that the top height of the photosensitive material structure 242 provided by the germanium structure can be the same as the top height of the layer 2602, which can be a capping layer. Chemical Mechanical Planarization (CMP, Chemical Mechanical Planarization) processing can be used to perform planarization. The CMP process may be used to selectively remove Ge in the case of insignificant erosion of the layer 2601, which may be formed of oxide. The overgrown germanium structure can exhibit a mushroom-like structure with clear crystal planes, sharp corners and peaks as shown in Fig. 1H. In order to remove these features, the CMP planarization process may include: using a polishing liquid (hydroxide-based) and a first soft pad, and then using a second hard (or standard) pad.

在使用CMP平坦化處理進行平坦化之後,如圖1I所示的光學結構200可以進行進一步處理,而完成光感測器240的製造。在形成頂部接點離子植入區域1850、沉積由介電材料(例如:在層2602上的氧化物)所形成的層2611、層2612、層2613及層2614(如結合圖1A至1F所示)以及由傳導材料構造C1佔據之溝槽1712(圖1B)的圖案化及填充之後,圖1J示出圖1I的光學結構200。介電堆疊206可以包括:可以是披覆層的層2601,可以是覆蓋層的層2602以及層2611至2614。After the CMP planarization process is used for planarization, the optical structure 200 as shown in FIG. 1I can be further processed to complete the manufacture of the photo sensor 240. In the formation of the top contact ion implantation area 1850, the layer 2611, the layer 2612, the layer 2613, and the layer 2614 formed of a dielectric material (for example: oxide on the layer 2602) are deposited (as shown in conjunction with FIGS. 1A to 1F) ) And after patterning and filling of the trench 1712 (FIG. 1B) occupied by the conductive material structure C1, FIG. 1J shows the optical structure 200 of FIG. 1I. The dielectric stack 206 may include: a layer 2601 that may be a cladding layer, a layer 2602 that may be a capping layer, and layers 2611 to 2614.

進一步參考圖1J,在構成於介電堆疊206的介電溝槽1810的構成之前,底部接點離子植入區域1860可以形成在層201的波導210。在替代實施例,底部接點離子植入區域1860可以另行地形成在光敏感材料構造242中。在替代實施例,底部接點離子植入區域1860可以另行地部分地形成在波導210中並且部分地形成在光敏感材料構造242。在光敏感材料構造242的離子植入區域1850以及離子植入區域1860或者如本發明所述在與光敏感材料構造242相鄰的結構,構成p-i-n光感測器結構(p區域在底部)或n-i-p光感測器結構(n區域在底部)。With further reference to FIG. 1J, before the formation of the dielectric trench 1810 formed in the dielectric stack 206, the bottom contact ion implantation region 1860 may be formed in the waveguide 210 of the layer 201. In an alternative embodiment, the bottom contact ion implantation region 1860 may be separately formed in the photosensitive material structure 242. In an alternative embodiment, the bottom contact ion implantation region 1860 may additionally be partially formed in the waveguide 210 and partially formed in the photosensitive material construction 242. In the ion implantation area 1850 and ion implantation area 1860 of the photosensitive material structure 242 or in the structure adjacent to the photosensitive material structure 242 as described in the present invention, a p-i-n photo sensor structure (p area At the bottom) or n-i-p light sensor structure (n area at the bottom).

一方面,離子植入區域1850的位置可以被限制在光敏感材料構造242的減低的區域。在一實施例的離子植入區域1850可以被構成在周邊1851內。一方面,離子植入區域1850可以被形成而具有溝槽至離子植入區域的間隔距離D1 ,其等於或大於閾值距離L1 。間隔距離D1 可以是離子植入區域1850的周邊1851及光敏感材料構造242的周邊1841(與由氧化物形成的介電堆疊206接觸)之間的距離。因為光敏感材料構造242的周邊1841可以與介電堆疊206接觸,其能夠構成溝槽1810、間隔距離D1 ,也可以是離子植入區域1850的周邊1851以及溝槽1810之間的距離。在一實施例,間隔距離D1 可以是在光敏感材料構造242的整個的頂部區域實質地均勻,並且可以是在離子植入區域1850的周邊1851及光敏感材料構造242的周邊1841通常延伸的方向。在這樣的實施例,該間隔距離D1 可以等於或大於遍及離子植入區域1850的周邊1851的整體以及光敏感材料構造242的周邊1841的整體的所提及的該閾值距離。在一個實施例,L1為100nm。在另一個實施例,為200nm;在另一個實施例,為300nm;在另一個實施例為400nm,在另一個實施例,為500nm;在另一個實施例,為600nm;在另一個實施例,為700nm;在另一個實施例,800nm;在另一個實施例,900nm;在另一個實施例為1.0μm。間隔距離D1可以基於(例如:在處理過程特徵的尺寸擴寬)最小的可印刷特徵尺寸以及可靠的最大特徵印刷錯位而設計。On the one hand, the position of the ion implantation region 1850 can be limited to the reduced region of the photosensitive material structure 242. In an embodiment, the ion implantation region 1850 may be formed in the periphery 1851. On the one hand, the ion implantation region 1850 may be formed to have a separation distance D 1 from the trench to the ion implantation region, which is equal to or greater than the threshold distance L 1 . The separation distance D 1 may be the distance between the periphery 1851 of the ion implantation region 1850 and the periphery 1841 of the photosensitive material structure 242 (in contact with the dielectric stack 206 formed of oxide). Because the periphery 1841 of the photosensitive material structure 242 can be in contact with the dielectric stack 206, it can form the trench 1810, the separation distance D 1 , or the distance between the periphery 1851 of the ion implantation region 1850 and the trench 1810. In an embodiment, the separation distance D 1 may be substantially uniform across the entire top area of the photosensitive material structure 242, and may generally extend around the periphery 1851 of the ion implantation area 1850 and the periphery 1841 of the photosensitive material structure 242. direction. In such an embodiment, the separation distance D 1 may be equal to or greater than the mentioned threshold distance throughout the entire periphery 1851 of the ion implantation region 1850 and the entire periphery 1841 of the photosensitive material structure 242. In one embodiment, L1 is 100 nm. In another embodiment, it is 200 nm; in another embodiment, it is 300 nm; in another embodiment, it is 400 nm, in another embodiment, it is 500 nm; in another embodiment, it is 600 nm; in another embodiment, It is 700 nm; in another embodiment, 800 nm; in another embodiment, 900 nm; in another embodiment, 1.0 μm. The separation distance D1 can be designed based on the smallest printable feature size (for example, when the feature size is widened during processing) and the reliable maximum feature printing misalignment.

本發明示出矽的光學結構及處理,其中鍺光感測器結構也許包含減低的區域的頂部離子植入區域1850,該減低的區域的頂部離子植入區域1850與底部離子植入區域1860相比具有相反極性。通過形成離子植入區域1850而具有溝槽至植入的間隔距離D1 ,可以減低洩漏電流路徑的發生。在一實施例,使用與溝槽至植入區域的間隔距離D1間隔的頂部離子植入區域1850,該間隔距離D1等於或大於每一個邊緣之上從氧化物溝槽(在周邊1851)開始0.75μm的閾值距離L1 ,可以實現小於約每平方微米1奈安的反向洩漏電流密度。可以訂製劑量及能量以產生淺的歐姆接點,該歐姆接點與由接點傳導材料構造C1提供的導體接點接觸,並且可以採用薄的植入屏蔽氧化物以避免Ge濺射移除。在一實施例,可以形成離子植入區域1850以構成淺的頂部離子植入。The present invention shows the optical structure and processing of silicon, where the germanium photo sensor structure may include a reduced top ion implantation region 1850, the top ion implantation region 1850 of the reduced region 1850 and the bottom ion implantation region 1860 Ratio has the opposite polarity. By forming the ion implantation region 1850 to have the trench-to-implant spacing distance D 1 , the occurrence of leakage current paths can be reduced. In one embodiment, a top ion implantation region 1850 spaced from the trench-to-implantation region distance D1 is used, and the separation distance D1 is equal to or greater than 0.75 from the oxide trench (at the periphery 1851) on each edge. With a threshold distance L 1 of μm, a reverse leakage current density of less than about 1 nanoamp per square micrometer can be achieved. The dosage and energy can be ordered to produce shallow ohmic contacts that are in contact with the conductor contacts provided by the contact conductive material structure C1, and a thin implanted shielding oxide can be used to avoid Ge sputtering removal . In one embodiment, the ion implantation region 1850 may be formed to form a shallow top ion implantation.

進一步參考圖1J,具有垂直延伸的中心軸1713的溝槽1712(圖1B至1D)示出被傳導材料構造C1所佔據。具有垂直延伸的中心軸1713的溝槽1712可以形成在如參考圖1B至1D所示的層2611至2614。在形成這種溝槽1712之後,傳導材料構造C1可以形成在被傳導材料構造C1佔據的溝槽1712。為了被傳導材料構造C1佔據的溝槽的圖案化,層2614可以由硬光罩材料形成。在一實施例的層2614可用於增強乾蝕刻性能並在隨後的導體研磨處理中提供停止層。傳導材料構造C1可以由半導體相容的金屬化材料形成,該半導體相容的金屬化材料對約900nm至約1600nm範圍內的波長反射。傳導材料構造C1可以是無鍺化物(耐火)的傳導材料構造。一方面,可以對由傳導材料構造C1佔據的所示溝槽1712進行圖案化,而使傳導材料構造C1具有周邊1913,該周邊1913與離子植入區域1850的周邊1851相間隔。With further reference to Fig. 1J, the trench 1712 (Figs. 1B to 1D) having a vertically extending central axis 1713 is shown to be occupied by the conductive material construction C1. The trench 1712 having the central axis 1713 extending vertically may be formed in the layers 2611 to 2614 as shown with reference to FIGS. 1B to 1D. After forming such a trench 1712, the conductive material structure C1 may be formed in the trench 1712 occupied by the conductive material structure C1. For the patterning of the trenches occupied by the conductive material structure C1, the layer 2614 may be formed of a hard mask material. In one embodiment, the layer 2614 can be used to enhance the dry etching performance and provide a stop layer in the subsequent conductor grinding process. The conductive material structure C1 may be formed of a semiconductor-compatible metallization material that reflects wavelengths in the range of about 900 nm to about 1600 nm. The conductive material structure C1 may be a germanide-free (fire-resistant) conductive material structure. On the one hand, the illustrated trench 1712 occupied by the conductive material structure C1 can be patterned so that the conductive material structure C1 has a perimeter 1913 that is spaced from the perimeter 1851 of the ion implantation region 1850.

參考圖1J,間隔距離D2 可以是接點構造C1周邊1913及離子植入區域1850的周邊1851之間的距離。在一實施例,間隔距離D2 可以等於或大於閾值距離L2 。在一實施例,間隔距離D2 可以在離子植入區域1850的整個區域內基本上是均勻的,並且可以在通常延伸至接點構造C1的周邊1913及離子植入區域1850的周邊1851的方向上。在這樣的實施例,間隔距離D2可以等於或大於在傳導材料構造C1的整個周邊1913及離子植入區域1850的整個周邊1851中提到的閾值距離。在一個實施例,L2 為100nm;L2 為100nm。在另一個實施例,為200nm;在另一個實施例,為300nm;在另一個實施例為400nm,在另一個實施例為500nm;在另一個實施例,為600nm;在另一個實施例,為700nm;在另一個實施例,800nm;在另一個實施例,900nm;在另一個實施例為1.0μm。形成與離子植入區域1850的周邊1851相間隔的傳導材料構造C1確保該傳導材料構造C1可以完全包含在離子植入區域1850的區域內。本發明提出了一種矽光學結構及處理,其中鍺光感測器結構也許包括:減低的面積的頂部金屬傳導材料構造C1,其完全包含在頂部離子植入區域1850的區域中。間隔距離D2可以基於以下所述而設計,例如:在處理過程中特徵的尺寸擴寬、最小的可印刷特徵尺寸以及可靠的最大特徵印刷錯位。1J, the separation distance D 2 may be the distance between the periphery 1913 of the contact structure C1 and the periphery 1851 of the ion implantation region 1850. In an embodiment, the separation distance D 2 may be equal to or greater than the threshold distance L 2 . In an embodiment, the separation distance D 2 may be substantially uniform throughout the entire area of the ion implantation area 1850, and may be in a direction generally extending to the periphery 1913 of the contact structure C1 and the periphery 1851 of the ion implantation area 1850. on. In such an embodiment, the separation distance D2 may be equal to or greater than the threshold distance mentioned in the entire periphery 1913 of the conductive material structure C1 and the entire periphery 1851 of the ion implantation region 1850. In one embodiment, L 2 is 100 nm; L 2 is 100 nm. In another embodiment, it is 200 nm; in another embodiment, it is 300 nm; in another embodiment, it is 400 nm, and in another embodiment is 500 nm; in another embodiment, it is 600 nm; in another embodiment, it is 700 nm; in another embodiment, 800 nm; in another embodiment, 900 nm; in another embodiment, 1.0 μm. Forming a conductive material structure C1 spaced apart from the periphery 1851 of the ion implantation region 1850 ensures that the conductive material structure C1 can be completely contained within the ion implantation region 1850 area. The present invention proposes a silicon optical structure and processing, in which the germanium photo sensor structure may include: a reduced area top metal conductive material structure C1, which is completely contained in the top ion implantation region 1850. The separation distance D2 can be designed based on the following, for example: the feature size is widened during processing, the smallest printable feature size, and the reliable maximum feature printing misalignment.

在形成傳導材料構造C1之前,所示的被傳導材料構造C1佔據的溝槽1712可以經各種處理,而使傳導材料構造C1可以基本上沒有金屬鍺化物相(例如:鍺化鎳)。離子植入區域1850允許減低連接到由傳導材料構造C1形成的無鍺化物的金屬頂部接點的阻抗。在一實施例,底部離子植入區域1860可以形成在由矽形成的層201所構成的波導210中。Before forming the conductive material structure C1, the shown trench 1712 occupied by the conductive material structure C1 may be subjected to various treatments, so that the conductive material structure C1 may be substantially free of metal germanide phase (for example: nickel germanide). The ion implantation region 1850 allows to reduce the impedance connected to the germanide-free metal top contact formed by the conductive material structure C1. In one embodiment, the bottom ion implantation region 1860 may be formed in the waveguide 210 formed by the layer 201 formed of silicon.

參照圖1J,在此敘述一種具有矽化物接點界面的光學結構200的製造方法。該光學結構200涉及形成所示的傳導材料構造C2佔據的溝槽的後製造的中間步驟。所示由傳導材料構造C2佔據的溝槽1712可以形成在介電堆疊206,該介電堆疊可以由介電材料(例如:氧化物)形成。在形成被傳導材料構造C2佔據的溝槽之後,可以在該溝槽的底部形成矽化物構造1930,然後可以在該溝槽中形成傳導材料構造C2。1J, a method of manufacturing an optical structure 200 with a silicide contact interface is described herein. The optical structure 200 involves an intermediate step of post-manufacturing that forms the trench occupied by the conductive material structure C2 shown. The trench 1712 shown as being occupied by the conductive material structure C2 may be formed in the dielectric stack 206, which may be formed of a dielectric material (for example: oxide). After the trench occupied by the conductive material structure C2 is formed, a silicide structure 1930 may be formed at the bottom of the trench, and then the conductive material structure C2 may be formed in the trench.

在另一方面,光學結構200可以包括:矽化物構造1930。為了矽化物構造1930的形成,金屬層(例如:鎳(Ni)或鎳鉑(NiPt))可以被濺射到所示被傳導材料構造C2佔據的溝槽,並且隨後在矽化物形成階段中進行退火,而使形成的金屬與層201的矽反應形成矽化物構造1930,其可以構成矽化物接點界面。矽化物構造1930可以由,例如:矽化鎳(NiSi)或矽化鎳鉑而形成。在光學結構200的區域中,而不是在與由矽形成的層201的界面處,例如:在構成如被傳導材料構造C2佔據所示之溝槽的側壁處以及在構造的頂部處,沉積的金屬可以保持未反應。在一實施例的退火之前,可以在形成的鎳或鎳鉑上形成薄覆蓋層(未示出,例如:由氮化鈦(TiN)形成),該薄覆蓋層可以保護可能受到金屬負面影響的加工工具。然後可以在適當的濕化學溶液中移除未反應的金屬(例如:Ni,NiPt)及薄覆蓋層,然後可以在相變階段對光學結構200進行進一步退火,以將矽化物構造1930轉變為低阻抗相。可以在比矽化物形成退火更高的溫度下執行相變階段退火。在一實施例,可以在約300攝氏度到約550攝氏度之間的溫度下執行相變階段退火。在一實施例,該矽化物形成階段退火可以在約350攝氏度至約500攝氏度之間的溫度下執行。In another aspect, the optical structure 200 may include: a silicide structure 1930. For the formation of the silicide structure 1930, a metal layer (for example: nickel (Ni) or nickel platinum (NiPt)) can be sputtered onto the trench occupied by the conductive material structure C2 as shown, and then proceed in the silicide formation stage Annealing causes the formed metal to react with the silicon of the layer 201 to form a silicide structure 1930, which can form a silicide contact interface. The silicide structure 1930 may be formed of, for example, nickel silicide (NiSi) or nickel platinum silicide. In the area of the optical structure 200, rather than at the interface with the layer 201 formed of silicon, for example, at the sidewalls of the trenches as shown by the structure C2 occupied by the conductive material and at the top of the structure, deposited The metal can remain unreacted. Before the annealing of an embodiment, a thin covering layer (not shown, for example, formed of titanium nitride (TiN)) may be formed on the formed nickel or nickel platinum, which may protect the metal that may be negatively affected by the metal. Processing tools. Then the unreacted metal (for example: Ni, NiPt) and the thin covering layer can be removed in a suitable wet chemical solution, and then the optical structure 200 can be further annealed in the phase change stage to convert the silicide structure 1930 to low Impedance phase. The phase transition annealing can be performed at a higher temperature than the annealing for silicide formation. In one embodiment, the phase change annealing may be performed at a temperature between about 300 degrees Celsius and about 550 degrees Celsius. In one embodiment, the silicide formation stage annealing may be performed at a temperature between about 350 degrees Celsius and about 500 degrees Celsius.

據觀察,如圖1J所示的矽化物構造1930的形成的製程困難可以由所示的被傳導材料構造C2佔據的溝槽構造施加。在一些實施例,其中所示為被傳導材料構造C2佔據的溝槽包括:窄寬度,例如:小於約400nm,據觀察,所形成的金屬,例如:Ni、NiPt也許優先形成在中間製造階段所示的光學結構200的頂部表面之上,或者相對於在可以由矽形成的層201的界面處的溝槽的底部而形成在所示被傳導材料構造C2佔據的溝槽的側壁。在一實施例,所示為被傳導材料構造C2佔據的溝槽可以包括:大於約1.3μm的深度及大於約350nm的寬度。為了解決這樣的製程困難,形成在所示被傳導材料構造C2佔據的溝槽的所形成的金屬可以被過度填充在所示被傳導材料構造C2佔據的溝槽內,而確保適當容量的金屬形成在由矽形成的層201的界面處。在一實施例,其中所示被傳導材料構造C2佔據的溝槽包括:大於約1.3μm的深度及大於約350nm的寬度,由金屬(例如:Ni或NiPt)形成,可以被沉積(例如:通過濺射),在所示被傳導材料構造C2佔據的溝槽底部的所需深度達到四倍(4×)的深度。在一實施例,在如圖1J的中間製造階段所示光學結構200的頂部,形成的金屬可以沉積至約40nm的厚度,以在所示被傳導材料構造C2佔據的溝槽的底部產生約10nm的厚度。It is observed that the process difficulty of the formation of the silicide structure 1930 as shown in FIG. 1J can be imposed by the trench structure occupied by the conductive material structure C2 as shown. In some embodiments, the trench shown as being occupied by the conductive material structure C2 includes: a narrow width, for example, less than about 400 nm. According to observations, the formed metal, such as Ni, NiPt, may be preferentially formed in the intermediate manufacturing stage. On the top surface of the optical structure 200 shown, or opposite to the bottom of the trench at the interface of the layer 201 that may be formed of silicon, is formed on the sidewall of the trench shown occupied by the conductive material structure C2. In one embodiment, the trench shown as being occupied by the conductive material structure C2 may include a depth greater than about 1.3 μm and a width greater than about 350 nm. In order to solve such process difficulties, the formed metal formed in the trench occupied by the conductive material structure C2 can be overfilled in the trench occupied by the conductive material structure C2 to ensure the formation of a metal with an appropriate capacity At the interface of the layer 201 formed of silicon. In one embodiment, the trench occupied by the conductive material structure C2 includes a depth greater than about 1.3 μm and a width greater than about 350 nm, formed of metal (for example: Ni or NiPt), and can be deposited (for example: by Sputtering), the required depth of the trench bottom occupied by the conductive material structure C2 is four times (4×) the depth shown. In one embodiment, at the top of the optical structure 200 as shown in the intermediate manufacturing stage of FIG. 1J, the formed metal may be deposited to a thickness of about 40 nm to produce about 10 nm at the bottom of the trench occupied by the conductive material structure C2 as shown. thickness of.

參照圖1J的中間製造階段圖,在所示由傳導材料構造C2佔據的溝槽內,傳導材料的沉積可以以在溝槽1712(圖1B至1D)內材料的沉積而構成傳導材料構造C1的方式而被執行。亦即,如圖1E所示,可以沉積構成傳導材料構造C1的傳導材料2712以過度填充所示的被傳導材料構造C2佔據的溝槽1712,然後可以進行CMP平坦化以減低傳導材料構造的高度形成高度1612,而使光學結構200的頂部表面由傳導材料構造C2及層2614構成。可以使用CMP平坦化執行平坦化,而使圖1J所示的光學結構200的頂部表面是平坦的,並且在圖1J所示的高度1612處水平延伸,該高度平行於所示的參考座標系統的X、Y平面。CMP平坦化可以伴隨CMP研磨,而使在高度1612處的光學結構200的頂部表面是原子光滑。Referring to the intermediate manufacturing stage diagram of FIG. 1J, in the trench occupied by the conductive material structure C2, the deposition of conductive material may be deposited in the trench 1712 (FIGS. 1B to 1D) to form the conductive material structure C1. Way to be executed. That is, as shown in FIG. 1E, the conductive material 2712 constituting the conductive material structure C1 can be deposited to overfill the trench 1712 occupied by the conductive material structure C2 as shown, and then CMP planarization can be performed to reduce the height of the conductive material structure The height 1612 is formed so that the top surface of the optical structure 200 is composed of the conductive material structure C2 and the layer 2614. The planarization can be performed using CMP planarization, and the top surface of the optical structure 200 shown in FIG. 1J is flat and extends horizontally at a height 1612 shown in FIG. 1J, which is parallel to the reference coordinate system shown in FIG. X, Y plane. The CMP planarization can be accompanied by CMP polishing, and the top surface of the optical structure 200 at the height 1612 is atomically smooth.

在一實施例,構成傳導材料構造C1及C2的傳導材料的沉積可以在單個沉積步驟中執行。在另一個實施例,可以使用第一及第二步驟。舉例而言,可以在形成由傳導材料構造C2佔據的溝槽之前填充由傳導材料構造C1佔據的溝槽,然後光學結構200可以進行圖案化以形成由傳導材料構造C2佔據的溝槽,然後所示由傳導材料構造C2佔據的溝槽可以被填充。In an embodiment, the deposition of the conductive material constituting the conductive material structures C1 and C2 may be performed in a single deposition step. In another embodiment, the first and second steps can be used. For example, the trenches occupied by the conductive material structure C1 may be filled before the trenches occupied by the conductive material structure C2 are formed, and then the optical structure 200 may be patterned to form the trenches occupied by the conductive material structure C2, and then It is shown that the trench occupied by the conductive material structure C2 can be filled.

具有波導210的光感測器240可以具有分別由接點傳導材料構造C1及C2構成的相關的頂部及底部接點,並且在一實施例,其特徵可以在於構成各個傳導材料構造C1及C2的傳導材料之間的材料配合。在表A中,列出了傳導材料的各種材料特性,其可用於構成光感測器240的相應接點C1及C2。表A在下面列出。 〔表A〕 材料 20 °C 的阻抗 反射率(在 1550nm 透光率 吸收係數 遷移及/或腐蝕特徵 銅 (Cu) 1.7×10-8 Ohm-m R=0.93577 Rp =0.86366 T=1.4276e-33 α= 8.6385e+5 cm-1 會遷移入,例如:矽、鍺及氧化物;會因氧化腐蝕 鋁 (Al) 2.82 ×10-8 Ohm-m R=0.91999 Rp =0.84191 T=8.5848e-52 α= 1.3065e+6 cm-1 會遷移入,例如:矽、鍺及氧化物,但遷移少於銅;因氧化抗腐蝕 鎢 (W) 5.6×10-8 Ohm-m R=0.74083 Rp =0.50771 T=4.9813e-16 α= 3.9151e+5 cm-1 穩定 (無遷移) The light sensor 240 with the waveguide 210 may have related top and bottom contacts formed by the contact conductive material structures C1 and C2, respectively, and in one embodiment, may be characterized by forming the respective conductive material structures C1 and C2. Material coordination between conductive materials. In Table A, various material properties of conductive materials are listed, which can be used to form the corresponding contacts C1 and C2 of the light sensor 240. Table A is listed below. [Table A] material Impedance at 20 °C Reflectance (at 1550nm ) Transmittance Absorption coefficient Migration and/or corrosion characteristics Copper (Cu) 1.7×10 -8 Ohm-m R=0.93577 R p =0.86366 T=1.4276e-33 α = 8.6385e+5 cm -1 Will migrate into, for example: silicon, germanium and oxides; will corrode due to oxidation Aluminum (Al) 2.8 2 ×10 -8 Ohm-m R=0.91999 R p =0.84191 T=8.5848e-52 α = 1.3065e+6 cm -1 Will migrate into, for example: silicon, germanium and oxides, but less migration than copper; anti-corrosion due to oxidation Tungsten (W) 5.6×10 -8 Ohm-m R=0.74083 R p =0.50771 T=4.9813e-16 α = 3.9151e+5 cm -1 Stable (no migration)

銅(Cu)的特徵在於低阻抗,但會帶來各種製程困難,例如:它會遷移到矽及氧化物中。此外,銅可能易於通過氧化而腐蝕以增加銅的阻抗。鋁(Al)的阻抗比銅高,但可以提高吸收率特性。鎢(W)的阻抗高於銅或鋁,但可以抵抗遷移。可以預期鎢是穩定的並且不會遷移到,例如:由矽或氧化物形成的相鄰表面中。在表A中,R是指非偏振光的反射率,Rp是指偏振光的反射率。Copper (Cu) is characterized by low impedance, but it will bring various process difficulties, for example: it will migrate to silicon and oxides. In addition, copper may be easily corroded by oxidation to increase the resistance of copper. Aluminum (Al) has higher impedance than copper, but it can improve the absorptivity characteristics. Tungsten (W) has higher impedance than copper or aluminum, but can resist migration. It can be expected that tungsten is stable and will not migrate to, for example, adjacent surfaces formed of silicon or oxide. In Table A, R refers to the reflectivity of unpolarized light, and Rp refers to the reflectivity of polarized light.

本發明中的實施例參考圖1J認知到,可以以各種方式協調用於構成傳導材料構造C1及相應的傳導材料構造C2的材料選擇。在一實施例,傳導材料2712(圖1E)可以選擇為鋁,而使圖1J的構成的傳導材料構造C1由鋁形成。提供要由鋁形成的傳導材料構造C1可以致使光感測器240的性能提高。舉例而言,可以預期與光敏感材料構造242相互作用的光被傳導材料構造C1反射而不是被吸收,從而提高了光感測器240的訊號雜訊比。The embodiment of the present invention recognizes with reference to FIG. 1J that the material selection for forming the conductive material structure C1 and the corresponding conductive material structure C2 can be coordinated in various ways. In an embodiment, the conductive material 2712 (FIG. 1E) may be selected to be aluminum, and the conductive material structure C1 of the configuration of FIG. 1J is formed of aluminum. Providing the conductive material configuration C1 to be formed of aluminum may cause the performance of the photo sensor 240 to be improved. For example, it can be expected that the light interacting with the light-sensitive material structure 242 is reflected by the conductive material structure C1 instead of being absorbed, thereby improving the signal-to-noise ratio of the light sensor 240.

繼續參考圖1J,像在一實施例的傳導材料構造C1一樣,傳導材料構造C2可以被選擇為鋁(Al)。分別選擇傳導材料構造C1及傳導材料構造C2由鋁形成的這種實施例可以提供各種優點。舉例而言,鋁可以具有出色的反射特性。由於例如:其抗氧化腐蝕的能力,鋁相對於銅可以具有改善的反射特性。另外,雖然可以使用如SiCN的阻擋材料抑制銅的腐蝕及遷移,但是SiCN是光吸收的,這可以降低銅表面的反射率。使用鋁作為傳導材料構造C1及/或C2可以致使光感測器240的改善的訊號雜訊比。舉例而言,通過如圖1J所示的波導210傳播且由光感測器240感測的光,可以被傳導材料構造C1及/或傳導材料構造C2反射而不是被吸收(如在氧化銅或銅與吸光阻擋材料結合使用的情況下可能發生)。Continuing to refer to FIG. 1J, like the conductive material structure C1 of an embodiment, the conductive material structure C2 can be selected as aluminum (Al). Selecting such an embodiment in which the conductive material structure C1 and the conductive material structure C2 are formed of aluminum, respectively, can provide various advantages. For example, aluminum can have excellent reflection properties. Due to, for example, its ability to resist oxidation and corrosion, aluminum can have improved reflective properties relative to copper. In addition, although barrier materials such as SiCN can be used to inhibit copper corrosion and migration, SiCN is light absorbing, which can reduce the reflectivity of the copper surface. Using aluminum as the conductive material to construct C1 and/or C2 can result in an improved signal-to-noise ratio of the light sensor 240. For example, light propagating through the waveguide 210 as shown in FIG. 1J and sensed by the light sensor 240 can be reflected by the conductive material structure C1 and/or the conductive material structure C2 instead of being absorbed (such as in copper oxide or This may occur when copper is used in combination with light-absorbing barrier materials).

在一些實施例,可以通過將傳導材料構造C2選擇為與傳導材料構造C1不同的材料提高光感測器240的性能。在一些實施例,舉例而言,構成傳導材料構造C2的吸收性材料的存在可以對光感測器240的光感測功能帶來降低的風險,例如:此處傳導材料構造C2相對於光敏感材料構造242間隔較長的間隔距離。在這樣的實施例,可以選擇傳導材料構造C2由銅(Cu)而不是鋁形成,因此,這樣的實施例,傳導材料構造C1由鋁形成並且傳導材料構造C2由銅形成。傳導材料構造C1的反射特性以及由傳導材料構造C2的低阻抗提供的改進的電性能,可提供極佳的最佳化的光學性能,從而可產生更快的電氣訊號傳播速度。本發明中的實施例認知到,雖然銅可以具有較低的阻抗及較高的訊號傳播速度,但是銅的使用可能潛在地抑制光感測器240的性能,例如:此處由於如尺寸及材料相關的設計限制之類的設計限制,傳導材料構造C2的界面至層201可以具有高接點阻抗、歸因於例如:銅向層201中的遷移或銅的腐蝕,的特徵。In some embodiments, the performance of the light sensor 240 can be improved by selecting the conductive material configuration C2 to be a different material from the conductive material configuration C1. In some embodiments, for example, the presence of the absorptive material constituting the conductive material structure C2 may bring a reduced risk to the light sensing function of the light sensor 240. For example, the conductive material structure C2 is relatively sensitive to light. The material structure 242 is separated by a long separation distance. In such an embodiment, the conductive material structure C2 may be selected to be formed of copper (Cu) instead of aluminum, and therefore, in such an embodiment, the conductive material structure C1 is formed of aluminum and the conductive material structure C2 is formed of copper. The reflective properties of the conductive material structure C1 and the improved electrical performance provided by the low impedance of the conductive material structure C2 can provide excellent and optimized optical performance, which can generate a faster electrical signal propagation speed. The embodiments of the present invention recognize that although copper can have lower impedance and higher signal propagation speed, the use of copper may potentially inhibit the performance of the light sensor 240. For example, due to the size and material Related design constraints and other design constraints, the interface of the conductive material structure C2 to the layer 201 may have high contact resistance due to, for example, copper migration into the layer 201 or copper corrosion.

因此,在用於提高光感測器240的性能的一個實施例,可以選擇傳導材料構造C1由鋁提供,並且可以選擇傳導材料構造C2由鎢(W)提供。這樣的實施例的特徵在於,歸因於鎢的優異的遷移特性,減低傳導材料構造C2與由矽形成的層201之間的接點阻抗。為了提高傳導材料構造C1及傳導材料構造C2的反射率,傳導材料構造C1及傳導材料構造C2的各個表面可以是以原子光滑的方式形成。由矽形成之層201的圖案化而構成如圖1G至1J的波導201的使用,構成波導210之圖案化層201的頂部表面可以經CMP平坦化,而使波導210的頂部表面是平坦的,並且在平行於參考XY水平平面延伸的水平平面延伸。在對波導210的頂部表面進行CMP平坦化之後,可以對波導210的頂部表面進行CMP研磨,從而使波導210的頂部表面原子光滑。使波導210的頂部表面原子地光滑可以增加沉積在波導210的頂部表面上的傳導材料構造C1及傳導材料構造C2的反射率。Therefore, in an embodiment for improving the performance of the light sensor 240, the conductive material structure C1 may be selected to be provided by aluminum, and the conductive material structure C2 may be selected to be provided by tungsten (W). Such an embodiment is characterized in that due to the excellent migration characteristics of tungsten, the contact resistance between the conductive material structure C2 and the layer 201 formed of silicon is reduced. In order to improve the reflectivity of the conductive material structure C1 and the conductive material structure C2, the respective surfaces of the conductive material structure C1 and the conductive material structure C2 may be formed in an atomically smooth manner. The patterning of the layer 201 formed of silicon forms the use of the waveguide 201 shown in FIGS. 1G to 1J. The top surface of the patterned layer 201 constituting the waveguide 210 can be planarized by CMP, so that the top surface of the waveguide 210 is flat. And extend on a horizontal plane that extends parallel to the reference XY horizontal plane. After the top surface of the waveguide 210 is planarized by CMP, the top surface of the waveguide 210 may be polished by CMP, so that the top surface of the waveguide 210 is atomically smooth. Making the top surface of the waveguide 210 atomically smooth can increase the reflectivity of the conductive material structure C1 and the conductive material structure C2 deposited on the top surface of the waveguide 210.

圖2A示出在製造的後續階段中具有介電堆疊206的光學結構200的製造,其可以被製造並構成一個以上的光學元件,如結合圖1A至1J所示波導210的一個以上的波導、波導214的一個以上的波導、一個以上的波導218、一個以上的光柵220、一個以上的調變器230以及一個以上的光感測器240。2A shows the manufacture of an optical structure 200 with a dielectric stack 206 in a subsequent stage of manufacture, which can be manufactured and constitute more than one optical element, such as combining more than one waveguide of the waveguide 210 shown in FIGS. 1A to 1J, The waveguide 214 has more than one waveguide, more than one waveguide 218, more than one grating 220, more than one modulator 230, and more than one optical sensor 240.

一個以上的光學元件可以附加地或替代地通過例如:諧振器、偏振器或另一種類型的光學元件而提供。在所示的實施例,波導210可以代表由單晶矽(Si)形成的波導,波導214可以代表由氮化物(例如:SiN)形成的波導,並且波導218可以代表由任何通用波導材料形成的波導,例如:單晶矽、多晶矽,非晶矽、氮化矽或氮氧化矽。可以使用具有基板100、由絕緣層提供的層202及由矽層提供的層201的預製的絕緣層上矽(SOI)晶圓構建光學結構200。可以在由SOI晶圓的矽層提供的層201中圖案化波導210、光柵220及調變器230。More than one optical element may additionally or alternatively be provided by, for example, a resonator, a polarizer, or another type of optical element. In the illustrated embodiment, the waveguide 210 may represent a waveguide formed of single crystal silicon (Si), the waveguide 214 may represent a waveguide formed of nitride (for example: SiN), and the waveguide 218 may represent a waveguide formed of any general waveguide material. Waveguides, such as monocrystalline silicon, polycrystalline silicon, amorphous silicon, silicon nitride or silicon oxynitride. The optical structure 200 may be constructed using a prefabricated silicon-on-insulator (SOI) wafer having a substrate 100, a layer 202 provided by an insulating layer, and a layer 201 provided by a silicon layer. The waveguide 210, the grating 220, and the modulator 230 can be patterned in the layer 201 provided by the silicon layer of the SOI wafer.

在介電堆疊206內的圖案化還可以有接點傳導材料構造,如接點傳導材料構造C1、C2、C3、C4、C5及C6、構成金屬化層構造M1的金屬化層422A、構成金屬化層構造M2的金屬化層422B,構成金屬化層構造M3的金屬化層422C、構成金屬化層構造M4的金屬化層422D以及構成金屬化層構造M5的金屬化層422E。The patterning in the dielectric stack 206 can also have contact conductive material structures, such as contact conductive material structures C1, C2, C3, C4, C5, and C6, the metallization layer 422A that forms the metallization layer structure M1, and the metallization layer 422A that forms the metallization layer structure M1. The metallization layer 422B of the metallization layer structure M2 constitutes the metallization layer 422C of the metallization layer structure M3, the metallization layer 422D that forms the metallization layer structure M4, and the metallization layer 422E that forms the metallization layer structure M5.

金屬化層422A、金屬化層422B、金屬化層422C、金屬化層422D及金屬化層422E可以構成水平延伸的導線。由金屬化層422A、422B、422C、422D、422E構成的導線可以水平地延伸穿過介電堆疊206的區域。金屬化層422A、422B、422C、422D、422E通常可通過將一個以上的介電堆疊層沉積到相應金屬化層422A、422B、422C、422D、422E的至少頂部高度形成,該金屬化層422A、422B、422C、422D、422E經蝕刻以構成用於容納傳導材料的腔室,以傳導材料填充腔室,然後平坦化到相應的金屬化層422A、422B、422C、422D、422E的頂部高度。金屬化層422A、422B、422C、422D、422E通常也可以通過沉積均勻厚度的金屬化層,然後遮罩及蝕刻而從不想要的區域移除層材料。金屬化層422A、422B、422C、422D、422E可以由金屬或其他傳導材料的形成。The metallization layer 422A, the metallization layer 422B, the metallization layer 422C, the metallization layer 422D, and the metallization layer 422E can form horizontally extending wires. The wires formed by the metallization layers 422A, 422B, 422C, 422D, and 422E may extend horizontally through the area of the dielectric stack 206. The metallization layers 422A, 422B, 422C, 422D, 422E can generally be formed by depositing more than one dielectric stack layer to at least the top height of the corresponding metallization layers 422A, 422B, 422C, 422D, 422E. The metallization layers 422A, The 422B, 422C, 422D, and 422E are etched to form a cavity for containing the conductive material, the cavity is filled with the conductive material, and then planarized to the top height of the corresponding metallization layer 422A, 422B, 422C, 422D, 422E. The metallization layers 422A, 422B, 422C, 422D, and 422E can also usually be deposited with a uniform thickness of the metallization layer, and then masked and etched to remove layer materials from undesired areas. The metallization layers 422A, 422B, 422C, 422D, and 422E may be formed of metal or other conductive materials.

由金屬化層422A構成的水平延伸的導線可以電連接至一個以上的垂直延伸的接點構造C1至C6以及由通孔層322A構成的通孔V1,以垂直地且水平地分配一個以上的控制、邏輯及/或功率訊號至經製造在一個以上的光學元件的介電堆疊206的不同區域。The horizontally extending wire formed by the metallization layer 422A can be electrically connected to more than one vertically extending contact structure C1 to C6 and the through hole V1 formed by the via layer 322A to distribute more than one control vertically and horizontally. , Logic and/or power signals to different areas of the dielectric stack 206 that are manufactured on more than one optical element.

由金屬化層422B構成的水平延伸的導線可以電連接到一個以上的由通孔層322A構成的垂直延伸的通孔V1及/或由通孔層322B構成的垂直延伸的通孔V2,以垂直地且水平地分配一個以上的電氣控制、邏輯訊號及/或功率訊號在介電堆疊206的不同區域之間。The horizontally extending wire formed by the metallization layer 422B can be electrically connected to more than one vertically extending through hole V1 formed by the through hole layer 322A and/or the vertically extending through hole V2 formed by the through hole layer 322B so as to be vertically More than one electrical control, logic signal and/or power signal are distributed between different areas of the dielectric stack 206 ground and horizontally.

由金屬化層422C構成的水平延伸的導線可以電連接至一個以上的由通孔層322B構成的垂直延伸的通孔V2及/或由通孔層322C構成的垂直延伸的通孔V3,以垂直地且水平地分配一個以上的電氣控制、邏輯訊號及/或功率訊號在介電堆疊206的不同區域之間。The horizontally extending wire formed by the metallization layer 422C can be electrically connected to more than one vertically extending via V2 formed by the via layer 322B and/or the vertically extending via V3 formed by the via layer 322C, so as to be vertically More than one electrical control, logic signal and/or power signal are distributed between different areas of the dielectric stack 206 ground and horizontally.

由金屬化層422D構成的水平延伸的導線可以電連接至一個以上的由通孔層322C構成的垂直延伸的通孔V3及/或由通孔層322D構成的垂直延伸的通孔V4,以垂直地且水平地分配一個以上的電氣控制、邏輯訊號及/或功率訊號在介電堆疊206的不同區域之間。The horizontally extending wire formed by the metallization layer 422D can be electrically connected to more than one vertically extending through hole V3 formed by the through hole layer 322C and/or the vertically extending through hole V4 formed by the through hole layer 322D so as to be vertically More than one electrical control, logic signal and/or power signal are distributed between different areas of the dielectric stack 206 ground and horizontally.

由金屬化層422E構成的水平延伸的導線可以電連接至一個以上的由通孔層322D構成的垂直延伸的通孔V4,以垂直地且水平地分配一個以上的電氣控制、邏輯及/或功率訊號在介電堆疊206的不同的區域之間。The horizontally extending wire formed by the metallization layer 422E can be electrically connected to more than one vertically extending through hole V4 formed by the via layer 322D to distribute more than one electrical control, logic and/or power vertically and horizontally The signal is between different areas of the dielectric stack 206.

通孔層322A、322B、322C及/或322D可通過在一個以上的介電堆疊層之上沉積到至少為相應通孔層322A、322B、322C及/或322D的頂部高度而形成,蝕刻構成具有傳導材料的腔室,然後平坦化到相應的通孔層322A、322B、322C及/或322D的頂部高度。The via layer 322A, 322B, 322C and/or 322D can be formed by depositing on more than one dielectric stack to at least the top height of the corresponding via layer 322A, 322B, 322C and/or 322D. The etching composition has The cavity of the conductive material is then planarized to the top height of the corresponding via layers 322A, 322B, 322C, and/or 322D.

在圖2B中示出沿圖2A的高度1601截取的光學結構200的圖案化的剖面俯視圖。光學結構200已經可以在介電堆疊206製造各種光學元件,例如:波導210、214、218、光柵220、調變器230或光感測器240。在圖2B的視圖中,示出波導210、光柵220及調變器230。In FIG. 2B, a patterned cross-sectional top view of the optical structure 200 taken along the height 1601 of FIG. 2A is shown. The optical structure 200 can already manufacture various optical elements on the dielectric stack 206, such as waveguides 210, 214, 218, grating 220, modulator 230 or light sensor 240. In the view of FIG. 2B, the waveguide 210, the grating 220, and the modulator 230 are shown.

本發明中的實施例認知到基於銅(Cu)的低阻抗特性,在光學結構200中使用構成導體的銅形成可以提高光學結構200的性能。銅在20°C時的阻抗約為1.72×10-8 ohms-m。因此,使用銅可以顯著提高訊號傳播速度。然而,本發明的實施例認知到使用銅的問題,包括:銅遷移及銅的腐蝕。舉例而言,銅可以遷移到介電堆疊的材料中。銅也容易氧化及腐蝕,致使阻抗增加。可以選擇沉積在金屬化層上的介電堆疊206的介電層,以用作阻擋傳導材料遷移並抑制歸因於氧化的腐蝕的阻擋層。在一實施例,可以選擇SiCN以形成阻擋層以抵抗銅的遷移並通過銅的氧化抑制腐蝕。SiCN具有電遷移及腐蝕阻擋性能。儘管可以使用SiCN阻止銅的遷移,但是本發明的實施方式認知到SiCN可以表現出顯著的光吸收,特別是在IR帶中。The embodiments of the present invention recognize that based on the low impedance characteristic of copper (Cu), the use of copper forming a conductor in the optical structure 200 can improve the performance of the optical structure 200. The impedance of copper at 20°C is approximately 1.72×10 -8 ohms-m. Therefore, the use of copper can significantly increase the signal propagation speed. However, the embodiments of the present invention recognize the problems of using copper, including: copper migration and copper corrosion. For example, copper can migrate into the material of the dielectric stack. Copper is also susceptible to oxidation and corrosion, resulting in an increase in impedance. The dielectric layer of the dielectric stack 206 deposited on the metallization layer may be selected to serve as a barrier layer that blocks the migration of conductive materials and inhibits corrosion due to oxidation. In one embodiment, SiCN can be selected to form a barrier layer to resist copper migration and inhibit corrosion through copper oxidation. SiCN has electromigration and corrosion barrier properties. Although SiCN can be used to prevent the migration of copper, the embodiments of the present invention recognize that SiCN can exhibit significant light absorption, especially in the IR band.

本發明的實施例進一步認知到,SiCN可以抑制光學系統的性能。舉例而言,本發明的實施例認知到,在光學系統中存在設計的光訊號傳輸路徑的情況下,SiCN的存在可以吸收光能並且因此可以抑制(例如:降低或防止其性能)光訊號的傳輸。The embodiments of the present invention further recognize that SiCN can suppress the performance of the optical system. For example, the embodiment of the present invention recognizes that when there is a designed optical signal transmission path in the optical system, the presence of SiCN can absorb light energy and therefore can suppress (for example: reduce or prevent its performance) of the optical signal. transmission.

參考圖2A,光學結構200可以包括:一個以上的設計的光訊號傳輸區域。舉例而言,在垂直延伸的平面1511A及1512A之間可以存在圖2A所示的X尺寸剖面深度(圖2B所示的深度1502)的光訊號傳輸區域L1。在垂直延伸的平面1511B及1512B之間可以存在圖2A所示的X尺寸剖面深度(圖2B所示的深度1502)的光訊號傳輸區域L2。在每一個光訊號傳輸區域L1及L2中,光訊號可以從較高的高度傳輸到較低的高度及/或從較低的高度傳輸到較高的高度。光訊號傳輸區域L1及光訊號傳輸區域L2可以例如:向上或向下傳輸光學結構200的光訊號,並且在一實施例可以垂直地(相對於水平平面成90度角)傳輸光訊號。光學結構的光訊號傳輸區域可以在任何方向上傳輸光訊號。Referring to FIG. 2A, the optical structure 200 may include more than one designed optical signal transmission area. For example, between the vertically extending planes 1511A and 1512A, there may be an optical signal transmission area L1 with the X-dimension cross-sectional depth shown in FIG. 2A (the depth 1502 shown in FIG. 2B). Between the vertically extending planes 1511B and 1512B, there may be an optical signal transmission area L2 with the X-dimension cross-sectional depth shown in FIG. 2A (the depth 1502 shown in FIG. 2B). In each optical signal transmission area L1 and L2, the optical signal can be transmitted from a higher height to a lower height and/or from a lower height to a higher height. The optical signal transmission area L1 and the optical signal transmission area L2 can, for example, transmit the optical signal of the optical structure 200 upward or downward, and in one embodiment can transmit the optical signal vertically (at a 90 degree angle with respect to the horizontal plane). The optical signal transmission area of the optical structure can transmit optical signals in any direction.

在光訊號傳輸區域L1之間的光訊號傳輸可以包括:不同高度的光學元件之間的光訊號傳輸,例如:在光訊號傳輸區域L1中的兩個或複數個波導之間的光訊號傳輸。圖2A所示的相應的高度1632A、1632B、1632C、1634A、1634B。The optical signal transmission between the optical signal transmission areas L1 may include: optical signal transmission between optical elements of different heights, for example: optical signal transmission between two or more waveguides in the optical signal transmission area L1. The corresponding heights 1632A, 1632B, 1632C, 1634A, 1634B are shown in FIG. 2A.

光學結構200可以經配置,而使光學結構的第一及第二波導的波導210、214、218耦合光訊號在它們之間,或者可替換地為在彼此光隔離而不耦合光訊號。可以通過控制波導之間的間隔及附加參數控制波導之間的耦合,例如:控制間隔以使波導之間發生預期的光訊號耦合,或者控制間隔以使波導處於光隔離狀態。波導之間的光訊號耦合可以包括:例如:瞬逝耦合(Evanescent Coupling)或抽頭耦合(Tap Coupling)。The optical structure 200 may be configured such that the waveguides 210, 214, and 218 of the first and second waveguides of the optical structure couple optical signals between them, or alternatively they are optically isolated from each other without coupling optical signals. The coupling between the waveguides can be controlled by controlling the interval between the waveguides and additional parameters, for example, controlling the interval so that the desired optical signal coupling occurs between the waveguides, or controlling the interval so that the waveguides are in an optically isolated state. The optical signal coupling between the waveguides may include: evanescent coupling (Evanescent Coupling) or tap coupling (Tap Coupling), for example.

光訊號傳輸區域L1可以包括:在圖2A中以虛線形式示出的相關的光輸入裝置702A。光輸入裝置702A可以例如:由雷射光源或承載光的光纖電纜提供。光訊號傳輸區域L2內的光訊號傳輸可以包括:在光輸入裝置702B及由圖2A所示的光柵220提供的光學裝置之間的光訊號傳輸。光輸入裝置702B可由例如:通過光訊號傳輸區域L2向下發射光的承載光的光纖電纜或雷射光源提供。可以例如:由接收由光輸入裝置702B發射的訊號光的光學光柵提供由圖2A所示的光柵220構成的光學元件。光學結構200可以具有相關聯的光的輸入設備702A相關聯的及702B光學結構200,用於輸入光通常向下例如:垂直或約垂直。光學結構200可以附加地或可替代地具有相關聯的光輸入裝置(例如,雷射源或載光電纜),其將光大致橫向地(例如:水平地或約水平地)輸入到光學結構200中。The optical signal transmission area L1 may include: the related optical input device 702A shown in dashed form in FIG. 2A. The light input device 702A can be provided by, for example, a laser light source or an optical fiber cable carrying light. The optical signal transmission in the optical signal transmission area L2 may include: optical signal transmission between the optical input device 702B and the optical device provided by the grating 220 shown in FIG. 2A. The light input device 702B can be provided by, for example, a light-carrying fiber optic cable or a laser light source that emits light downward through the optical signal transmission area L2. For example, an optical element composed of the grating 220 shown in FIG. 2A may be provided by an optical grating that receives the signal light emitted by the optical input device 702B. The optical structure 200 may have an associated optical input device 702A and 702B optical structure 200 for inputting light generally downward, for example, vertical or approximately vertical. The optical structure 200 may additionally or alternatively have an associated light input device (for example, a laser source or a light-carrying cable), which inputs light to the optical structure 200 approximately laterally (for example, horizontally or approximately horizontally). in.

在圖2B中示出沿圖2A的高度1601截取的圖2A的剖面俯視圖。在圖2B中,深度1502可以示出圖2A所示的剖面ZY平面剖面圖的切割深度,並且深度1503(圖2B)可以示出相對於圖2A的視圖進入紙中的深度。光輸入裝置702B可以將光向下耦合到由圖2A所示的光柵220構成的光學裝置,例如:由在約X尺寸深度1502處的光學光柵提供的(圖2A所示的切口)。圖2A中以虛線形式所示的光輸入裝置702A可以將光向下耦合至由光學光柵220提供的約X尺寸深度1503(圖2B)的光學裝置。由光柵220在深度1503(圖2B)構成的光學元件可以與如所示的(由圖2A的紙張延伸出)向前延伸的波導整體地形成,並且由光柵220在深度1502(圖2B)構成的光學元件可以相對於圖2A所示的切割深度與延伸入紙的波導210整體地形成。A cross-sectional top view of FIG. 2A taken along the height 1601 of FIG. 2A is shown in FIG. 2B. In FIG. 2B, the depth 1502 may show the cutting depth of the ZY plane cross-sectional view shown in FIG. 2A, and the depth 1503 (FIG. 2B) may show the depth into the paper relative to the view of FIG. 2A. The light input device 702B can couple light down to an optical device formed by the grating 220 shown in FIG. 2A, for example, provided by an optical grating at a depth of approximately X dimension 1502 (the cutout shown in FIG. 2A). The light input device 702A shown in dashed form in FIG. 2A can couple light down to an optical device with a depth of approximately X dimension 1503 (FIG. 2B) provided by the optical grating 220. The optical element composed of grating 220 at depth 1503 (Figure 2B) can be integrally formed with the waveguide extending forward as shown (extended from the paper of Figure 2A), and composed of grating 220 at depth 1502 (Figure 2B) The optical element may be integrally formed with the waveguide 210 extending into the paper with respect to the cutting depth shown in FIG. 2A.

本發明的實施認知到的光吸收材料的存在在光訊號傳輸區域L1之間垂直延伸的平面1511A及垂直延伸的平面1512A及之間的光訊號傳輸區域L2垂直延伸的平面1511B及垂直延伸的平面1512B可以負面影響光學結構200的操作。本發明的實施例認知到,例如:光訊號傳輸區域L1內存在SiCN可以抑制光訊號傳輸,以用於在光訊號傳輸區域L1內的介電堆疊206內製造的所示光學結構之間進行耦合。本發明的實施例認知到,例如:光訊號傳輸區域L2內的SiCN的存在可以抑制所示的光輸入裝置702B與由光訊號傳輸區域L2內的介電堆疊206內製造的光柵220構成的光學裝置之間的光訊號傳輸。本發明的實施例認知到波導具有傳輸模式,其中,通過波導傳播的光訊號部分地向外傳播至波導的外壁。這種波導外部光會不合需要地被SiCN的形成吸收。The implementation of the present invention recognizes the existence of the light absorbing material in the plane 1511A and the plane 1512A extending vertically between the optical signal transmission areas L1 and the plane 1511B and the plane extending vertically between the optical signal transmission areas L2. 1512B may negatively affect the operation of the optical structure 200. The embodiment of the present invention recognizes that, for example, the presence of SiCN in the optical signal transmission area L1 can inhibit the transmission of optical signals for coupling between the illustrated optical structures manufactured in the dielectric stack 206 in the optical signal transmission area L1 . The embodiment of the present invention recognizes that, for example, the presence of SiCN in the optical signal transmission area L2 can suppress the optical input device 702B shown and the optical fiber formed by the grating 220 made in the dielectric stack 206 in the optical signal transmission area L2. Optical signal transmission between devices. The embodiments of the present invention recognize that the waveguide has a transmission mode, in which the optical signal propagating through the waveguide partially propagates outward to the outer wall of the waveguide. This kind of waveguide external light can be undesirably absorbed by the formation of SiCN.

在一實施例,光學結構200可以使用各種處理製造,包括:用於製造傳導路徑的處理。用於製造光學結構200的處理可以包括:(A)製造一個以上的光感測器的接點傳導材料,(B)製造一個以上的傳導材料以形成調變器的處理,以及(C)一種用於製造包括:光學結構200的接點金屬化層的傳導材料層的處理。處理(A)已經參考圖1A至1J示出,圖1A至1J示出圖2A的區域(AA)所示的光感測器240。用於調變器的形成的一個以上的傳導材料的製造的處理(B)參照圖3A至3D示出,圖3A至3D示出調變器,例如:如圖2A的區域(BB)所示。傳導材料層的製造的處理(C)參照圖4A至4Z示出,傳導材料層包括:光學結構200的接點金屬化層,圖4A至4Z示出包括:接點金屬化層(例如:如結合圖2A的區域(CC)所示)的金屬化層。In an embodiment, the optical structure 200 may be manufactured using various processes, including: a process for manufacturing a conductive path. The process for manufacturing the optical structure 200 may include: (A) manufacturing more than one contact conductive material of the photo sensor, (B) manufacturing more than one conductive material to form a modulator, and (C) a process It is used to manufacture the conductive material layer including the contact metallization layer of the optical structure 200. The process (A) has been shown with reference to FIGS. 1A to 1J, and FIGS. 1A to 1J show the light sensor 240 shown in the area (AA) of FIG. 2A. The manufacturing process (B) of more than one conductive material for the formation of the modulator is shown with reference to FIGS. 3A to 3D, and FIGS. 3A to 3D show the modulator, for example: as shown in the area (BB) of FIG. 2A . The manufacturing process (C) of the conductive material layer is shown with reference to FIGS. 4A to 4Z. The conductive material layer includes: the contact metallization layer of the optical structure 200, and FIGS. 4A to 4Z show that it includes: the contact metallization layer (for example: Combine the metallization layer in the area (CC) of Figure 2A.

圖3A示出在製造的中間階段的光學結構200,其中,對絕緣層上矽(SOI)晶圓進行圖案化以製造調變器。如圖3A所示,SOI晶圓可以包括:基板100、由絕緣體提供的層202、及由矽層提供的層201。在圖3A中,示出用於調變器的初始圖案化的光阻層701。由光阻層提供的層701可以包括:用於構成調變器的圖案化,如隨後的圖3C至3D所示。圖3A所示的光刻堆疊被所示為單層光刻堆疊。在一實施例,可以使用多層光刻堆疊,例如:多層有機光刻堆疊。FIG. 3A shows the optical structure 200 in an intermediate stage of manufacturing, in which a silicon-on-insulator (SOI) wafer is patterned to manufacture a modulator. As shown in FIG. 3A, the SOI wafer may include: a substrate 100, a layer 202 provided by an insulator, and a layer 201 provided by a silicon layer. In FIG. 3A, an initial patterned photoresist layer 701 for the modulator is shown. The layer 701 provided by the photoresist layer may include: patterning for forming a modulator, as shown in subsequent FIGS. 3C to 3D. The photolithographic stack shown in FIG. 3A is shown as a single-layer photolithographic stack. In one embodiment, a multilayer photolithography stack may be used, for example, a multilayer organic photolithography stack.

圖3B示出在附加的圖案化及製造處理之後的製造的中間階段的如圖3A所示的光學結構200。如圖3B所示,可以通過使用圖3A所示的光刻堆疊以及由一個以上的附加光刻堆疊以及附加的圖案化步驟構成由矽層提供的層201的圖案化構成調變器的中心脊231,從而構成調變器230。FIG. 3B shows the optical structure 200 shown in FIG. 3A in an intermediate stage of manufacturing after additional patterning and manufacturing processes. As shown in FIG. 3B, the central ridge of the modulator can be formed by using the photolithography stack shown in FIG. 3A and the patterning of the layer 201 provided by the silicon layer by more than one additional photolithography stack and additional patterning steps. 231, thereby forming a modulator 230.

在圖案化以構成調變器230之後,如圖3C所示的光學結構200可以經進一步處理以沉積由介電層(例如:如二氧化矽的氧化物)提供的沉積層2601,並且在層2601上沉積層2602。層2601可以在層201的圖案化時沉積在調變器230上或附近,以構成調變器230。在此之前的沉積層2602,可以執行離子植入以構成離子植入區域1950的調變器230。層2601及層2602的沉積可以包括:使用由熱預算所允許的電漿輔助化學氣相沉積(PECVD)處理溫度,以用於製造光學結構200。After being patterned to form the modulator 230, the optical structure 200 as shown in FIG. 3C may be further processed to deposit a deposition layer 2601 provided by a dielectric layer (for example: oxide such as silicon dioxide), and in the layer Layer 2602 is deposited on 2601. The layer 2601 may be deposited on or near the modulator 230 during the patterning of the layer 201 to constitute the modulator 230. Prior to the deposited layer 2602, ion implantation may be performed to form the modulator 230 of the ion implantation region 1950. The deposition of the layer 2601 and the layer 2602 may include: using a plasma-assisted chemical vapor deposition (PECVD) processing temperature allowed by the thermal budget for manufacturing the optical structure 200.

在層2601的沉積上,層2601可以被平坦化以減低層的高度並且構成在平行於所示的參考座標系統的XY平面的水平平面延伸的頂部平面。平坦化可以包括:使用CMP平坦化。CMP平坦化可以伴隨CMP研磨,而使層2601的頂部表面原子光滑。同樣地,沉積在層2602之上,層2602可以通過伴隨有CMP研磨的CMP平坦化而進行平坦化處理而減低層2602的高度,而使層2602的頂部表面為原子光滑。On the deposition of layer 2601, layer 2601 may be planarized to reduce the height of the layer and constitute a top plane extending in a horizontal plane parallel to the XY plane of the reference coordinate system shown. The planarization may include: planarization using CMP. The CMP planarization can be accompanied by CMP polishing to make the top surface of the layer 2601 atomically smooth. Similarly, deposited on the layer 2602, the layer 2602 can be planarized by CMP planarization accompanied by CMP polishing to reduce the height of the layer 2602, and make the top surface of the layer 2602 atomically smooth.

圖3B示出在沉積由介電材料例如:SiO2 及層2602形成的層2601之後的製造的中間階段的如圖3A所示的光學結構200。PECVD處理可用於以減低的熱溫度預算沉積層2601,例如:使用約300°C至約500°C範圍內的溫度。在一實施例,層2602的沉積可以包括:包括構成光感測器240的波導210、波導210、光柵220及第二調變器230(圖2A)的層201中所圖案化的其它光學元件及圖3B所相關描述的經圖案化所構成的調變器230之上及附近的非共形材料的沉積。FIG. 3B shows the optical structure 200 shown in FIG. 3A in an intermediate stage of manufacturing after depositing a layer 2601 formed of a dielectric material such as SiO 2 and a layer 2602. The PECVD process can be used to deposit the layer 2601 with a reduced thermal temperature budget, for example, using a temperature in the range of about 300°C to about 500°C. In an embodiment, the deposition of the layer 2602 may include: other optical elements patterned in the layer 201 including the waveguide 210, the waveguide 210, the grating 220 and the second modulator 230 (FIG. 2A) constituting the light sensor 240 And the deposition of non-conformal materials on and near the patterned modulator 230 described in relation to FIG. 3B.

層2601的沉積可以包括:將PECVD與高深寬比處理(HARP)一起使用。在沉積相過程,也許使用電漿增強實現非保形,其條件被調整為增強水平表面上的沉積速率,同時抑制垂直表面上的沉積速率(例如:使用Bosch處理構成的台階邊緣)。因此,可以避免由於披覆層的夾止而致使的空隙及其他缺陷,並且可以將其對光訊號傳輸的有害影響最小化。在一實施例,層2601可由非共形氧化物材料(例如:非共形SiO2 )形成。對於層2601所使用的非保形氧化物材料可減低在介電堆疊206的空隙及其他缺陷的事件,該介電堆疊206圍繞調變器230及在層201圖案化的其他光學元件,包括:構成光感測器240的波導210、波導210、光柵220以及第二調變器230(圖2A)。The deposition of layer 2601 may include the use of PECVD with high aspect ratio processing (HARP). In the depositional facies process, plasma enhancement may be used to achieve non-conformal, the conditions are adjusted to enhance the deposition rate on the horizontal surface, while suppressing the deposition rate on the vertical surface (for example: using Bosch processing to form the edge of the step). Therefore, voids and other defects caused by the clamping of the cladding layer can be avoided, and the harmful effects on the transmission of optical signals can be minimized. In one embodiment, the layer 2601 may be formed of a non-conformal oxide material (for example, non-conformal SiO 2 ). The non-conformal oxide material used for layer 2601 can reduce the occurrence of voids and other defects in the dielectric stack 206 that surrounds the modulator 230 and other optical elements patterned on layer 201, including: The waveguide 210, the waveguide 210, the grating 220, and the second modulator 230 that constitute the light sensor 240 (FIG. 2A).

非保形氧化物材料可以是適於在水平表面上以較高速率沉積同時顯示出受抑制的側壁沉積速率的材料。在一實施例,在一種用於提供非保形氧化物材料的方法中,氧化物材料的沉積可以電漿增強。可以預見(但並未示出),為了層2601之夾止使用可以發生對於保形材料的使用,當層2601沉積在高深寬比特徵之上或附近時,會隨著氧化物圍繞調變器230及在層201圖案化的其他光學元件而因此致使空隙的引入,該層201包括:構成光感測器240的波導210、波導210、光柵220及第二調變器230(圖2A)。The non-conformal oxide material may be a material suitable for deposition at a higher rate on a horizontal surface while exhibiting a suppressed sidewall deposition rate. In one embodiment, in a method for providing a non-conformal oxide material, the deposition of the oxide material may be plasma enhanced. It is foreseen (but not shown) that the use of conformal materials may occur for the clamping use of layer 2601. When layer 2601 is deposited on or near high aspect ratio features, it will surround the modulator with oxide 230 and other optical elements patterned on the layer 201, thus leading to the introduction of voids. The layer 201 includes the waveguide 210 constituting the light sensor 240, the waveguide 210, the grating 220, and the second modulator 230 (FIG. 2A).

在一實施例,為了改善間隙填充,層2601的沉積可以包括:高密度電漿(HDP,High Density Plasma)氧化物的沉積。在一實施例,層2601可以由矽烷基的HDP氧化物形成。矽烷基的HDP氧化物形成的層2601可以使用矽烷基的高密度電漿化學氣相沉積(HDPCVD,High Density Plasma Chemical Vapor Deposition)而沉積。本發明的實施例認知到,矽烷基HDP氧化物可減低在介電堆疊206的空隙及其他缺陷的事件,該介電堆疊206圍繞調變器230及在層201圖案化的其他光學元件,該層201包括:構成光感測器240的波導210、波導210、光柵220、及第二調變器230(圖2A)。In one embodiment, in order to improve the gap filling, the deposition of the layer 2601 may include the deposition of a high density plasma (HDP, High Density Plasma) oxide. In one embodiment, the layer 2601 may be formed of HDP oxide of a silyl group. The layer 2601 formed by the HDP oxide of the silyl group can be deposited using the high density plasma chemical vapor deposition (HDPCVD, High Density Plasma Chemical Vapor Deposition) of the silyl group. The embodiments of the present invention recognize that the silane-based HDP oxide can reduce the occurrence of voids and other defects in the dielectric stack 206 that surrounds the modulator 230 and other optical elements patterned on the layer 201. The layer 201 includes a waveguide 210 constituting a light sensor 240, a waveguide 210, a grating 220, and a second modulator 230 (FIG. 2A).

圖3B示出在由披覆介電材料(例如:氧化物,如SiO2 )形成而構成披覆層的層260 1的進一步處理之後的如圖3A所示的光學結構200。參照圖3B,可以對層2601的頂部表面進行CMP平坦化以減低層2601的高度並提供處理,而使層2601的頂部表面是平坦的並且在水平平面延伸以為後續層的處理提供平坦度。CMP平坦化可以伴隨CMP研磨,而使層2601的頂部表面是原子光滑。FIG. 3B shows the optical structure 200 as shown in FIG. 3A after further processing of the layer 2601 formed of a coating dielectric material (for example, oxide, such as SiO 2) to form a coating layer. 3B, the top surface of the layer 2601 may be planarized by CMP to reduce the height of the layer 2601 and provide processing, while the top surface of the layer 2601 is flat and extends in a horizontal plane to provide flatness for subsequent layer processing. The CMP planarization can be accompanied by CMP polishing, and the top surface of the layer 2601 is atomically smooth.

圖3B進一步示出在沉積層2602之後的製造的中間階段的光學結構200。層2602可以通過覆蓋介電材料(例如:氧化物,如SiO2 ,或四乙氧基矽烷(TEOS))而提供。層2602的沉積可以包括:在降低的熱預算下(例如:在約300°C至約500°C之間的溫度下)使用矽烷基的PECVD。層2633可以被認為是覆蓋層。FIG. 3B further shows the optical structure 200 at an intermediate stage of manufacturing after the layer 2602 is deposited. The layer 2602 may be provided by covering a dielectric material (for example: oxide, such as SiO 2 , or tetraethoxysilane (TEOS)). The deposition of layer 2602 may include PECVD using silane groups under a reduced thermal budget (for example, at a temperature between about 300°C and about 500°C). The layer 2633 can be considered a cover layer.

圖3B示出在層2602的進一步處理之後的製造的中間階段的如圖4M所示的光學結構200。在圖3B所示的層2602的進一步處理可以包括:使層2602受到CMP平坦化而減低層2602的高度且提供層2602的頂部表面,而使層2602的頂部表面是平坦的,並且在水平平面延伸。層2602的CMP平坦化可以伴隨CMP研磨,而使層2602的頂部表面是原子光滑。FIG. 3B shows the optical structure 200 as shown in FIG. 4M in an intermediate stage of manufacturing after further processing of the layer 2602. The further processing of the layer 2602 shown in FIG. 3B may include: subjecting the layer 2602 to CMP planarization to reduce the height of the layer 2602 and provide the top surface of the layer 2602, so that the top surface of the layer 2602 is flat and in a horizontal plane extend. The CMP planarization of the layer 2602 can be accompanied by CMP polishing, so that the top surface of the layer 2602 is atomically smooth.

層2601的沉積平坦化及研磨以及層2602的沉積平坦化及研磨可以提供高度控制。高度控制可以提供在層201圖案化的光學元件(如調變器230、構成光感測器240的波導210、波導210、光柵220或第二調變器230(圖2A))以及在高於該層201之高度處的光學元件之間的光耦合,此處以光耦合為目標。高度控制可以提供在層201圖案化的光學元件(如調變器230、構成光感測器240的波導210、波導210、光柵220或第二調變器230(圖2A))以及在高於該層201之高度處的光學元件之間的光隔離,此處以光隔離為目標。The deposition planarization and polishing of layer 2601 and the deposition planarization and polishing of layer 2602 can provide a high degree of control. Height control can be provided on optical elements patterned in layer 201 (such as modulator 230, waveguide 210, waveguide 210, grating 220 or second modulator 230 (Figure 2A) that constitute the optical sensor 240) and at higher than The optical coupling between the optical elements at the height of the layer 201 is targeted here. Height control can be provided on optical elements patterned in layer 201 (such as modulator 230, waveguide 210, waveguide 210, grating 220 or second modulator 230 (Figure 2A) that constitute the optical sensor 240) and at higher than The optical isolation between the optical elements at the height of the layer 201 is aimed at optical isolation here.

圖3D示出在進一步的步驟以構成如圖所示被傳導材料2812佔據的溝槽接著將傳導材料2812沉積到所構成的溝槽之後的製造的中間階段的如圖3C所示的光學結構200。該所構成的溝槽向下延伸進入介電堆疊206到達高度1602,該高度1602是調變器230的頂部表面的高度。FIG. 3D shows the optical structure 200 shown in FIG. 3C in an intermediate stage of manufacturing after a further step to form a trench occupied by the conductive material 2812 as shown, and then the conductive material 2812 is deposited into the formed trench. . The formed trench extends downward into the dielectric stack 206 to reach a height 1602, which is the height of the top surface of the modulator 230.

傳導材料2812的沉積可以包括:物理氣相沉積(PVD)的使用。使用PVD,用以沉積的材料從冷凝相轉變為氣相,然後又回到薄膜冷凝相。PVD處理可以包括:濺射及蒸發。傳導材料2812的沉積可以被執行,而使傳導材料2812覆蓋在其上製造了光學結構200的晶圓的整個頂部表面。光學結構200可以使用絕緣層上矽(SOI)晶圓製造,該絕緣層上矽具有基板100、由絕緣層提供的層202以及由如本發明進一步參考圖2A所述之矽層提供的層201。The deposition of the conductive material 2812 may include the use of physical vapor deposition (PVD). With PVD, the material used for deposition changes from the condensed phase to the gas phase, and then back to the thin-film condensed phase. PVD processing can include: sputtering and evaporation. The deposition of the conductive material 2812 may be performed so that the conductive material 2812 covers the entire top surface of the wafer on which the optical structure 200 is manufactured. The optical structure 200 can be manufactured using a silicon-on-insulation (SOI) wafer, which has a substrate 100, a layer 202 provided by an insulating layer, and a layer 201 provided by the silicon layer as described in the present invention with further reference to FIG. 2A. .

圖3D示出在光學結構200的平坦化之後的光學結構200。在圖3D的中間製造階段圖所示的平坦化可以包括:CMP平坦化而將光學結構200的高度降低到頂部高度,如圖3D的階段圖所示的。如圖3D所示的平坦化可以被執行,而使如圖3D的中間階段圖所示的光學結構200的頂部表面由傳導材料2812部分地構成以及由層2603部分地構成,當經平坦化且在平行於所示參考座標系統的XY平面的水平表面延伸。CMP平坦化可以伴隨有CMP研磨,而使在圖3D所示的中間階段圖中由傳導材料2812部分地構成以及由層2603部分地構成的光學結構200的頂部表面為原子光滑。FIG. 3D shows the optical structure 200 after the planarization of the optical structure 200. The planarization shown in the intermediate manufacturing stage diagram of FIG. 3D may include CMP planarization to reduce the height of the optical structure 200 to the top height, as shown in the stage diagram of FIG. 3D. The planarization as shown in FIG. 3D can be performed, and the top surface of the optical structure 200 as shown in the intermediate stage diagram of FIG. 3D is partially composed of the conductive material 2812 and partly composed of the layer 2603. Extend on a horizontal surface parallel to the XY plane of the reference coordinate system shown. The CMP planarization may be accompanied by CMP polishing to make the top surface of the optical structure 200 partially composed of the conductive material 2812 and partially composed of the layer 2603 in the intermediate stage diagram shown in FIG. 3D atomically smooth.

再次參考表A,示出如銅(Cu)、鋁(Al)及鎢(W)的各種傳導材料的各種特性。用於接點傳導材料構造C3及接點傳導材料構造C4的材料選擇可以包括:各種可選的實施例,其中的每一個取決於光學結構200的處理及設計參數可以改進調變器230及光學結構200的運作。Referring again to Table A, various characteristics of various conductive materials such as copper (Cu), aluminum (Al), and tungsten (W) are shown. The choice of materials for the contact conductive material structure C3 and the contact conductive material structure C4 can include: various optional embodiments, each of which depends on the processing and design parameters of the optical structure 200 to improve the modulator 230 and optics Operation of structure 200.

舉例而言,在一實施例,接點C3及接點C4皆可以選擇由銅提供。在這樣的實施例,可以基於銅的低阻抗提高電氣訊號的傳播速度。在如圖3D所示的調變器230的實施例,通過調變器230的光訊號傳播可以通過調變器230的脊231。本發明的實施例認知到,在脊231相對近地與接收電氣領域的離子植入區域1950保持間隔的情況下,電氣訊號分別通過接點C3及C4,接點C3及C4也許會不合需要地吸收調變器傳輸的訊號光,從而有害地影響調變器230的性能。為了提高脊231與離子植入區域1950相近間隔的性能,接點傳導材料構造C3及C4可以選擇由鋁提供。如本發明所述,基於鋁的反射率特性,鋁可以反射而不是吸收被調變的訊號光,因此,為用於接點C3及C4而選擇鋁可以改善調變器230的性能。For example, in one embodiment, both the contact C3 and the contact C4 can be provided by copper. In such an embodiment, the propagation speed of electrical signals can be improved based on the low impedance of copper. In the embodiment of the modulator 230 as shown in FIG. 3D, the optical signal propagating through the modulator 230 can pass through the ridge 231 of the modulator 230. The embodiment of the present invention recognizes that when the ridge 231 is relatively close to the ion implantation area 1950 in the receiving electrical field, the electrical signal passes through the contacts C3 and C4, and the contacts C3 and C4 may be undesirable. The signal light transmitted by the modulator is absorbed, thereby adversely affecting the performance of the modulator 230. In order to improve the performance of the close spacing between the ridge 231 and the ion implantation region 1950, the contact conductive material structures C3 and C4 can be optionally provided by aluminum. As described in the present invention, based on the reflectivity characteristics of aluminum, aluminum can reflect rather than absorb the modulated signal light. Therefore, selecting aluminum for the contacts C3 and C4 can improve the performance of the modulator 230.

根據一些設計,本發明中的實施例認知到,調變器230可能易於受到離子植入區域1950與它們各自的接點傳導材料構造C3及C3之間的高接點阻抗的影響。舉例而言,尺寸設計約束及/或材料設計約束可以增加接點阻抗會負面影響調變器230的性能的風險。在這樣的實施例,接點傳導材料構造C3及C4可以由鎢提供。如本發明所述,鎢可具有優異的抗遷移性,因此具有降低的接點阻抗。According to some designs, the embodiments of the present invention recognize that the modulator 230 may be susceptible to the high contact impedance between the ion implantation region 1950 and their respective contact conductive material structures C3 and C3. For example, size design constraints and/or material design constraints can increase the risk that the contact impedance will negatively affect the performance of the modulator 230. In such an embodiment, the contact conductive material structures C3 and C4 may be provided by tungsten. As described in the present invention, tungsten can have excellent anti-migration properties and therefore has a reduced contact resistance.

參照圖2A的區域CC以及圖4A至4Z為(C)製造金屬化層的方法的方面,該方法在一個實施例可以包括:本發明所述的接點金屬化層。Referring to the area CC of FIG. 2A and FIGS. 4A to 4Z are aspects of (C) the method of manufacturing a metallization layer. In one embodiment, the method may include: the contact metallization layer of the present invention.

參照圖4A至圖4Z,一系列製造階段圖示出圖1所示的光學結構200的區域CC的製造。圖4A示出在由提供阻擋層的SiCN所形成的層502沉積之後的製造的中間階段的光學結構200。如在圖4A所示的階段圖, SiCN的沉積可以包括:沉積層502的一部分在介電堆疊206的頂部表面之上以及沉積層502的一部分在一個以上的層422的部分之上,該層422可以由銅(Cu)製成。沉積在介電堆疊206之上的層502的部分可以穿過在垂直延伸的平面1511及垂直延伸的平面1512之間構成的光訊號傳輸區域而延伸。4A to 4Z, a series of manufacturing stage diagrams illustrate the manufacturing of the region CC of the optical structure 200 shown in FIG. 1. 4A shows the optical structure 200 in an intermediate stage of manufacturing after the deposition of a layer 502 formed of SiCN providing a barrier layer. As shown in the stage diagram shown in FIG. 4A, the deposition of SiCN may include: a part of the deposition layer 502 is on the top surface of the dielectric stack 206 and a part of the deposition layer 502 is on the part of more than one layer 422. 422 can be made of copper (Cu). The portion of the layer 502 deposited on the dielectric stack 206 may extend through the optical signal transmission area formed between the vertically extending plane 1511 and the vertically extending plane 1512.

在圖4A至4W所示的階段圖,層502一般表示圖2A所示的層502A至502C中的任何一個,層422一般表示圖2A的層422A至422B或422D(接點金屬化層)中的任何一個,垂直延伸的平面對1511及1512一般表示圖2A的成對的垂直延伸的平面1511A及1512A或垂直延伸的平面1511B及1512B中的任何一個,金屬化層構造M一般表示任何金屬化層構造M1、M2或M4(接點金屬化層構造)圖2A所示的光訊號傳輸區域L1及L2一般表示圖2A所示的光訊號傳輸區域L1或L2中的任何一個。In the stage diagrams shown in FIGS. 4A to 4W, the layer 502 generally represents any one of the layers 502A to 502C shown in FIG. 2A, and the layer 422 generally represents the layers 422A to 422B or 422D (contact metallization layer) of FIG. 2A. A pair of vertically extending planes 1511 and 1512 generally represents any one of the pair of vertically extending planes 1511A and 1512A or the vertically extending planes 1511B and 1512B of FIG. 2A. The metallization layer structure M generally represents any metallization Layer structure M1, M2, or M4 (contact metallization layer structure) The optical signal transmission areas L1 and L2 shown in FIG. 2A generally represent any one of the optical signal transmission areas L1 or L2 shown in FIG. 2A.

在由SiCN形成的層502的沉積之前,如圖4A的階段圖所示的光學結構200可以進行CMP平坦化處理而將光學結構200的高度降低到高度1632,該高度1632一般代表圖2A示出高度1632A至1632C的任何一個。將光學結構200的高度減低到高度1632的CMP平坦化的執行可以伴隨CMP研磨而在高度1632處研磨光學結構200。在由矽碳氮(SiCN)形成的層502的沉積之前,CMP平坦化可以致使在高度1632處構成平坦的水平表面的光學結構200,而使層502的沉積可以包括:在平坦表面之上的層502的沉積。Before the deposition of the layer 502 formed by SiCN, the optical structure 200 as shown in the stage diagram of FIG. 4A may be subjected to a CMP planarization process to reduce the height of the optical structure 200 to a height of 1632, which generally represents the height shown in FIG. 2A. Any one of height 1632A to 1632C. The execution of the CMP planarization that reduces the height of the optical structure 200 to the height 1632 may accompany the CMP polishing to polish the optical structure 200 at the height 1632. Prior to the deposition of the layer 502 formed of silicon carbon nitride (SiCN), the CMP planarization can result in the formation of a flat horizontal surface of the optical structure 200 at a height of 1632, and the deposition of the layer 502 can include: Deposition of layer 502.

在層502的沉積之前,CMP研磨可以致使光學結構200在高度1632處具有原子光滑表面。在高度1632處使光學結構200的表面原子光滑可以促進光訊號傳輸區域L的性能,例如:通過減低不需要的光散射。Before the deposition of the layer 502, CMP polishing can cause the optical structure 200 to have an atomically smooth surface at a height of 1632. Smoothing the surface atoms of the optical structure 200 at the height 1632 can promote the performance of the optical signal transmission area L, for example, by reducing unwanted light scattering.

為了由SiCN形成的層502部分地沉積在金屬化層構造M之上,可以採用電漿輔助化學氣相沉積(PECVD,Plasma Enhanced Chemical Vapor Deposition)。PECVD可以由減低的熱預算(例如:在約300°C至約500°C的溫度範圍內)的使用而執行。In order to partially deposit the layer 502 formed of SiCN on the metallization layer structure M, plasma-assisted chemical vapor deposition (PECVD, Plasma Enhanced Chemical Vapor Deposition) may be used. PECVD can be performed by the use of a reduced thermal budget (for example: in the temperature range of about 300°C to about 500°C).

仍然參考圖4A的階段圖,在層502的沉積完成時,層502可以呈現出如圖4A的階段圖所示粗糙的頂部表面。Still referring to the stage diagram of FIG. 4A, when the deposition of the layer 502 is completed, the layer 502 may exhibit a rough top surface as shown in the stage diagram of FIG. 4A.

圖4B示出在對層502的頂部表面進行用於使層502的頂部表面光滑的處理之後如圖4A的階段圖所示的光學結構200。如在圖4B的中間階段圖所示的光學結構200可經CMP平坦化處理而平坦化層502的頂部表面而減低層502的高度,而使層502的頂部表面是平坦的且在一個水平平面延伸。CMP平坦化可以伴隨CMP研磨,而使在圖4B的中間階段圖所示的層502的頂部表面是原子光滑的表面。4B shows the optical structure 200 as shown in the stage diagram of FIG. 4A after the top surface of the layer 502 is processed for smoothing the top surface of the layer 502. The optical structure 200 shown in the middle stage diagram of FIG. 4B can be planarized by CMP to planarize the top surface of the layer 502 to reduce the height of the layer 502, so that the top surface of the layer 502 is flat and in a horizontal plane. extend. The CMP planarization may be accompanied by CMP polishing, so that the top surface of the layer 502 shown in the middle stage diagram of FIG. 4B is an atomically smooth surface.

圖4C是在光刻堆疊的沉積之後如圖4B的階段圖所示的光學結構200的中間製造階段圖,該光刻堆疊用於在光訊號傳輸區域L之層502的蝕刻,該光訊號傳輸區域L在垂直延伸的平面1511及垂直延伸的平面1512之間。4C is an intermediate manufacturing stage diagram of the optical structure 200 as shown in the stage diagram of FIG. 4B after the deposition of the photolithography stack, which is used for etching the layer 502 in the optical signal transmission area L, the optical signal transmission The area L is between a plane 1511 extending vertically and a plane 1512 extending vertically.

在圖4C的中間製造階段圖所示的光刻堆疊可以是有機光刻堆疊。在圖4C的中間製造階段圖所示的光刻堆疊可以是多層有機光刻堆疊,並且可以包括:層731、732、及733。層731可以是有機平坦化層(OPL),層732可以是含矽抗反射塗層(SiARC)層,並且層733可以是光阻層。參照圖4C的中間製造階段圖,圖4C的中間製造階段圖示出在層733的圖案化之後的光學結構200而構成用於在光訊號傳輸區域L內蝕刻層502的一部分的圖案化。The photolithography stack shown in the intermediate manufacturing stage diagram of FIG. 4C may be an organic photolithography stack. The photolithography stack shown in the intermediate manufacturing stage diagram of FIG. 4C may be a multilayer organic photolithography stack, and may include: layers 731, 732, and 733. The layer 731 may be an organic planarization layer (OPL), the layer 732 may be a silicon-containing anti-reflective coating (SiARC) layer, and the layer 733 may be a photoresist layer. Referring to the intermediate manufacturing stage diagram of FIG. 4C, the intermediate manufacturing stage diagram of FIG. 4C illustrates the patterning of the optical structure 200 after the patterning of the layer 733 to form a part of the etching layer 502 in the optical signal transmission region L.

層733的圖案化可以使用沉積在光刻工具(未示出)中的光刻光罩而執行,該光刻光罩被刺激以曝露在光刻工具內不受光刻光罩保護的層733的區域。The patterning of the layer 733 can be performed using a photolithography photomask deposited in a photolithography tool (not shown), which is stimulated to expose the layer 733 that is not protected by the photolithography tool within the photolithography tool. Area.

在使用層733的圖案化以移除在垂直延伸的平面1511以及垂直延伸的平面1512之間的光訊號傳輸區域L中層502的材料之蝕刻的執行之後,圖4D示出在製造的中間階段的如圖4C所示的光學結構200。After the patterning of the layer 733 is used to remove the material of the layer 502 in the optical signal transmission region L between the vertically extending plane 1511 and the vertically extending plane 1512, FIG. 4D shows an intermediate stage of manufacturing. The optical structure 200 is shown in FIG. 4C.

為了圖4C的中間製造階段圖所示的蝕刻的執行,可以使用反應離子蝕刻(RIE,Reactive Ion Etching)。在圖4D的中間階段圖所示的RIE可以包括:對氧化物具有選擇性的蝕刻處理的使用,而使可以移除由SiCN提供的層502的材料而不移除介電堆疊206的材料。在如圖4D的中間製造階段圖所示的RIE完成之後,蝕刻產物3102可以保留在光學結構200之上。蝕刻產物3102可以包括:例如:光刻堆疊的殘餘量,包括:層731、732、733及殘餘量的SiCN,其可以位在如圖4C的中間製造階段圖所示的光訊號傳輸區域L的介電堆疊206之上。In order to perform the etching shown in the intermediate manufacturing stage diagram of FIG. 4C, reactive ion etching (RIE, Reactive Ion Etching) may be used. The RIE shown in the intermediate stage diagram of FIG. 4D may include the use of an etching process selective to oxide, so that the material of the layer 502 provided by SiCN can be removed without removing the material of the dielectric stack 206. After the RIE shown in the intermediate manufacturing stage diagram of FIG. 4D is completed, the etching product 3102 may remain on the optical structure 200. The etching product 3102 may include: for example: the residual amount of the photolithography stack, including: layers 731, 732, 733 and the residual amount of SiCN, which may be located in the optical signal transmission area L as shown in the intermediate manufacturing stage diagram of FIG. 4C Above the dielectric stack 206.

圖4E示出如圖4D所示在清潔以移除蝕刻產物3102之後的製造中間階段中的圖4D所示的光學結構200。如圖4E所示的清潔可以包括:溫度控制的清潔以避免損壞光學結構200的表面,例如:介電堆疊206的頂部表面。為了清潔RIE產物3102,可以使用包括:氫氧化氨(NH4 OH)及過氧化物(H2 O2 )的混合物。溫度控制的清潔可以包括:在約25°C或更低的溫度下進行清潔。4E shows the optical structure 200 shown in FIG. 4D in an intermediate stage of manufacturing after cleaning to remove the etching product 3102 as shown in FIG. 4D. The cleaning as shown in FIG. 4E may include temperature-controlled cleaning to avoid damaging the surface of the optical structure 200, such as the top surface of the dielectric stack 206. In order to clean the RIE product 3102, a mixture including ammonium hydroxide (NH 4 OH) and peroxide (H 2 O 2 ) can be used. Temperature-controlled cleaning may include cleaning at a temperature of about 25°C or lower.

圖4F示出在可以由披覆介電材料(例如:氧化物,如二氧化矽(SiO2 ))形成的層2602的沉積之後在製造的中間階段圖的圖4E所示的光學結構200。如在圖4F所示的階段圖所見,層2602可以具有複數個高度,例如:在垂直延伸的平面1511及垂直延伸的平面1512之間的光訊號傳輸區域L內的較低的高度,以及以垂直延伸的平面1511為左側且以垂直延伸的平面1512的為右側的較高的高度。在圖4C所示的階段中,由於層502的一部分被移除而致使不同的高度。FIG. 4F shows the optical structure 200 shown in FIG. 4E in an intermediate stage of manufacturing after the deposition of a layer 2602 that may be formed of a capped dielectric material (for example: oxide, such as silicon dioxide (SiO 2 )). As seen in the stage diagram shown in FIG. 4F, the layer 2602 may have a plurality of heights, such as a lower height in the optical signal transmission area L between the vertically extending plane 1511 and the vertically extending plane 1512, and The vertically extending plane 1511 is the left side and the vertically extending plane 1512 is the higher height on the right side. In the stage shown in FIG. 4C, a different height is caused due to a part of the layer 502 is removed.

圖4G示出在進一步加工以平坦化及研磨層2602之後的製造的中間階段的如圖4F所示的光學結構200。在圖4G的中間製造階段圖中示出,由披覆介電材料(例如:氧化物,如SiO2 )形成的層2602可以進行CMP平坦化而減低層2602的高度以及使層2602平坦化,而使層2602的頂部表面是平坦的且在水平平面延伸。用以平坦化層2602的CMP平坦化可以伴隨CMP研磨而研磨層2602的頂部表面,從而使的層2602的頂部表面為原子光滑。4G shows the optical structure 200 shown in FIG. 4F in an intermediate stage of manufacturing after further processing to planarize and polish the layer 2602. As shown in the intermediate manufacturing stage diagram of FIG. 4G, a layer 2602 formed by covering a dielectric material (for example: oxide, such as SiO 2 ) can be planarized by CMP to reduce the height of the layer 2602 and planarize the layer 2602. The top surface of the layer 2602 is flat and extends in a horizontal plane. The CMP planarization used to planarize the layer 2602 can be accompanied by CMP polishing to polish the top surface of the layer 2602, so that the top surface of the layer 2602 is atomically smooth.

根據一個實施例之結合圖4A至4G所示的處理(C)的範例條件列出於表B。 〔表B〕 層502、2631的層厚度範圍 矽碳氮厚度範圍從約20nm至200nm,pteos(SiO2 )厚度氧化物範圍從約50nm至2000nm。 層502的沉積 電漿輔助化學氣相沉積(PECVD)(溫度控制,例如:使用在約300°C及500°C之間的溫度)。 層502的圖案化 光阻在含矽抗反射塗層SIARC(43%)上,含矽抗反射塗層在有機平坦化層OPL上 層502的蝕刻 在光學元件上,對於氧化物有選擇性之關鍵移除的矽碳氮蝕刻 層502的清潔 在(溫度小於25°C)的條件下,以為了清潔效率調整比例的氨(NH4 OH)及過氧化氫(H2 O2 )清潔, 清潔殘留矽碳氮及氧化物表面,因此氧化物表面保持光滑無缺陷以用於進一步的氧化物處理 層2631的沉積 為了Z高度控制,氧化物提供介電覆蓋以調整任何氧物至遠離氮化矽(SiN)波導之氧化物界面的位置。(溫度控制,例如:使用在約300°C及500°C之間的溫度) 層2631的平坦化及研磨 原子層級的光滑(小於2A均方根(RMS)粗糙度)以改善附加光學元件,例如:氮化矽(SiN)的形成,或氧化物覆蓋層的製造。 Example conditions according to one embodiment combined with the processing (C) shown in FIGS. 4A to 4G are listed in Table B. [Table B] Layer thickness range of layers 502, 2631 The thickness of silicon carbon nitride ranges from about 20 nm to 200 nm, and the thickness of pteos (SiO 2 ) oxide ranges from about 50 nm to 2000 nm. Deposition of layer 502 Plasma-assisted chemical vapor deposition (PECVD) (temperature control, for example: use a temperature between about 300°C and 500°C). Patterning of layer 502 The photoresist is on the silicon-containing anti-reflective coating SIARC (43%), and the silicon-containing anti-reflective coating is on the organic planarization layer OPL Etching of layer 502 On optical components, silicon carbon nitride etching that is the key to selective removal of oxides Cleaning of layer 502 Under conditions (temperature less than 25°C), the ratio of ammonia (NH 4 OH) and hydrogen peroxide (H 2 O 2 ) is adjusted for cleaning efficiency to clean the surface of residual silicon carbon nitrogen and oxides, so the oxides The surface remains smooth and defect-free for further oxide treatment Deposition of layer 2631 For Z height control, the oxide provides dielectric coverage to adjust any oxides away from the oxide interface of the silicon nitride (SiN) waveguide. (Temperature control, for example: use a temperature between about 300°C and 500°C) Planarization and polishing of layer 2631 Atomic level smoothness (less than 2A root mean square (RMS) roughness) to improve additional optical components, such as the formation of silicon nitride (SiN), or the manufacture of oxide coatings.

所提供的層2631是原子光滑,可以促進光訊號通過層2631的傳輸。所提供層2631的處理,而使層2631的頂部表面進行平坦化及原子光滑可以為後續的製造(包括:光學元件的製造)提供處理平坦度。在一實施例,層2631可支持在層2631上方形成的光學元件的製造。The provided layer 2631 is atomically smooth, which can promote the transmission of light signals through the layer 2631. The processing of the layer 2631 is provided, and the top surface of the layer 2631 is flattened and atomically smoothed to provide processing flatness for subsequent manufacturing (including the manufacturing of optical elements). In one embodiment, the layer 2631 can support the manufacture of optical elements formed over the layer 2631.

圖4H至4Q是示出由層2602上方的波導218提供的光學元件的製造的製造階段圖。再次參考圖2A,示出虛線形式的波導218,該波導218形成在形成在SiCN的層502C上的介電層上,該層502可以形成在金屬化層422上。然而,應理解,圖2A中以虛線形式示出的波導218可以附加地或替代地形成在形成在層502A及/或層502B上的各個介電層上。4H to 4Q are manufacturing stage diagrams showing the manufacture of the optical element provided by the waveguide 218 above the layer 2602. Referring again to FIG. 2A, a waveguide 218 in the form of a dashed line is shown, and the waveguide 218 is formed on a dielectric layer formed on a layer 502C of SiCN, which may be formed on a metallization layer 422. However, it should be understood that the waveguide 218 shown in dashed form in FIG. 2A may be additionally or alternatively formed on the respective dielectric layers formed on the layer 502A and/or the layer 502B.

在由波導材料形成的層4002的沉積之後,圖4H示出在製造的中間階段的如圖4G所示的光學結構200。波導材料構成的層4002可以由例如:單晶矽、多晶矽、非晶矽、氮化矽或氧氮化矽所提供。由波導材料形成的層4002的沉積可以包括:在降低的熱預算(例如:在約300°C至約500°C的處理溫度下)下使用PECVD。如圖4H的中間製造階段圖所示,層4002的處理可以包括:在層2631之上沉積層4002,然後在層4002的沉積之後使層4002進行附加的處理。該附加處理可以包括:使層4002進行CMP平坦以平坦化層4002而減低的層4002的高度,而使層4002的頂部表面是平坦的,並且在水平平面延伸。使層4002進行CMP平坦化可以包括:使層4002經CMP研磨,而使層4002的頂部表面是原子光滑。After the deposition of the layer 4002 formed of the waveguide material, FIG. 4H shows the optical structure 200 as shown in FIG. 4G in an intermediate stage of manufacturing. The layer 4002 made of the waveguide material can be provided by, for example, monocrystalline silicon, polycrystalline silicon, amorphous silicon, silicon nitride, or silicon oxynitride. The deposition of the layer 4002 formed of the waveguide material may include the use of PECVD at a reduced thermal budget (eg, at a processing temperature of about 300°C to about 500°C). As shown in the intermediate manufacturing stage diagram of FIG. 4H, the processing of the layer 4002 may include depositing a layer 4002 on the layer 2631, and then subjecting the layer 4002 to additional processing after the deposition of the layer 4002. The additional processing may include: CMP flattening the layer 4002 to flatten the height of the layer 4002 reduced by the layer 4002, and making the top surface of the layer 4002 flat and extending in a horizontal plane. The CMP planarization of the layer 4002 may include: subjecting the layer 4002 to CMP polishing, and making the top surface of the layer 4002 atomically smooth.

圖4I示出在由波導材料形成的層4002上形成光刻堆疊之後的製造的中間階段的如圖4H所示的光學結構200。圖4I所示的光刻堆疊可以包括:由OPL形成的層741,由SIARC形成的層742及由光阻形成的層743。FIG. 4I shows the optical structure 200 shown in FIG. 4H in an intermediate stage of manufacturing after forming a photolithographic stack on a layer 4002 formed of a waveguide material. The photolithography stack shown in FIG. 4I may include a layer 741 formed of OPL, a layer 742 formed of SIARC, and a layer 743 formed of photoresist.

圖4J示出在製造的中間階段如圖4I所示的光學結構200,該階段是使用圖4I所示的光刻堆疊圖案化蝕刻掉由波導材料形成的層4002的材料以構成波導218的。層4002以及相應的波導218可以由任何合適的波導材料形成,例如:單晶矽、單晶矽、多晶矽、非晶矽、氮化矽或氧氮化矽。圖4I的光刻堆疊的圖案化可以包括:波導218的圖案化以及構成具有波導218的高度的假形式外形3218的圖案化形式。假形式外形3218可以促進對圖4K所示的介電沉積的高度控制。改進的仰角控制可以改善波導218及光學設備之間的光耦合,其高度要比對準光耦合的波導218高。改進的高度控制可以改善波導218與光學元件之間的光隔離,在高度高於波導218的高度的情形下,此處光隔離是目標。FIG. 4J shows the optical structure 200 as shown in FIG. 4I in an intermediate stage of manufacturing. In this stage, the material of the layer 4002 formed of the waveguide material is patterned and etched away using the photolithography stack shown in FIG. 4I to form the waveguide 218. The layer 4002 and the corresponding waveguide 218 can be formed of any suitable waveguide material, such as single crystal silicon, single crystal silicon, polycrystalline silicon, amorphous silicon, silicon nitride, or silicon oxynitride. The patterning of the lithography stack of FIG. 4I may include: patterning of the waveguide 218 and a patterned form forming a pseudo-form shape 3218 having the height of the waveguide 218. The pseudo-form profile 3218 can facilitate a high degree of control over the dielectric deposition shown in Figure 4K. The improved elevation angle control can improve the optical coupling between the waveguide 218 and the optical device, and the height of the waveguide 218 is higher than that of the waveguide 218 aligned with the optical coupling. Improved height control can improve the optical isolation between the waveguide 218 and the optical element. In the case where the height is higher than the height of the waveguide 218, optical isolation is the target here.

根據一個實施例,相鄰於波導218的圖4J所示的相應的假形式外形3218可以在間隔距離的範圍內取出一間隔距離,例如:根據一個實施例距離波導218約2nm至約2000nm,以及根據一個實施例距離波導218約50nm至約2000nm。相鄰於假形式外形3218的圖4J所示的假形式外形3218可以在間隔距離的範圍內取出一間隔距離,例如:根據一個實施例距離相鄰的假形式外形3218約2nm至約2000nm,以及根據一個實施例距離波導218約50nm至約2000nm。假形式外形3218可以被圖案化而具有從約2nm到約2000nm的Y尺寸寬度。假形式外形3218可以被圖案化為位於介電堆疊206內的位置,其中假形式外形3218與波導218為光隔離。所示為在層4002中被圖案化的假形式外形3218可以替代地或另外地在另一層被圖案化,光學元件於該層被圖案化(例如:層201)及/或波導214及/或218中的任何一個圖案化的層(圖1)。假形式外形3218可以經配置為具有與從波導材料層圖案化的一個以上的波導相同的高度及材料的特徵,假形式外形3218在該波導材料層圖案化,然而假形式外形3218可以經配置為不具有光訊號傳播功能。According to one embodiment, the corresponding pseudo-form shape 3218 shown in FIG. 4J adjacent to the waveguide 218 can be taken out a separation distance within the range of the separation distance, for example: according to one embodiment, the waveguide 218 is about 2 nm to about 2000 nm, and According to one embodiment, the distance from the waveguide 218 is about 50 nm to about 2000 nm. The pseudo-form 3218 shown in FIG. 4J adjacent to the pseudo-form 3218 can be separated by a distance within the range of the separation distance, for example: according to one embodiment, the distance from the adjacent pseudo-form 3218 is about 2 nm to about 2000 nm, and According to one embodiment, the distance from the waveguide 218 is about 50 nm to about 2000 nm. The pseudo-form shape 3218 may be patterned to have a Y-dimension width from about 2 nm to about 2000 nm. The pseudo-form 3218 can be patterned to a location within the dielectric stack 206, where the pseudo-form 3218 is optically isolated from the waveguide 218. The pseudo-form shape 3218 shown as being patterned in layer 4002 may alternatively or additionally be patterned in another layer where the optical element is patterned (for example: layer 201) and/or waveguide 214 and/or Any patterned layer in 218 (Figure 1). The pseudo-form 3218 may be configured to have the same height and material characteristics as more than one waveguide patterned from the waveguide material layer. The pseudo-form 3218 is patterned on the waveguide material layer, but the pseudo-form 3218 may be configured as It does not have the function of optical signal propagation.

關於在圖4J製造的中間階段示出的波導218,波導管218可以包括:垂直延伸的側壁218W。非等向性蝕刻可用於形成垂直延伸的側壁218W。蝕刻以構成波導218,而使波導218具有垂直延伸的側壁218W的特徵而可以改善波導218以及波導218外部的光學元件之間的耦合。Regarding the waveguide 218 shown in the intermediate stage of manufacturing in FIG. 4J, the waveguide 218 may include vertically extending sidewalls 218W. Anisotropic etching can be used to form vertically extending sidewalls 218W. The waveguide 218 is etched to form the waveguide 218, and the waveguide 218 has the feature of vertically extending sidewalls 218W, which can improve the coupling between the waveguide 218 and the optical elements outside the waveguide 218.

在一實施例,可以使用反應離子蝕刻(RIE,Reactive Ion Etching)製造垂直延伸的側壁218W。RIE可以被執行或構成垂直延伸的側壁218W。RIE可以包括:一系列蝕刻及沉積步驟。用於蝕刻層4002以構成垂直延伸的側壁218W的RIE可以包括:使用博世(Bosch)型RIE,並且在一實施例,一定數量的層4002的材料可以根據疊代蝕刻步驟隨後疊代沉積步驟而移除。在每一個疊代沉積步驟中,可以將材料沉積在構成的側壁218W之上。沉積在側壁218W上的沉積材料可以包括:聚合物材料。在每一個疊代沉積步驟之後,可以執行進一步的蝕刻以蝕刻由波導材料形成的層4002的另一數量的材料。In one embodiment, Reactive Ion Etching (RIE) may be used to fabricate the vertically extending sidewalls 218W. RIE may be performed or constitute vertically extending sidewalls 218W. RIE can include a series of etching and deposition steps. The RIE for etching the layer 4002 to form the vertically extending sidewall 218W may include: using Bosch (Bosch) type RIE, and in one embodiment, the material of a certain number of layers 4002 may be changed according to the iterative etching step followed by the iterative deposition step. Remove. In each iterative deposition step, material may be deposited on the formed sidewall 218W. The deposition material deposited on the sidewall 218W may include a polymer material. After each iterative deposition step, a further etching may be performed to etch another amount of material of the layer 4002 formed of the waveguide material.

垂直延伸的側壁218W(其可以例如:使用博世(Bosch)處理形成)可以經線邊緣粗糙度處理。在使用氮化物形成波導218的情況下,邊緣粗糙度可以包括:在中溫至高溫下進行蒸汽或高壓氧化的應用,而將構成波導218的氮化矽(SiCN)的最外數奈米轉化為二氧化矽(SiO2 )。所形成的SiO2 然後可以通過浸入氫氟酸水溶液(Aqueous hydrofluoric solution)中以移除所形成的SiO2 ,以便改善所構成的波導218的線邊緣粗糙度。在波導218由矽形成的情況下,線邊緣粗糙度處理可以包括:使用減壓化學氣相沉積(RPCVD)或快速熱化學氣相沉積(RTCVD)處理或在表面上沉積磊晶矽的H2退火,而減低線邊緣粗糙度。The vertically extending sidewalls 218W (which may be formed by, for example, using Bosch processing) may be processed with edge roughness. In the case of using nitride to form the waveguide 218, the edge roughness may include the application of steam or high-pressure oxidation at medium to high temperature, while converting the outermost nanometers of silicon nitride (SiCN) constituting the waveguide 218 It is silicon dioxide (SiO 2 ). The formed SiO 2 can then be immersed in an aqueous hydrofluoric solution (Aqueous hydrofluoric solution) to remove the formed SiO 2 so as to improve the line edge roughness of the formed waveguide 218. In the case that the waveguide 218 is formed of silicon, the line edge roughness treatment may include: using reduced pressure chemical vapor deposition (RPCVD) or rapid thermal chemical vapor deposition (RTCVD) processing or H2 annealing for depositing epitaxial silicon on the surface , And reduce the line edge roughness.

圖4K示出在沉積由介電材料例如:SiO2 形成的層2632之後的製造的中間階段的如圖4J所示的光學結構200。PECVD處理可用於以降低的熱溫度預算,例如:使用約300°C至約500°C範圍內的溫度,而沉積層2632。在一實施例,層2632的沉積可包括:在如結合圖4J所示的圖案化的波導218之上及周圍沉積非保形材料。FIG. 4K shows the optical structure 200 shown in FIG. 4J in an intermediate stage of manufacturing after depositing a layer 2632 formed of a dielectric material such as SiO 2. The PECVD process can be used to reduce the thermal temperature budget, for example: using a temperature in the range of about 300°C to about 500°C while depositing the layer 2632. In one embodiment, the deposition of the layer 2632 may include depositing a non-conformal material on and around the patterned waveguide 218 as shown in conjunction with FIG. 4J.

層2632的沉積可以包括:將PECVD與高深寬比處理(HARP,High Aspect Ratio Processing)一起使用。在沉積相過程,也許使用電漿增強實現非保形,其條件被調整為增強水平表面上的沉積速率,同時抑制垂直表面上的沉積速率(例如:使用Bosch處理構成的台階邊緣)。因此,可以避免由於披覆層的夾止而致使的空隙及其他缺陷,並且可以將同樣在光訊號傳輸之上的有害影響最小化。在一實施例,層2632可由非共形氧化物材料,例如:非共形SiO2 形成。將非共形氧化物材料用於層2632可減低圍繞波導218的介電堆疊206中的空隙及其他缺陷的事件。The deposition of the layer 2632 may include: using PECVD and High Aspect Ratio Processing (HARP) together. In the depositional facies process, plasma enhancement may be used to achieve non-conformal, the conditions are adjusted to enhance the deposition rate on the horizontal surface, while suppressing the deposition rate on the vertical surface (for example: using Bosch processing to form the edge of the step). Therefore, voids and other defects caused by the clamping of the cladding layer can be avoided, and the harmful effects on optical signal transmission can also be minimized. In one embodiment, the layer 2632 may be formed of a non-conformal oxide material, such as non-conformal SiO 2 . The use of non-conformal oxide materials for the layer 2632 can reduce the occurrence of voids and other defects in the dielectric stack 206 surrounding the waveguide 218.

非保形氧化物材料可以是適於在水平表面上以較高的速率沉積的材料,同時表現出抑制側壁沉積的速率。在一實施例,在一種用於提供非保形氧化物材料的方法中,可以電漿增強氧化物材料的沉積。可以預見(但未示出),當使用層2632在高深寬比特徵上或附近沉積層時,使用保形材料用於層2632會發生夾止,並且因此會致使周圍帶有氧化物的空隙的引入。如波導218之類的波導。The non-conformal oxide material may be a material suitable for deposition at a higher rate on a horizontal surface while exhibiting a rate that inhibits sidewall deposition. In one embodiment, in a method for providing a non-conformal oxide material, the deposition of the oxide material may be enhanced by plasma. It is foreseeable (but not shown) that when the layer 2632 is used to deposit a layer on or near a high aspect ratio feature, the use of a conformal material for the layer 2632 will be pinched, and therefore will cause the surrounding oxide voids Introduce. A waveguide such as waveguide 218.

在另一個實施例,為了改善間隙填充,層2632的沉積可以包括:高密度電漿(HDP)氧化物的沉積。在一實施例,層2632可以由矽烷基的HDP氧化物形成。可以使用矽烷基的高密度電漿化學氣相沉積(HDPCVD)沉積由矽烷基的HDP氧化物形成的層2632。本發明的實施例認知到矽烷基的HDP氧化物可以減低圍繞波導218的介電堆疊206中的空隙及其他缺陷的事件。In another embodiment, in order to improve the gap filling, the deposition of the layer 2632 may include the deposition of high density plasma (HDP) oxide. In one embodiment, the layer 2632 may be formed of HDP oxide of a silyl group. High-density plasma chemical vapor deposition (HDPCVD) of the silyl group may be used to deposit the layer 2632 formed of the HDP oxide of the silyl group. The embodiments of the present invention recognize that the HDP oxide of the silane-based group can reduce the occurrence of voids and other defects in the dielectric stack 206 surrounding the waveguide 218.

圖4L示出在進一步處理由披覆介電材料(例如:氧化物,如SiO2 )形成的層2632而構成披覆層之後如圖4K所示的光學結構200。參照圖4L,層2632的頂部表面可以進行CMP平坦化而減低層2632的高度且提供處理,而使層2632的頂部表面是平坦的且在水平平面延伸以為後續的處理提供平坦度。CMP平坦化可以伴隨CMP研磨,而使層2632的頂部表面是原子光滑。FIG. 4L shows the optical structure 200 as shown in FIG. 4K after further processing a layer 2632 formed by covering a dielectric material (for example: oxide, such as SiO 2) to form a covering layer. 4L, the top surface of the layer 2632 can be planarized by CMP to reduce the height of the layer 2632 and provide processing, so that the top surface of the layer 2632 is flat and extends in a horizontal plane to provide flatness for subsequent processing. CMP planarization can be accompanied by CMP polishing, and the top surface of the layer 2632 is atomically smooth.

圖4M示出在層2633沉積在層2632上之後的製造的中間階段的如圖4L所示的光學結構200。可以通過覆蓋介電材料(例如:如SiO2 的氧化物或四乙氧基矽烷(TEOS))提供層2633。層2633的沉積可以包括:在降低的熱預算下,例如:在約300°C至約500°C之間的溫度下使用矽烷基的PECVD。層2633可以被認為是覆蓋層。FIG. 4M shows the optical structure 200 as shown in FIG. 4L in an intermediate stage of manufacturing after the layer 2633 is deposited on the layer 2632. The layer 2633 can be provided by covering a dielectric material (for example: oxide such as SiO 2 or tetraethoxysilane (TEOS)). The deposition of layer 2633 may include: under reduced thermal budget, for example: PECVD using silane groups at a temperature between about 300°C and about 500°C. The layer 2633 can be considered a cover layer.

圖4N示出在層2633的進一步處理之後的製造的中間階段的如圖4M所示的光學結構200。圖4N所示的進一步層2633的處理可以包括:使層2633CMP平坦化而減低層2633的高度且提供層2633的頂部表面,而使層2633的頂部表面是平坦的,並且在高度1642處於水平平面延伸。層2633的CMP平坦化可以伴隨CMP研磨,而使層2633的頂部表面是原子光滑。FIG. 4N shows the optical structure 200 as shown in FIG. 4M in an intermediate stage of manufacturing after further processing of the layer 2633. The processing of the further layer 2633 shown in FIG. 4N may include: planarizing the layer 2633 CMP to reduce the height of the layer 2633 and providing the top surface of the layer 2633, and making the top surface of the layer 2633 flat and in a horizontal plane at the height 1642 extend. The CMP planarization of the layer 2633 can be accompanied by CMP polishing, and the top surface of the layer 2633 is atomically smooth.

本發明的實施例可以包括:使用差異及協調的處理以形成介電堆疊206。根據一個範例,可以使用第一處理形成圍繞圖案化的光學元件的披覆層,如層2601(圖1J及3B)或層2632(圖4K),用於減低空隙,並且可以將第二處理用於形成覆蓋層(圖1J及3B的層2602)及圖4M的層2633。根據一個實施例,第一處理可以包括:例如:矽烷基的高密度電漿化學氣相沉積,以提供矽烷基的HDP氧化物(或HARP)。根據一個實施例,用於形成覆蓋層的第二處理可以包括:用於形成TEOS的PECVD。Embodiments of the invention may include the use of differential and coordinated processes to form the dielectric stack 206. According to an example, the first process can be used to form a cladding layer surrounding the patterned optical element, such as layer 2601 (Figures 1J and 3B) or layer 2632 (Figure 4K) to reduce voids, and the second process can be used A cover layer (layer 2602 in FIGS. 1J and 3B) and a layer 2633 in FIG. 4M are formed. According to an embodiment, the first treatment may include, for example, high-density plasma chemical vapor deposition of silyl groups to provide HDP oxide (or HARP) of silyl groups. According to an embodiment, the second process for forming the capping layer may include PECVD for forming TEOS.

根據一個範例,可以使用第一處理形成圍繞圖案化的光學元件的第一披覆層,如層2601(圖1J及3B),以減低空隙,並且可以使用第二處理形成第二披覆層圍繞圖案化的光學元件的披覆層2632(圖4k)。根據一個實施例,第一處理可以包括:例如:矽烷基的高密度電漿化學氣相沉積,以提供矽烷基的HDP氧化物(或可替代地,HARP)。根據一個實施例,用於形成覆蓋層的第二處理可以包括:用於形成TEOS的PECVD。本發明的實施例認知到,儘管使用HDP氧化物可以有利地提供空隙減低及改善的光學性能,但是HDP氧化物的應變性質可以負面影響光學結構200的穩定性,其中其在整個介電堆疊206中的分佈超過閾值。本發明的實施例認知到,層201(被層2601圍繞)可以包括:比層4002(被層2632圍繞)更緊密間隔的圖案化光學特徵。根據一個實施例,可以使用矽烷基的高密度電漿化學氣相沉積形成由矽形成的圍繞層201的層2601,以提供矽烷基的HDP氧化物實現改善的空隙減低,並且可以使用PECVD形成圍繞層4002的層2632,以提供TEOS可改善應變性能。According to an example, a first coating layer can be formed around the patterned optical element, such as layer 2601 (FIGS. 1J and 3B) to reduce voids, and a second coating layer can be used to form a second coating layer surrounding the patterned optical element. The cladding layer 2632 of the patterned optical element (Figure 4k). According to one embodiment, the first treatment may include, for example, high-density plasma chemical vapor deposition of silyl groups to provide HDP oxides of silyl groups (or alternatively, HARP). According to an embodiment, the second process for forming the capping layer may include PECVD for forming TEOS. The embodiments of the present invention recognize that although the use of HDP oxide can advantageously provide void reduction and improved optical performance, the strain properties of HDP oxide can negatively affect the stability of the optical structure 200, which is distributed throughout the dielectric stack 206 The distribution in exceeds the threshold. Embodiments of the present invention recognize that layer 201 (surrounded by layer 2601) may include patterned optical features that are more closely spaced than layer 4002 (surrounded by layer 2632). According to one embodiment, high-density plasma chemical vapor deposition of silyl groups can be used to form layer 2601 of surrounding layer 201 formed of silicon to provide HDP oxide of silyl groups to achieve improved void reduction, and PECVD can be used to form surrounding layers. Layer 2632 of layer 4002 to provide TEOS can improve strain performance.

層2632的沉積平坦化及研磨以及層2633的沉積平坦化及研磨可以提供高度控制。高度控制可以提供在波導218以及在高於該波導218之高度處的光學元件之間的光耦合,此處以光耦合為目標。高度控制可以提供在波導218以及在高於該波導218之高度處的光學元件之間的光隔離,此處以光隔離為目標。The deposition planarization and polishing of layer 2632 and the deposition planarization and polishing of layer 2633 can provide a high degree of control. The height control may provide optical coupling between the waveguide 218 and the optical element at a height higher than the waveguide 218, where optical coupling is the target. The height control can provide optical isolation between the waveguide 218 and the optical element at a height higher than the waveguide 218, where optical isolation is the target.

光學結構200的光學元件可以在光訊號傳輸區域L內以在光訊號傳輸區域L內移除之層502的材料通過高度1632傳輸或接收光訊號。光訊號的耦合可以在光訊號傳輸區域L內的任何兩個波導之間。如果製造,任何兩個波導中的一個波導可以包括:圖4J至4N的波導218。光訊號耦合可以可替代地或另外地在光輸入裝置702B與由光訊號傳輸區域L2中光柵220構成的光學元件之間。The optical elements of the optical structure 200 can transmit or receive optical signals in the optical signal transmission area L with the material of the layer 502 removed in the optical signal transmission area L through a height of 1632. The coupling of the optical signal can be between any two waveguides in the optical signal transmission area L. If manufactured, one of any two waveguides may include: the waveguide 218 of FIGS. 4J to 4N. The optical signal coupling may alternatively or additionally be between the optical input device 702B and the optical element formed by the grating 220 in the optical signal transmission area L2.

圖4O示出在沉積包括:以下內容的光刻堆疊之後的製造的中間階段的如圖4N所示的光學結構200層751,752,及753上層2632。層751可以是OPL層,層752可以是SIARC層,並且層753可以是光阻層。由光阻層提供的層753可以被圖案化而構成用於蝕刻如圖4P所示的溝槽的圖案化。FIG. 4O shows the layers 751, 752, and 753 of the upper layer 2632 of the optical structure 200 shown in FIG. 4N in the intermediate stage of the manufacturing after the deposition includes the lithographic stack of the following. The layer 751 may be an OPL layer, the layer 752 may be a SIARC layer, and the layer 753 may be a photoresist layer. The layer 753 provided by the photoresist layer can be patterned to form a pattern for etching the trench as shown in FIG. 4P.

圖4P示出在蝕刻溝槽之後的製造的中間階段如圖4O所示的光學結構200,其示出使用由圖4O所示的光阻層提供的層753的圖案化形成溝槽1714。在一實施例,圖4P所示的蝕刻可以包括:反應離子蝕刻(RIE)。在一實施例,圖4P所示的蝕刻可以包括:蝕刻對矽碳氮有選擇性的氧化物,而使在不移除由矽碳氮形成的層502的情況下移除層2633,層2632及層2631的材料。FIG. 4P shows the optical structure 200 shown in FIG. 40 in an intermediate stage of manufacturing after the trench is etched, which shows the formation of the trench 1714 using patterning of the layer 753 provided by the photoresist layer shown in FIG. 40. In one embodiment, the etching shown in FIG. 4P may include: reactive ion etching (RIE). In one embodiment, the etching shown in FIG. 4P may include: etching an oxide selective to silicon carbon nitride, so that the layer 2633 and the layer 2632 are removed without removing the layer 502 formed of silicon carbon nitride. And the material of layer 2631.

圖4Q示出在進一步蝕刻(例如:經由RIE的蝕刻)之後的製造的中間階段的如圖4P所示的光學結構200。在圖4Q所示的蝕刻中,可以對層422選擇性地執行層502的蝕刻,而使在不蝕刻金屬化層422的材料的情況下蝕刻由矽碳氮形成的層502的材料。使用圖4P及4Q所示的蝕刻,可以圖案化溝槽1714。在一實施例的溝槽1714可以構成在金屬化層422上方的通孔層的圖案化。FIG. 4Q shows the optical structure 200 shown in FIG. 4P in an intermediate stage of manufacturing after further etching (eg, etching via RIE). In the etching shown in FIG. 4Q, the etching of the layer 502 may be selectively performed on the layer 422, so that the material of the layer 502 formed of silicon carbon nitride is etched without etching the material of the metallization layer 422. Using the etching shown in FIGS. 4P and 4Q, the trench 1714 can be patterned. The trench 1714 in an embodiment may constitute the patterning of the via layer above the metallization layer 422.

圖4R示出在沉積包括:層761,層762及層763的光刻堆疊之後的製造的中間階段的如圖4Q所示的光學結構200。層761可以包括:有機光刻材料,並且可以填充溝槽1714,而使溝槽1714(圖4Q)被有機光刻材料填充。在圖4A所示的光刻堆疊可以包括:形成在層761之上的層762以及形成在層762之上的層763。層762可以由SIARC形成,並且層763可以由光阻形成。由光阻形成的圖4R中的層763可以構成用於擴寬溝槽1714(圖4Q)的圖案化。FIG. 4R shows the optical structure 200 as shown in FIG. 4Q in an intermediate stage of manufacturing after depositing a lithographic stack including: layer 761, layer 762, and layer 763. The layer 761 may include an organic photolithography material, and can fill the trench 1714, and the trench 1714 (FIG. 4Q) is filled with the organic photolithography material. The photolithography stack shown in FIG. 4A may include: a layer 762 formed on the layer 761 and a layer 763 formed on the layer 762. The layer 762 may be formed of SIARC, and the layer 763 may be formed of photoresist. The layer 763 in FIG. 4R formed by photoresist may constitute a patterning for widening the trench 1714 (FIG. 4Q ).

圖4S示出在蝕刻如圖4R所示的溝槽1714以擴寬溝槽1714之後的製造的中間階段的如圖4R所示的光學結構200。根據由光阻提供的圖4R的層763中構成的圖案化,圖4S所示的蝕刻可以包括:RIE。圖4S所示的溝槽1714的擴寬部分構成了用於圖4S的中間階段圖所示的金屬化層422上方的後續金屬化層422'的圖案化。4S shows the optical structure 200 shown in FIG. 4R in an intermediate stage of manufacturing after etching the trench 1714 shown in FIG. 4R to widen the trench 1714. According to the patterning formed in the layer 763 of FIG. 4R provided by the photoresist, the etching shown in FIG. 4S may include: RIE. The widened portion of the trench 1714 shown in FIG. 4S constitutes the patterning of the subsequent metallization layer 422' above the metallization layer 422 shown in the intermediate stage diagram of FIG. 4S.

圖4T示出在如圖4S所示將傳導材料2714沉積到溝槽1714之後的製造的中間階段的如圖4S所示的光學結構200。如圖4T所示傳導材料2714的沉積可以包括:單一傳導材料沉積處理,而使溝槽1714的下部、較窄部分及溝槽1714的上部、擴寬區域共同地填充共同的傳導材料沉積處理。圖4P及4S所示的製造階段圖示出一個實施例的雙鑲嵌處理。使用單一傳導材料沉積處理,而使溝槽1714的下部、較窄部分以及溝槽1714的上部、擴寬區域共同地填充有共同的傳導材料沉積處理,以避免處理階段及消除金屬對金屬之阻抗的增加。再次參考圖4S,在傳導材料2714的沉積之前,可以將襯裡2713沉積在溝槽2714中。襯裡2713可以由例如:鈦(Ti)、氮化鈦(TiN)或氮化鉭(TaN)所形成。4T shows the optical structure 200 shown in FIG. 4S at an intermediate stage of manufacturing after the conductive material 2714 is deposited on the trench 1714 as shown in FIG. 4S. As shown in FIG. 4T, the deposition of the conductive material 2714 may include a single conductive material deposition process, and the lower portion, narrower portion of the trench 1714, and upper portion and widened area of the trench 1714 are jointly filled with a common conductive material deposition process. The manufacturing stage diagrams shown in FIGS. 4P and 4S illustrate the dual damascene process of one embodiment. A single conductive material deposition process is used, so that the lower part and narrower part of the trench 1714 and the upper part and widened area of the trench 1714 are filled with a common conductive material deposition process to avoid the processing stage and eliminate the metal-to-metal resistance The increase. Referring again to FIG. 4S, the liner 2713 may be deposited in the trench 2714 before the deposition of the conductive material 2714. The liner 2713 may be formed of, for example, titanium (Ti), titanium nitride (TiN), or tantalum nitride (TaN).

傳導材料2714的沉積可以包括:物理氣相沉積(PVD)的使用。使用PVD,沉積的材料從冷凝相轉變為氣相,然後又回到薄膜冷凝相。PVD處理可以包括:濺射及蒸發。可以執行傳導材料2714的沉積,而使傳導材料2714在如圖4T所示製造的中間階段覆蓋晶圓支撐光學結構200的整個頂部表面。光學結構200可以使用具有基板100、由絕緣層提供的層202、以及如本發明進一步參考圖2A所述由矽形成的層201的絕緣層上矽(SOI)晶圓製造。The deposition of the conductive material 2714 may include the use of physical vapor deposition (PVD). With PVD, the deposited material changes from the condensation phase to the gas phase, and then back to the thin film condensation phase. PVD processing can include: sputtering and evaporation. The deposition of the conductive material 2714 may be performed so that the conductive material 2714 covers the entire top surface of the wafer supporting optical structure 200 in the intermediate stage of manufacturing as shown in FIG. 4T. The optical structure 200 may be fabricated using a silicon-on-insulator (SOI) wafer having a substrate 100, a layer 202 provided by an insulating layer, and a layer 201 formed of silicon as described in the present invention with further reference to FIG. 2A.

圖4U示出在光學結構200的平坦化之後的製造的中間階段的如圖4T所示的光學結構200。在圖4U的中間製造階段圖所示的平坦化可以包括:CMP平坦化,以將光學結構200的高度減低到如圖4U所示的高度1642。可以執行如圖4U所示的平坦化,而使如圖4U的中間階段圖所示的光學結構200的頂部表面部分地由傳導材料2714構成並且由層2633部分地構成。CMP平坦化可以伴隨有CMP研磨,而使在圖4U所示的中間階段圖中的光學結構200的頂部表面是原子光滑,其部分由傳導材料2714構成並且部分由層2633構成。FIG. 4U shows the optical structure 200 as shown in FIG. 4T in an intermediate stage of manufacturing after the planarization of the optical structure 200. The planarization shown in the intermediate manufacturing stage of FIG. 4U may include CMP planarization to reduce the height of the optical structure 200 to the height 1642 shown in FIG. 4U. The planarization as shown in FIG. 4U may be performed so that the top surface of the optical structure 200 as shown in the intermediate stage diagram of FIG. 4U is partially composed of the conductive material 2714 and partially composed of the layer 2633. CMP planarization may be accompanied by CMP polishing, so that the top surface of the optical structure 200 in the intermediate stage diagram shown in FIG. 4U is atomically smooth, partly composed of the conductive material 2714 and partly composed of the layer 2633.

圖4V示出在沉積及進一步處理層2641之後的製造的中間階段的如圖4U所示的光學結構200。層2641可以由介電材料形成,例如:如SiO2 的氧化物,如圖4V所示。可以在沉積之後平坦化及研磨層2641。可以使用PECVD在降低的溫度範圍(例如:在300°C及500°C之間的溫度)下沉積層2641。可以使用CMP平坦化平坦化層2641,並且可以使用CMP研磨對層2641進行研磨。研磨層2641的頂部表面的完成可以在平行於所示參考座標軸的XY平面的水平平面延伸。通過層2641的平坦化,層2641的頂部表面可以在水平平面延伸,該水平平面與所示的參考座標系統的XY平面平行。FIG. 4V shows the optical structure 200 as shown in FIG. 4U in an intermediate stage of manufacturing after the layer 2641 is deposited and further processed. The layer 2641 may be formed of a dielectric material, for example, an oxide such as SiO 2 as shown in FIG. 4V. The layer 2641 can be planarized and polished after deposition. PECVD can be used to deposit the layer 2641 at a reduced temperature range (for example, at a temperature between 300°C and 500°C). The planarization layer 2641 can be planarized using CMP, and the layer 2641 can be polished using CMP polishing. The completion of the top surface of the abrasive layer 2641 may extend in a horizontal plane parallel to the XY plane of the reference coordinate axis shown. Through the planarization of the layer 2641, the top surface of the layer 2641 can extend in a horizontal plane that is parallel to the XY plane of the reference coordinate system shown.

圖4W示出在製造的中間階段如圖4V所示的光學結構,該光學結構在進一步處理以沉積層4006以進行圖案化,通過CMP平坦化進行平坦化以及通過CMP研磨進行研磨層4006到沉積層2642之後,使用CMP平坦化及研磨平坦化及研磨層2642。層4006及2642的沉積可以使用PECVD在減低的熱預算下進行,例如:在約300°C至約500°C之間的處理溫度下進行。層4006可以例如:由單晶矽、多晶矽、非晶矽、氮化矽或氧氮化矽提供。層4006的圖案化可以包括:使用根據包括:以下內容的光刻疊層所示的光刻包含層741、742及如圖4I所示的743,用於如圖4J所示在圖案化波導218的使用。根據圖4I配置的光刻堆疊可以用於圖案化圖4W所示的波導218,其中形成在層2641上的波導218處於金屬化層422'的頂部表面上方的高度處,該金屬化層422'可以是接點金屬化層。可以看出,所示的用於形成金屬化層422'的處理可以促進製造在可以是接點金屬化層的金屬化層422'的上方的層2641上形成的波導218。在層4006的圖案化的完成,以構成波導218上形成的層2641,波導218上形成的層2641可以經進一步的處理,例如:的垂直側壁的線邊緣粗糙度處理波導218形成在層2641所示的方式用圖4J的波導218。FIG. 4W shows the optical structure shown in FIG. 4V in the intermediate stage of manufacturing, which is further processed to deposit a layer 4006 for patterning, planarize by CMP planarization, and polish the layer 4006 to sink by CMP polishing. After the layer 2642 is laminated, the planarization and polishing layer 2642 is flattened and polished using CMP. The deposition of layers 4006 and 2642 can be performed using PECVD with a reduced thermal budget, for example, at a processing temperature between about 300°C and about 500°C. The layer 4006 can be provided by, for example, monocrystalline silicon, polycrystalline silicon, amorphous silicon, silicon nitride, or silicon oxynitride. The patterning of the layer 4006 may include: using the lithography including layers 741, 742 and 743 as shown in FIG. 4I according to the lithography stack including the following, for patterning the waveguide 218 as shown in FIG. 4J usage of. The lithography stack configured according to FIG. 4I can be used to pattern the waveguide 218 shown in FIG. 4W, where the waveguide 218 formed on the layer 2641 is at a height above the top surface of the metallization layer 422', which It can be a contact metallization layer. It can be seen that the illustrated process for forming the metallization layer 422' can facilitate the fabrication of the waveguide 218 formed on the layer 2641 above the metallization layer 422', which may be a contact metallization layer. After the patterning of the layer 4006 is completed to form the layer 2641 formed on the waveguide 218, the layer 2641 formed on the waveguide 218 can be further processed, for example: the vertical sidewall line edge roughness treatment. The waveguide 218 is formed on the layer 2641. The shown method uses the waveguide 218 of FIG. 4J.

關於層2642,層2642可以由介電材料(例如:氧化物,如SiO2 )形成。層4006及層2642的平坦化可以包括:使用CMP平坦化,而使完成平坦化的層4006及層2642的頂部表面在水平平面延伸,該水平平面平行於所示的參考座標系統的XY平面。CMP平坦化可以伴隨CMP研磨,而使層4006及層2642的頂部表面分別是原子光滑的。Regarding the layer 2642, the layer 2642 may be formed of a dielectric material (for example: oxide, such as SiO 2 ). The planarization of the layer 4006 and the layer 2642 may include: using CMP planarization, so that the top surfaces of the planarized layer 4006 and the layer 2642 extend in a horizontal plane that is parallel to the XY plane of the reference coordinate system shown. The CMP planarization can be accompanied by CMP polishing, so that the top surfaces of the layer 4006 and the layer 2642 are atomically smooth, respectively.

圖4X及4Y示出處理階段,其中金屬化層422'是光學結構200的接點金屬化層。舉例而言,參考圖2A,所示的金屬化層422E可以被視為接點金屬化層。如結合圖1及2所述的那樣製造。如結合圖4X及4Y所示的製造可配置光學結構200,以連接外部製造結構,所述外部製造構造的結構以電氣、光學連接外部電氣或光學結構,如印刷電路板、中間層、球柵陣列等。4X and 4Y show the processing stage, in which the metallization layer 422 ′ is the contact metallization layer of the optical structure 200. For example, referring to FIG. 2A, the metallization layer 422E shown can be regarded as a contact metallization layer. It is manufactured as described in connection with FIGS. 1 and 2. As shown in conjunction with the manufacturing shown in FIGS. 4X and 4Y, the optical structure 200 can be configured to connect to an external manufacturing structure. The structure of the external manufacturing structure is electrically and optically connected to an external electrical or optical structure, such as a printed circuit board, an intermediate layer, a ball grid Array etc.

圖4X示出在製造的中間階段如圖4W所示的光學結構200,在進一步圖案化而構成形成在層2641及2642以顯露金屬化層422'的頂部表面的溝槽1716之後。溝槽1716可以使用如結合圖4O及4P所示的溝槽構造處理而形成,以用於圖4P所示的溝槽1714的形成。FIG. 4X shows the optical structure 200 shown in FIG. 4W in an intermediate stage of manufacturing, after further patterning to form trenches 1716 formed in layers 2641 and 2642 to expose the top surface of metallization layer 422'. The trench 1716 may be formed using the trench construction process shown in conjunction with FIGS. 4O and 4P for the formation of the trench 1714 shown in FIG. 4P.

在溝槽1716中凸塊下金屬化(UBM,Under Bump Metallization)構造2718的形成之後,圖4Y示出在製造的中間階段的如圖4X所示的光學結構200。凸塊下金屬化構造2718(UBM)有助於其上的焊料凸塊(未示出)的連接,以連接至外部製造的結構,例如:印刷電路板中間層或球柵陣列。在一範例中,圖4Y所示的左側溝槽1716可以被製造為不存在凸塊下金屬化構造2718(UBM),例如:用於在其上容納打線接合(Wire Bond)。After the formation of the Under Bump Metallization (UBM) structure 2718 in the trench 1716, FIG. 4Y shows the optical structure 200 as shown in FIG. 4X in an intermediate stage of manufacturing. The under-bump metallization structure 2718 (UBM) facilitates the connection of solder bumps (not shown) on it to connect to an externally manufactured structure, such as a printed circuit board intermediate layer or a ball grid array. In an example, the left trench 1716 shown in FIG. 4Y can be manufactured without the under bump metallization structure 2718 (UBM), for example, for accommodating a wire bond (Wire Bond) thereon.

光學結構200可以進一步用於構成接點6002的製造處理,如圖2A及4Y所示。光學結構200可以包括:形成在接點金屬化層(如參考圖2A的金屬化層422E或圖4W至4Z的金屬化層422'所示)之上的一個以上的接點6002。接點6002可以包括:例如:以下的一個以上的:(a)形成在光學介電堆疊206的開口,該開口通向金屬化層;(b)形成在金屬化層上的焊墊以及至該焊墊的開口;(c)凸點下金屬化(UBM)構造形成在金屬化層之上,該金屬化層具有形成在光學介電堆疊206至UBM構造的開口;(d)在金屬化層之上形成的UBM構造以及形成在UBM之上由光學介電堆疊206向外突出的焊料凸塊。本發明的實施例認知到提供由鋁形成的接點金屬化層422'可以提供各種優點。舉例而言,由於鋁不易被氧化腐蝕,因此可以製造如接點6002之類的接點以降低接點阻抗。The optical structure 200 can be further used to form the manufacturing process of the contact 6002, as shown in FIGS. 2A and 4Y. The optical structure 200 may include more than one contact 6002 formed on a contact metallization layer (as shown with reference to the metallization layer 422E in FIG. 2A or the metallization layer 422' in FIGS. 4W to 4Z). The contact 6002 may include: for example: one or more of the following: (a) an opening formed in the optical dielectric stack 206, the opening leading to the metallization layer; (b) a bonding pad formed on the metallization layer and to the The opening of the bonding pad; (c) an under bump metallization (UBM) structure is formed on the metallization layer, the metallization layer has openings formed in the optical dielectric stack 206 to the UBM structure; (d) in the metallization layer The UBM structure formed thereon and solder bumps protruding outward from the optical dielectric stack 206 are formed on the UBM. The embodiments of the present invention recognize that providing the contact metallization layer 422' formed of aluminum can provide various advantages. For example, since aluminum is not easily oxidized and corroded, a contact such as the contact 6002 can be manufactured to reduce the resistance of the contact.

圖4Z示出替代實施例的光學結構200,其中提供阻擋層並由阻擋材料形成的層502由提供阻擋層並由氮化矽SiN形成的層602代替並替換,層602可以提供如本發明結合矽碳氮SiCN所示的阻擋功能性,矽碳氮例如:可以抑制銅從金屬化層422遷移且可以抑制金屬化層422的氧化。為了圖4Z所示結構的製造,可以根據參考圖1至圖3所示的製造階段進行製造。除了層602可以代替層502之外,本發明所述的是圖4A至4G所示的實施例。此外,如圖4Z所示,層602的圖案化可以被調整,而使在光訊號傳輸區域L的波導214可以進行如圖4Z所示的圖案化。層602可以通過光刻堆疊的調整而被圖案化以構成波導214,該光刻堆疊包含如圖4C所示的層731、732及733。該調整可以包括:修改,而使由光阻材料形成的層733包括:用於構成如圖4Z所示之波導214的圖案化。根據在此所述的線邊緣粗糙度處理,可以對在其上圖案化的波導214進行其垂直側壁的線邊緣粗糙度處理。在圖4Z中,高度1634可以示出如圖2A所示之高度1634A或1634B中的任何一個。4Z shows an optical structure 200 of an alternative embodiment, in which the layer 502 provided with a barrier layer and formed of a barrier material is replaced and replaced by a layer 602 provided with a barrier layer and formed of silicon nitride SiN. The layer 602 may provide the combination of the present invention The barrier function shown by silicon carbon nitride SiCN, for example, silicon carbon nitride can inhibit the migration of copper from the metallization layer 422 and can inhibit the oxidation of the metallization layer 422. In order to manufacture the structure shown in FIG. 4Z, it can be manufactured according to the manufacturing stages shown with reference to FIGS. 1 to 3. Except that layer 602 can replace layer 502, the present invention describes the embodiment shown in FIGS. 4A to 4G. In addition, as shown in FIG. 4Z, the patterning of the layer 602 can be adjusted so that the waveguide 214 in the optical signal transmission area L can be patterned as shown in FIG. 4Z. The layer 602 can be patterned to form the waveguide 214 by adjusting the photolithography stack, which includes the layers 731, 732, and 733 as shown in FIG. 4C. The adjustment may include modification so that the layer 733 formed of a photoresist material includes a patterning for forming the waveguide 214 as shown in FIG. 4Z. According to the line edge roughness treatment described herein, the waveguide 214 patterned thereon can be subjected to the line edge roughness treatment of its vertical sidewalls. In FIG. 4Z, the height 1634 may show any one of the heights 1634A or 1634B as shown in FIG. 2A.

在一個替代實施例,參考圖4Y,可以通過由氮化矽(SiN)形成的阻擋層提供層2641。在這樣的實施例,層2641所提供之所示的氮化矽層可經圖案化,而構成於圖4Y以虛線形式所示的波導218'以及相鄰於移除的波導218'的層2641的部分。波導218'在其圖案化之上可以根據本發明所述的線邊緣粗糙度處理經其垂直側壁的線邊緣粗糙度處理。參考結合圖4Y的波導218'所示的實施例,可以通過避免層4006的沉積及圖案化刪除由層4006的圖案化構成的波導218。In an alternative embodiment, referring to FIG. 4Y, the layer 2641 may be provided by a barrier layer formed of silicon nitride (SiN). In such an embodiment, the silicon nitride layer shown by the layer 2641 can be patterned to form the waveguide 218' shown in dashed form in FIG. 4Y and the layer 2641 adjacent to the removed waveguide 218' part. The patterning of the waveguide 218' can be processed by the line edge roughness treatment of the vertical sidewalls according to the line edge roughness treatment of the present invention. Referring to the embodiment shown in conjunction with the waveguide 218' of FIG. 4Y, the waveguide 218 formed by the patterning of the layer 4006 can be deleted by avoiding the deposition and patterning of the layer 4006.

參照圖4Y,可以看到由層4006的圖案化構成的波導218(或由圖案化層2641構成的波導218')可以形成為具有高於接點金屬化層422'的頂部高度的高度。如所示的製造波導418或波導418'具有高於金屬化層422'的頂部高度的高度提供了各種優點。舉例而言,所示的配置可以促進光訊號在由層4006構成的波導218(或由層2641構成的波導218')以及光學結構200外部的外部光學元件(例如:可以附接到光學結構200的頂側)之間的耦合。4Y, it can be seen that the waveguide 218 formed by the patterning of the layer 4006 (or the waveguide 218' formed by the patterned layer 2641) can be formed to have a height higher than the top height of the contact metallization layer 422'. Manufacturing the waveguide 418 or waveguide 418' as shown to have a height higher than the top height of the metallization layer 422' provides various advantages. For example, the configuration shown can facilitate optical signals in the waveguide 218 formed by the layer 4006 (or the waveguide 218' formed by the layer 2641) and external optical elements outside the optical structure 200 (for example: can be attached to the optical structure 200). The coupling between the top side).

在各個實施例,本發明所述的原子光滑的表面可以指的是在一實施例具有約小於5A RMS的光滑度等級的表面。在一實施例,本發明在各種實施例所述的原子光滑表面可以指的是具有約小於4A RMS的光滑度等級的表面。在一實施例,本發明在各種實施例所述的原子光滑表面可以指的是具有約小於3A RMS的光滑度等級的表面。在一實施例,本發明在各種實施例所述的原子光滑表面可以指的是具有約小於2A RMS的光滑度等級的表面。In various embodiments, the atomically smooth surface in the present invention may refer to a surface having a smoothness level of less than about 5A RMS in one embodiment. In one embodiment, the atomically smooth surface described in various embodiments of the present invention may refer to a surface having a smoothness level of less than about 4A RMS. In one embodiment, the atomically smooth surface described in various embodiments of the present invention may refer to a surface having a smoothness level of less than about 3A RMS. In one embodiment, the atomically smooth surface described in various embodiments of the present invention may refer to a surface having a smoothness level of less than about 2A RMS.

光學結構200可以經配置,而使任何所示的第一波導及第二波導可以經配置而用於在其之間的光訊號的光耦合。光學結構200可以經配置,而使任何所示的第一波導及第二波導可以經配置而在它們之間進行光隔離。The optical structure 200 may be configured such that any of the first waveguide and the second waveguide shown may be configured for optical coupling of optical signals therebetween. The optical structure 200 may be configured such that any of the first and second waveguides shown may be configured to optically isolate between them.

本發明中使用的術語僅用於描述特定實施例的目的,以並非限制性。在一實施例,術語「在……上」可以指一種關係,此處指元件「直接地在特定元件上」而不具有中間元件在元件及特定元件之間。在本發明中所使用的,單數形式「一(a、an)」及「該、所述的」(the)亦包括複數形式,除非上下文另有明確表明。將進一步理解,用語「包含」(以及任何形式的包含,例如:「係包含」、「包含有」)、「具有」(以及任何形式的具有,例如:「係具有」、「有」)、「包括」(以及任何形式的包括,例如:「係包括」、「包括有」)、及「含有」(以及任何形式的含有,例如:「係含有」、「含」)為開放式連接詞。其結果,「包含」、「具有」、「包括」或「含有」一個以上的步驟或元件的一種方法或裝置係擁有這些一個以上的步驟或元件,但並不限於僅擁有這些一個以上的步驟或元件。同樣地,「包含」、「具有」、「包括」或「含有」一個以上的特徵的方法之一步驟或裝置之一元件係擁有這些一個以上的特徵,但並不限於僅擁有這些一個以上的特徵。用語「由……所界定(defined by)」係涵蓋元件為部分地由……所界定的關係以及元件為完全地由……所界定的關係。本發明中的數字標識,例,「第一」及「第二」為標出不同元件以非標出元件排序的任意用語。再者,以某種方式以組構的系統方法或裝置係以至少該種方式以組構,但亦可能以未列出的方式以組構。再者,具有一定數量的元件的系統方法或裝置可以少於或多於該一定數量的元件來實施。The terms used in the present invention are only used for the purpose of describing specific embodiments and are not restrictive. In one embodiment, the term "on" may refer to a relationship, where the element is "directly on the specific element" without intermediate elements between the element and the specific element. As used in the present invention, the singular forms "一 (a, an)" and "the, said" (the) also include plural forms, unless the context clearly indicates otherwise. It will be further understood that the terms "include" (and any form of inclusion, for example: "to include", "includes"), "have" (and to have in any form, for example: "to have", "have"), "Include" (and any form of inclusion, such as "includes", "includes"), and "includes" (and any form of inclusion, such as "includes", "includes") are open-ended conjunctions . As a result, a method or device that "includes," "has," "includes," or "contains" more than one step or element has these one or more steps or elements, but is not limited to having only these one or more steps Or components. Similarly, a step of a method or an element of a device that "includes," "has," "includes," or "contains" more than one feature has these more than one feature, but is not limited to having only these more than one feature feature. The term "defined by" refers to a relationship in which components are partially defined by and a relationship in which components are completely defined by. The digital identification in the present invention, for example, "first" and "second" are arbitrary terms for marking different elements in order of non-marking elements. Furthermore, a system method or device that is configured in a certain way is configured in at least that way, but it may also be configured in a way that is not listed. Furthermore, a system method or device with a certain number of components can be implemented with less or more than the certain number of components.

以下的申請專利範圍中的所有手段或步驟功能用語的元件的對應的結構、材料、動作及均等物(若有),旨在包括用於實行與所具體請求的其他請求的元件相結合的該功能的任何結構、材料或動作。本發明的描述出於描述及說明的目的以給出,但非旨在窮舉或限制本發明於所揭露的形式。在不脫離本發明的範圍及精神的情況下,許多修改及變化對於所屬技術領域中具有通常知識者而言是顯而易見的。實施例係為了最佳地解釋本發明內容的各方面的原理及實際應用,而加以選擇並說明,並且使其他的所屬技術領域中具有通常知識者理解本發明內容的各方面,以用於具有適合於所預期的特定使用的各種修改的各種實施例。The corresponding structures, materials, actions, and equivalents (if any) of the elements of all means or step function terms in the scope of the patent application below are intended to include the implementation of the elements in combination with other requested elements specifically requested Any structure, material or action of function. The description of the present invention is given for the purpose of description and illustration, but is not intended to exhaustively list or limit the present invention in the disclosed form. Without departing from the scope and spirit of the present invention, many modifications and changes are obvious to those having ordinary knowledge in the relevant technical field. The embodiments are selected and described in order to best explain the principles and practical applications of various aspects of the content of the present invention, and enable those with ordinary knowledge in other technical fields to understand the various aspects of the content of the present invention, so as to have Various embodiments with various modifications suitable for the specific use contemplated.

100:基板 1502:深度 1503:深度 1511:平面 1511A:平面 1511B:平面 1512:平面 1512A:平面 1512B:平面 1601:高度 1602:高度 1605:高度 1612:高度 1632:高度 1632A:高度 1632B:高度 1632C:高度 1634:高度 1634A:高度 1634B:高度 1642:高度 1712:溝槽 1713:中心軸 1714:溝槽 1716:溝槽 1810:溝槽 1811:中心軸 1812:側壁 1813:平面 1814:平面 1821:高度 1822:高度 1823:高度 1824:高度 1825:高度 1826:高度 1841:周邊 1850:離子植入區域 1851:周邊 1860:離子植入區域 1913:周邊 1930:構造 1950:離子植入區域 20:光學結構 200:光學結構 201:層 202:層 206:介電堆疊 210:波導 214:波導 218:波導 218W:側壁 220:光柵 230:調變器 231:脊 240:光感測器 242::光敏感材料構造 260:層 2601:層 2602:層 2611:層 2612:層 2613:層 2614:層 2712:傳導材料 2713:襯裡 2714:傳導材料 2718:凸塊下金屬化構造 2812:傳導材料 2631:層 2632:層 2633:層 2641:層 2642:層 3102:產物 3218:假形式外形 322A:通孔層 322B:通孔層 322C:通孔層 322D:通孔層 418:波導 422:層 422A:金屬化層 422B:金屬化層 422C:金屬化層 422D:金屬化層 422E:金屬化層 4002:層 4006:層 502:層 502A:層 502B:層 502C:層 602:層 6002:接點 701:光阻層 702A:光輸入裝置 702B:光輸入裝置 711:層 712:層 713:層 731:層 732:層 733:層 741:層 742:層 743:層 751:層 752:層 753:層 761:層 762:層 763:層 AA:區域 C1:接點傳導材料構造 C2:接點傳導材料構造 C3:接點傳導材料構造 C4:接點傳導材料構造 C5:接點傳導材料構造 C6:接點傳導材料構造 CC:區域 D1:間隔距離 D2:間隔距離 L:光訊號傳輸區域 L1:光訊號傳輸區域 L2:光訊號傳輸區域 M:金屬化層構造 M1:金屬化層構造 M2:金屬化層構造 M3:金屬化層構造 M4:金屬化層構造 M5:金屬化層構造 V1:通孔 V2:通孔 V3:通孔 V4:通孔100: substrate 1502: Depth 1503: Depth 1511: plane 1511A: plane 1511B: plane 1512: plane 1512A: plane 1512B: plane 1601: height 1602: height 1605: height 1612: height 1632: height 1632A: height 1632B: height 1632C: Height 1634: height 1634A: Height 1634B: height 1642: height 1712: groove 1713: central axis 1714: groove 1716: groove 1810: groove 1811: central axis 1812: sidewall 1813: plane 1814: plane 1821: height 1822: height 1823: height 1824: height 1825: height 1826: height 1841: peripheral 1850: ion implantation area 1851: peripheral 1860: Ion implantation area 1913: surrounding 1930: Structure 1950: Ion implantation area 20: Optical structure 200: Optical structure 201: layer 202: layer 206: Dielectric stacking 210: waveguide 214: Waveguide 218: Waveguide 218W: side wall 220: grating 230: Modulator 231: Ridge 240: light sensor 242:: Light-sensitive material construction 260: layer 2601: layer 2602: layer 2611: layer 2612: layer 2613: layer 2614: layer 2712: Conductive material 2713: Lining 2714: Conductive material 2718: Metallization structure under bump 2812: conductive material 2631: layer 2632: layer 2633: layer 2641: layer 2642: layer 3102: product 3218: Fake Form 322A: Through hole layer 322B: Through hole layer 322C: Through hole layer 322D: Through hole layer 418: Waveguide 422: layer 422A: Metallization layer 422B: Metallization layer 422C: Metallization layer 422D: Metallization layer 422E: Metallization layer 4002: layer 4006: layer 502: layer 502A: Layer 502B: Layer 502C: Layer 602: layer 6002: Contact 701: photoresist layer 702A: Optical input device 702B: Optical input device 711: layer 712: layer 713: layer 731: layer 732: layer 733: layer 741: layer 742: layer 743: layer 751: layer 752: layer 753: layer 761: layer 762: layer 763: layer AA: area C1: Contact conductive material structure C2: Contact conductive material structure C3: Contact conductive material structure C4: Contact conductive material structure C5: Contact conductive material structure C6: Contact conductive material structure CC: area D1: separation distance D2: separation distance L: Optical signal transmission area L1: Optical signal transmission area L2: Optical signal transmission area M: Metallized layer structure M1: Metallized layer structure M2: Metallized layer structure M3: Metallized layer structure M4: Metallized layer structure M5: Metallized layer structure V1: Through hole V2: Through hole V3: Through hole V4: Through hole

本發明所揭露的一個以上的態樣經特別指出並明確主張作為在說明書的結論的申請專利範圍中的範例。本發明之前述及其他的目的、特徵及優點,從以下結合圖式的詳細描述,將可清楚而得以瞭解內容,其中該圖式具有:More than one aspect disclosed in the present invention has been specifically pointed out and clearly claimed as an example in the scope of patent application in the conclusion of the specification. The foregoing and other objectives, features and advantages of the present invention will be clearly understood from the following detailed description in conjunction with the drawings, where the drawings have:

圖1A至圖1J為製造階段圖,以表現出根據一個實施例之在光學結構內光感測器的製造;1A to 1J are manufacturing stage diagrams to show the manufacturing of a light sensor in an optical structure according to an embodiment;

圖2A為根據一個實施例之具有複數個光學元件的光學結構的剖面側視圖;2A is a cross-sectional side view of an optical structure having a plurality of optical elements according to an embodiment;

圖2B為根據一個實施例之沿著圖2A的高度1601於圖2A之光學結構的剖面俯視圖;2B is a cross-sectional top view of the optical structure in FIG. 2A along the height 1601 of FIG. 2A according to an embodiment;

圖3A至圖3D為製造階段圖,以表現出根據一個實施例之在光學結構內調變器的製造;以及3A to 3D are manufacturing stage diagrams to show the manufacturing of the modulator in the optical structure according to an embodiment; and

圖4A至圖4Z為製造階段圖,以表現出根據一個實施例之光學結構的通孔層及金屬化層的製造。4A to 4Z are manufacturing stage diagrams to show the manufacturing of the via layer and the metallization layer of the optical structure according to an embodiment.

1605:高度 1605: height

1612:高度 1612: height

1850:離子植入區域 1850: ion implantation area

200:光學結構 200: Optical structure

206:介電堆疊 206: Dielectric stacking

240:光感測器 240: light sensor

242:光敏感材料構造 242: Light-sensitive material structure

2602:層 2602: layer

2611:層 2611: layer

2612:層 2612: layer

2613:層 2613: layer

2614:層 2614: layer

711:層 711: layer

712:層 712: layer

713:層 713: layer

Claims (34)

一種方法,包含: 沉積介電材料的層,而使該介電材料的層的第一部分形成於光敏感材料構造上,且使該介電材料的層的第二部分形成在光學結構之介電堆疊的介電層上,該光學結構具有一個以上的光學元件; 沉積蝕刻停止層在該介電材料的層上; 形成介電材料層在該蝕刻停止層上; 執行對於該蝕刻停止層有選擇性之該介電材料層的第一蝕刻而構成在光敏感材料構造上方的溝槽; 執行該蝕刻停止層的第二蝕刻,其中該第二蝕刻移除整個該蝕刻停止層的厚度之該蝕刻停止層的材料以及移除部分該介電材料的層的厚度之該介電材料的層的材料,該第二蝕刻增加該溝槽的深度;以及 執行該介電材料的層的剩餘厚度的無電漿蝕刻而顯露該光敏感材料構造,而使該溝槽的底部由該光敏感材料構造界定。A method that includes: A layer of dielectric material is deposited so that the first part of the layer of dielectric material is formed on the photosensitive material structure, and the second part of the layer of dielectric material is formed on the dielectric layer of the dielectric stack of the optical structure Above, the optical structure has more than one optical element; Depositing an etch stop layer on the layer of dielectric material; Forming a dielectric material layer on the etching stop layer; Performing a first etching of the dielectric material layer selective to the etch stop layer to form a trench above the photosensitive material structure; Perform a second etching of the etch stop layer, wherein the second etch removes the entire thickness of the etch stop layer of the material of the etch stop layer and removes a portion of the layer of the dielectric material from the thickness of the layer of the dielectric material Material, the second etching increases the depth of the trench; and Performing plasmaless etching of the remaining thickness of the dielectric material layer reveals the photosensitive material structure, and the bottom of the trench is bounded by the photosensitive material structure. 如請求項1所述之方法,其中所述的執行無電漿蝕刻包括:執行遠端無電漿蝕刻。The method according to claim 1, wherein the performing plasmaless etching includes: performing remote plasmaless etching. 如請求項1所述之方法,其中所述的移除該介電材料的層的材料包括:執行對於鍺有選擇性之遠端無電漿蝕刻。The method according to claim 1, wherein the material for removing the layer of the dielectric material comprises: performing a remote plasma-free etching selective to germanium. 如請求項1所述之方法,其中該光敏感材料是鍺。The method according to claim 1, wherein the photosensitive material is germanium. 如請求項1所述之方法,其中在所述的沉積該介電材料的層之前,執行化學機械平坦化,而使該光敏感材料以及該介電層構成水平延伸平坦表面,以及執行化學機械研磨,而使該光敏感材料以及該介電層構成原子光滑的表面。The method according to claim 1, wherein before the deposition of the dielectric material layer, chemical mechanical planarization is performed, so that the photosensitive material and the dielectric layer form a horizontally extending flat surface, and chemical mechanical planarization is performed Grinding, so that the photosensitive material and the dielectric layer constitute an atomically smooth surface. 如請求項1所述之方法,其中在所述的沉積該介電材料的層之前,執行化學機械平坦化,而使該光敏感材料構成水平延伸平坦表面,以及執行化學機械研磨,而使該光敏感材料構成原子光滑的表面。The method according to claim 1, wherein before the deposition of the layer of the dielectric material, chemical mechanical planarization is performed so that the photosensitive material forms a horizontally extending flat surface, and chemical mechanical polishing is performed to make the Light-sensitive materials form an atomically smooth surface. 如請求項1所述之方法,其中該方法包括:沉積鋁而過度填充該溝槽,以及執行平坦化而使過度填充的部分平坦化,而使構成的頂部表面由該介電堆疊部分地構成以及由該鋁部分地構成。The method according to claim 1, wherein the method comprises: depositing aluminum to overfill the trench, and performing planarization to planarize the overfilled portion, and the top surface of the structure is partially formed by the dielectric stack And partly composed of the aluminum. 如請求項1所述之方法,其中該方法包括:圖案化該蝕刻停止層,而使沒有在該光學結構之間傳播的光訊號耦合至該蝕刻停止層。The method according to claim 1, wherein the method comprises: patterning the etch stop layer so that optical signals that do not propagate between the optical structures are coupled to the etch stop layer. 如請求項1所述之方法,其中該方法包括:圖案化該蝕刻停止層,而使該蝕刻停止層光隔離於由下列所組成的群組中所選擇的一個以上的結構:(a)該光學結構的一個以上的該光學元件;(b)該光學結構的特定的該光學元件;(c)該光學結構的複數個該光學元件;以及(d)該光學結構的每一個該光學元件。The method according to claim 1, wherein the method comprises: patterning the etch stop layer to optically isolate the etch stop layer from more than one structure selected from the group consisting of: (a) the One or more of the optical elements of the optical structure; (b) the specific optical element of the optical structure; (c) a plurality of the optical elements of the optical structure; and (d) each of the optical elements of the optical structure. 如請求項1所述之方法,其中該方法包括:沉積鋁而過度填充該溝槽,以及執行平坦化而使過度填充的部分平坦化,而使構成的頂部表面由該介電堆疊部分地構成以及由該鋁部分地構成,其中該方法包括:製造金屬化層構造在傳導材料構造之上且對齊於該傳導材料構造,該傳導材料構造由沉積於該溝槽的該鋁構成,該金屬化層構造包含銅且電連接於該傳導材料構造。The method according to claim 1, wherein the method comprises: depositing aluminum to overfill the trench, and performing planarization to planarize the overfilled portion, and the top surface of the structure is partially formed by the dielectric stack And partly composed of the aluminum, wherein the method includes: manufacturing a metallized layer structure on the conductive material structure and aligned with the conductive material structure, the conductive material structure is composed of the aluminum deposited in the trench, the metallization The layer structure includes copper and is electrically connected to the conductive material structure. 如請求項1所述之方法,其中該方法包括:沉積鋁而過度填充該溝槽,以及執行平坦化而使過度填充的部分平坦化,而使構成的頂部表面由該介電堆疊部分地構成以及由該鋁部分地構成,其中該方法包括:製造金屬化層構造在該鋁之上且對齊於該鋁,該金屬化層構造包含銅且電連接於由沉積於該溝槽之該鋁構成的傳導材料構造,以及其中該方法包括:在高於該金屬化層構造之高度的高度處製造接點金屬化層構造,該接點金屬化層構造由鋁形成且電連接於該傳導材料構造以及該金屬化層構造。The method according to claim 1, wherein the method comprises: depositing aluminum to overfill the trench, and performing planarization to planarize the overfilled portion, and the top surface of the structure is partially formed by the dielectric stack And partly composed of the aluminum, wherein the method includes: manufacturing a metallization layer structure on the aluminum and aligned with the aluminum, the metallization layer structure including copper and electrically connected to the aluminum structure deposited in the trench The conductive material structure of, and wherein the method includes: manufacturing a contact metallization layer structure at a height higher than the height of the metallization layer structure, the contact metallization layer structure being formed of aluminum and electrically connected to the conductive material structure And the structure of the metallization layer. 如請求項1所述之方法,其中該方法包括:沉積鋁而過度填充該溝槽,以及執行平坦化而使過度填充的部分平坦化,而使構成的頂部表面由該介電堆疊部分地構成以及由該鋁部分地構成,其中該方法包括:製造金屬化層構造在該鋁之上且對齊於該鋁,該金屬化層構造包含銅且電連接於由沉積於該溝槽之該鋁構成的傳導材料構造,以及其中該方法包括:在高於該金屬化層構造之高度的高度處製造接點金屬化層構造,該接點金屬化層構造由鋁形成且電連接於該傳導材料構造以及該金屬化層構造,其中該方法包括:製造接點,該接點包括在該接點金屬化層上之凸塊下金屬化構造。The method according to claim 1, wherein the method comprises: depositing aluminum to overfill the trench, and performing planarization to planarize the overfilled portion, and the top surface of the structure is partially formed by the dielectric stack And partly composed of the aluminum, wherein the method includes: manufacturing a metallization layer structure on the aluminum and aligned with the aluminum, the metallization layer structure including copper and electrically connected to the aluminum structure deposited in the trench The conductive material structure of, and wherein the method includes: manufacturing a contact metallization layer structure at a height higher than the height of the metallization layer structure, the contact metallization layer structure being formed of aluminum and electrically connected to the conductive material structure And the metallization layer structure, wherein the method includes: manufacturing a contact, and the contact includes an under-bump metallization structure on the contact metallization layer. 一種方法,包含: 沉積一個以上的層,其中所述的沉積一個以上的該層包括一個以上的介電層而延伸介電堆疊的高度,其中一個以上的該層的一部分形成於傳導材料構造之上,且一個以上的該層的一部分形成於構成該介電堆疊之介電材料之上; 蝕刻該介電堆疊而構成溝槽,該溝槽對齊於該傳導材料構造; 進一步蝕刻該介電堆疊而擴寬該溝槽的上部區域,而使該溝槽具有較寬直徑的上部區域以及較窄直徑的下部區域; 在單一沉積階段沉積鋁進入該溝槽,而使在執行該單一沉積階段,該下部區域以及該上部區域填充鋁,其中執行該沉積,而使該鋁過度填充該溝槽;以及 平坦化該鋁的過度填充部分,而使在完成平坦化後的製造的中間階段的具有該介電堆疊之光學結構的頂部表面具有原子光滑的平坦的頂部表面,該平坦的頂部表面由該介電堆疊之介電材料以及該鋁構成。A method that includes: Depositing more than one layer, wherein the depositing more than one layer includes more than one dielectric layer to extend the height of the dielectric stack, wherein a part of the more than one layer is formed on the conductive material structure, and more than one A part of the layer is formed on the dielectric material constituting the dielectric stack; Etching the dielectric stack to form a trench, the trench being aligned with the conductive material structure; Further etching the dielectric stack to widen the upper area of the trench, so that the trench has an upper area with a wider diameter and a lower area with a narrower diameter; Depositing aluminum into the trench in a single deposition stage, so that when the single deposition stage is performed, the lower region and the upper region are filled with aluminum, wherein the deposition is performed so that the aluminum overfills the trench; and Flatten the over-filled part of the aluminum, so that the top surface of the optical structure with the dielectric stack at the intermediate stage of the planarization has an atomically smooth flat top surface, and the flat top surface is interposed by the top surface. The dielectric material of the electrical stack and the aluminum composition. 如請求項13所述之方法,其中該方法包括:在該平坦化之後延伸該介電堆疊的高度以及將光學元件整體地製造在該介電堆疊內,該光學元件具有高於該平坦的頂部表面之高度的底部高度。The method of claim 13, wherein the method comprises: extending the height of the dielectric stack after the planarization and manufacturing an optical element integrally in the dielectric stack, the optical element having a top that is higher than the flat The height of the surface is the height of the bottom. 如請求項13所述之方法,其中該方法包括:在該平坦化之後延伸該介電堆疊的高度以及將光學元件製造在該介電堆疊內,該光學元件具有高於該平坦的頂部表面之高度的底部高度,其中所述的製造該光學元件包括:沉積且平坦化一個以上的介電層在該平坦的頂部表面上,沉積波導材料層在一個以上的該介電層之上,圖案化該波導材料層而構成該光學元件,以及沉積且平坦化一個以上的該介電層在該波導材料層之上。The method of claim 13, wherein the method comprises: extending the height of the dielectric stack after the planarization and manufacturing an optical element in the dielectric stack, the optical element having a height higher than the flat top surface Height of the bottom height, wherein the manufacturing of the optical element includes: depositing and planarizing more than one dielectric layer on the flat top surface, depositing a waveguide material layer on the more than one dielectric layer, and patterning The waveguide material layer constitutes the optical element, and more than one dielectric layer is deposited and planarized on the waveguide material layer. 如請求項13所述之方法,其中所述的沉積一個以上的該層包括:沉積由波導材料形成的層,其中該方法包括:圖案化該波導材料而構成據有高度範圍的波導,該高度範圍包括:在該溝槽頂部高度與該溝槽底部高度之間的高度。The method according to claim 13, wherein the depositing more than one layer includes: depositing a layer formed of a waveguide material, wherein the method includes: patterning the waveguide material to form a waveguide having a height range, the height The range includes: the height between the height of the top of the trench and the height of the bottom of the trench. 如請求項13所述之方法,其中所述的蝕刻該介電堆疊而構成該溝槽包括:蝕刻穿過阻擋層,該阻擋層形成於銅金屬化層上。The method of claim 13, wherein the etching the dielectric stack to form the trench includes etching through a barrier layer, the barrier layer being formed on a copper metallization layer. 一種方法,包含: 圖案化第一層而構成一個以上的光學元件; 執行離子植入而在該第一層構成一個以上的離子植入區域; 沉積一個以上的介電材料層在該第一層之上; 蝕刻一個以上的該介電材料層而在一個以上的該介電材料層構成一個以上的溝槽,而使一個以上的溝槽的第一個該溝槽的底部對齊於一個以上的該離子植入區域中的特定的該離子植入區域;以及 填充一個以上的該溝槽,其中所述的填充包括:以傳導材料填充第一個該溝槽,而使該傳導材料電連接於特定的該離子植入區域,以及其中該傳導材料包括:鋁。A method that includes: Patterning the first layer to form more than one optical element; Performing ion implantation to form more than one ion implantation area on the first layer; Depositing more than one dielectric material layer on the first layer; More than one dielectric material layer is etched to form more than one trench in more than one dielectric material layer, so that the bottom of the first trench of more than one trench is aligned with more than one ion implantation. The specific ion implantation area in the entrance area; and Filling more than one of the trenches, wherein the filling includes: filling the first trench with a conductive material, so that the conductive material is electrically connected to the specific ion implantation region, and wherein the conductive material includes: aluminum . 如請求項18所述之方法,其中所述的圖案化第一層而構成一個以上的光學元件包括:圖案化該第一層而構成第一光學元件及第二光學元件,其中所述的蝕刻一個以上的該介電材料層而構成一個以上的溝槽包括:蝕刻一個以上的該介電材料層而構成第一溝槽及第二溝槽,以及其中所述的填充包括:填充該第一溝槽以及填充該第二溝槽,該第一溝槽對齊於該第一光學元件的離子植入區域,該第二溝槽對齊於該第二光學元件的離子植入區域,其中所述的填充該第二溝槽包括:以由鎢及銅所組成之群組中所選擇的材料填充該第二溝槽。The method according to claim 18, wherein the patterning of the first layer to form more than one optical element comprises: patterning the first layer to form the first optical element and the second optical element, wherein the etching More than one layer of the dielectric material to form more than one trench includes: etching more than one layer of the dielectric material to form a first trench and a second trench, and the filling includes: filling the first trench A trench and filling the second trench, the first trench is aligned with the ion implantation area of the first optical element, and the second trench is aligned with the ion implantation area of the second optical element, wherein the Filling the second trench includes: filling the second trench with a material selected from the group consisting of tungsten and copper. 如請求項18所述之方法,其中所述的圖案化第一層而構成一個以上的光學元件包括:圖案化第一層而構成第一光學元件,其中所述的圖案化第一層而構成一個以上的光學元件包括:圖案化該第一層而構成第一光學元件以及第二光學元件,其中所述的蝕刻一個以上的該介電材料層而構成一個以上的溝槽包括:蝕刻一個以上的該介電材料層而構成第一溝槽以及第二溝槽,以及其中所述的填充包括:填充該第一溝槽以及填充該第二溝槽,該第一溝槽對齊於該第一光學元件的離子植入區域,該第二溝槽對齊於該第二光學元件的離子植入區域,其中所述的填充該第二溝槽包括:以由鎢及銅所組成之群組中所選擇的材料填充該第二溝槽,該第一光學元件為光感測器,該第二光學元件為調變器。The method according to claim 18, wherein the patterning of the first layer to form more than one optical element comprises: patterning the first layer to form a first optical element, wherein the patterning of the first layer forms More than one optical element includes: patterning the first layer to form a first optical element and a second optical element, wherein the etching more than one layer of the dielectric material to form more than one trench includes: etching more than one The first trench and the second trench are formed by the dielectric material layer, and the filling includes: filling the first trench and filling the second trench, the first trench being aligned with the first trench The ion implantation area of the optical element, the second trench is aligned with the ion implantation area of the second optical element, wherein the filling of the second trench includes: using a group consisting of tungsten and copper The selected material fills the second groove, the first optical element is a light sensor, and the second optical element is a modulator. 如請求項18所述之方法,其中所述的圖案化第一層而構成一個以上的光學元件包括:圖案化第一層而構成第一光學元件,其中所述的蝕刻一個以上的該介電材料層而構成一個以上的溝槽包括:蝕刻一個以上的該介電材料層而構成第一溝槽及第二溝槽,以及其中所述的填充包括:填充該第一溝槽以及填充該第二溝槽,該第一溝槽對齊於該第一光學元件的離子植入區域,該第二溝槽對齊於該第一光學元件的第二離子植入區域,其中所述的填充該第二溝槽包括:以由鎢及銅所組成之群組中所選擇的材料填充該第二溝槽。The method according to claim 18, wherein the patterning of the first layer to form more than one optical element includes: patterning the first layer to form the first optical element, wherein the etching of more than one dielectric The formation of more than one trench by the material layer includes: etching more than one layer of the dielectric material to form the first trench and the second trench, and the filling includes: filling the first trench and filling the second trench. Two grooves, the first groove is aligned with the ion implantation area of the first optical element, the second groove is aligned with the second ion implantation area of the first optical element, and the second groove is filled with The trench includes: filling the second trench with a material selected from the group consisting of tungsten and copper. 如請求項18所述之方法,其中所述的圖案化第一層而構成一個以上的光學元件包括:圖案化第一層而構成第一光學元件,其中所述的蝕刻一個以上的該介電材料層而構成一個以上的溝槽包括:蝕刻一個以上的該介電材料層而構成第一溝槽以及第二溝槽,以及其中所述的填充包括:填充該第一溝槽以及填充該第二溝槽,該第一溝槽對齊於該第一光學元件的離子植入區域,該第二溝槽對齊於該第一光學元件的第二離子植入區域,其中所述的填充該第二溝槽包括:以由鎢及銅所組成之群組中所選擇的材料填充該第二溝槽,其中該第一光學元件為由光感測器及調變器所組成之群組中所選擇的光學元件。The method according to claim 18, wherein the patterning of the first layer to form more than one optical element includes: patterning the first layer to form the first optical element, wherein the etching of more than one dielectric The formation of one or more trenches by a material layer includes: etching more than one dielectric material layer to form a first trench and a second trench, and the filling includes: filling the first trench and filling the second trench. Two grooves, the first groove is aligned with the ion implantation area of the first optical element, the second groove is aligned with the second ion implantation area of the first optical element, and the second groove is filled with The trench includes: filling the second trench with a material selected from the group consisting of tungsten and copper, wherein the first optical element is selected from the group consisting of a light sensor and a modulator Optical components. 如請求項18所述之方法,其中該第一層是預先製造之絕緣層上矽(SOI)晶圓的矽層,該絕緣層上矽(SOI)晶圓具有基板、絕緣層、以及該矽層。The method of claim 18, wherein the first layer is a silicon layer of a pre-manufactured silicon-on-insulation (SOI) wafer, and the silicon-on-insulation (SOI) wafer has a substrate, an insulating layer, and the silicon Floor. 如請求項18所述之方法,其中填充於該第一溝槽的該傳導材料構成包含鋁之傳導材料構造,其中該方法包括:製造金屬化層構造在該傳導材料構造之上且對齊於該傳導材料構造,該金屬化層構造包含銅且電連接於該傳導材料構造。The method according to claim 18, wherein the conductive material filled in the first trench constitutes a conductive material structure including aluminum, wherein the method includes: manufacturing a metallization layer structure on the conductive material structure and aligned with the conductive material structure A conductive material structure, and the metallization layer structure includes copper and is electrically connected to the conductive material structure. 如請求項18所述之方法,其中填充於該第一溝槽的該傳導材料構成包含鋁之傳導材料構造,其中該方法包括:製造金屬化層構造在該傳導材料構造之上且對齊於該傳導材料構造,該金屬化層構造包含銅且電連接於該傳導材料構造,以及其中該方法包括:在高於該金屬化層構造之高度的高度處製造接點金屬化層構造,該接點金屬化層構造由鋁形成且電連接於該傳導材料構造以及該金屬化層構造。The method according to claim 18, wherein the conductive material filled in the first trench constitutes a conductive material structure including aluminum, wherein the method includes: manufacturing a metallization layer structure on the conductive material structure and aligned with the conductive material structure A conductive material structure, the metalized layer structure includes copper and is electrically connected to the conductive material structure, and wherein the method includes: manufacturing a contact metalized layer structure at a height higher than the height of the metalized layer structure, the contact The metallization layer structure is formed of aluminum and is electrically connected to the conductive material structure and the metallization layer structure. 一種方法,包含: 圖案化波導層而構成光學元件,該波導層由波導材料形成; 沉積介電層在該光學元件上; 對於該介電層進行化學機械平坦化而減低該介電層的高度,且對於該介電層進行化學機械研磨,而使該介電層構成原子光滑的表面; 沉積第二介電層在該原子光滑的表面上; 對於該第二介電層進行化學機械平坦化而減低該第二介電層的高度,且對於該第二介電層進行化學機械研磨,而使該第二介電層構成原子光滑的介電表面; 沉積第二波導層在該原子光滑的介電表面之上;以及 圖案化該第二波導層而構成第二光學元件。A method that includes: Patterning the waveguide layer to form an optical element, and the waveguide layer is formed of a waveguide material; Depositing a dielectric layer on the optical element; Performing chemical mechanical planarization on the dielectric layer to reduce the height of the dielectric layer, and performing chemical mechanical polishing on the dielectric layer so that the dielectric layer forms an atomically smooth surface; Depositing a second dielectric layer on the atomically smooth surface; Perform chemical mechanical planarization on the second dielectric layer to reduce the height of the second dielectric layer, and perform chemical mechanical polishing on the second dielectric layer to make the second dielectric layer constitute an atomically smooth dielectric surface; Depositing a second waveguide layer on the atomically smooth dielectric surface; and The second waveguide layer is patterned to form a second optical element. 如請求項26所述之方法,其中所述的圖案化該波導層包括:圖案化該波導層而構成複數個假形式外形,複數個該假形式外形與該光學元件間隔設置且具有與該光學元件相同的高度。The method according to claim 26, wherein the patterning of the waveguide layer comprises: patterning the waveguide layer to form a plurality of pseudo-form shapes, and the plurality of pseudo-form shapes are spaced apart from the optical element and have a distance from the optical element. The elements are the same height. 如請求項26所述之方法,其中所述的圖案化該波導層包括:執行非等向性蝕刻,而使該光學元件具有垂直延伸的側壁。The method according to claim 26, wherein the patterning of the waveguide layer includes: performing anisotropic etching so that the optical element has vertically extending sidewalls. 如請求項26所述之方法,其中所述的圖案化該波導層包括:執行反應離子蝕刻處理,該反應離子蝕刻處理包含疊代蝕刻步驟,其之後是疊代沉積步驟,而使該光學元件具有垂直延伸的側壁。The method according to claim 26, wherein the patterning of the waveguide layer comprises: performing a reactive ion etching process, the reactive ion etching process includes an iterative etching step, followed by an iterative deposition step, so that the optical element It has vertically extending side walls. 如請求項26所述之方法,其中所述的圖案化該波導層包括:圖案化該波導層而構成複數個假形式外形,複數個該假形式外形與該光學元件間隔設置且具有與該光學元件相同的高度,其中所述的圖案化該波導層包括:執行反應離子蝕刻處理,該反應離子蝕刻處理包含疊代蝕刻步驟,其之後是疊代沉積步驟,而使該光學元件具有垂直延伸的側壁。The method according to claim 26, wherein the patterning of the waveguide layer comprises: patterning the waveguide layer to form a plurality of pseudo-form shapes, and the plurality of pseudo-form shapes are spaced apart from the optical element and have a distance from the optical element. The same height of the element, wherein the patterning of the waveguide layer includes: performing a reactive ion etching process, the reactive ion etching process includes an iterative etching step, followed by an iterative deposition step, so that the optical element has a vertically extending Side wall. 如請求項26所述之方法,其中所述的沉積該介電層在該光學元件之上包括:使用矽烷基高密度電漿化學氣相沉積,而使該介電層由矽烷基高密度電漿氧化物形成,以及其中所述的沉積該第二介電層在該原子光滑的表面之上包括:使用電漿輔助化學氣相沉積,而使該介電層由四乙氧基矽烷形成。The method according to claim 26, wherein the depositing the dielectric layer on the optical element comprises: using silanyl high-density plasma chemical vapor deposition, and making the dielectric layer composed of silyl high-density plasma The formation of a slurry oxide, and the deposition of the second dielectric layer on the atomically smooth surface includes: using plasma-assisted chemical vapor deposition, and the dielectric layer is formed of tetraethoxysilane. 如請求項26所述之方法,其中該方法包括:沉積第三介電層在該第二介電層上;對於該第三介電層進行化學機械平坦化而減低該介電層的高度,且對於該第三介電層進行化學機械研磨,而使該介電層構成經研磨表面;沉積第四介電層在該經研磨表面上;對於該第四介電層進行化學機械平坦化而減低該第四介電層的高度,且對於該第二介電層進行化學機械研磨,而使該第四介電層構成經研磨的介電表面;沉積第三波導層在該經研磨的介電表面之上;以及圖案化該第三波導層而構成第三光學元件,其中所述的沉積該介電層在該光學元件上包括:使用矽烷基高密度電漿化學氣相沉積,而使該介電層由矽烷基高密度電漿氧化物形成,以及其中所述的沉積該第三介電層在該第二介電層上包括:使用電漿輔助化學氣相沉積,而使該第二介電層由四乙氧基矽烷形成。The method according to claim 26, wherein the method comprises: depositing a third dielectric layer on the second dielectric layer; performing chemical mechanical planarization on the third dielectric layer to reduce the height of the dielectric layer, And chemical mechanical polishing is performed on the third dielectric layer so that the dielectric layer constitutes a polished surface; a fourth dielectric layer is deposited on the polished surface; chemical mechanical planarization is performed on the fourth dielectric layer Reduce the height of the fourth dielectric layer, and perform chemical mechanical polishing on the second dielectric layer so that the fourth dielectric layer constitutes a polished dielectric surface; deposit a third waveguide layer on the polished dielectric On the electrical surface; and patterning the third waveguide layer to form a third optical element, wherein said depositing the dielectric layer on the optical element includes: using silyl high-density plasma chemical vapor deposition to make The dielectric layer is formed of silane-based high-density plasma oxide, and the deposition of the third dielectric layer on the second dielectric layer includes: using plasma-assisted chemical vapor deposition to make the first The second dielectric layer is formed of tetraethoxysilane. 如請求項26所述之方法,其中該沉積該介電層在該光學元件之上包括:使用第一介電層的成形處理,以及其中所述的沉積該第二介電層在該原子光滑的表面之上包括:使用第二介電層的成形處理。The method of claim 26, wherein the depositing the dielectric layer on the optical element comprises: using a forming process of a first dielectric layer, and wherein the depositing the second dielectric layer is atomically smooth On the surface includes: the use of a second dielectric layer forming process. 如請求項26所述之方法,其中該方法包括:沉積第三介電層在該第二介電層上;對於該第三介電層進行化學機械平坦化而減低該介電層的高度,且對於該第三介電層進行化學機械研磨,而使該第三介電層構成經研磨表面;沉積第四介電層在該經研磨表面上;對於該第四介電層進行化學機械平坦化而減低該第四介電層的高度,且對於該第二介電層進行化學機械研磨,而使該第四介電層構成經研磨的介電表面;沉積第三波導層在該經研磨的介電表面之上;以及圖案化該第三波導層而構成第三光學元件,其中所述的沉積該介電層在該光學元件之上包括:使用第一介電材料的成形處理,以及其中所述的沉積該第三介電層在該第二介電層上包括:使用第二介電材料的成形處理。The method according to claim 26, wherein the method comprises: depositing a third dielectric layer on the second dielectric layer; performing chemical mechanical planarization on the third dielectric layer to reduce the height of the dielectric layer, And chemical mechanical polishing is performed on the third dielectric layer so that the third dielectric layer constitutes a polished surface; a fourth dielectric layer is deposited on the polished surface; chemical mechanical flattening is performed on the fourth dielectric layer Reduce the height of the fourth dielectric layer, and perform chemical mechanical polishing on the second dielectric layer, so that the fourth dielectric layer constitutes a polished dielectric surface; deposit a third waveguide layer on the polished And patterning the third waveguide layer to form a third optical element, wherein the depositing the dielectric layer on the optical element includes: a forming process using a first dielectric material, and Wherein, depositing the third dielectric layer on the second dielectric layer includes: a forming process using a second dielectric material.
TW109112443A 2019-06-18 2020-04-14 Fabricating photonics structure conductive pathways TW202107621A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP20771374.4A EP3987578A2 (en) 2019-06-18 2020-04-16 Fabricating photonics structure conductive pathways
JP2021574804A JP2022536793A (en) 2019-06-18 2020-04-16 Fabrication of conductive pathways in photonic structures
KR1020227001861A KR20220086548A (en) 2019-06-18 2020-04-16 Photonic Structure Conductive Light Path Fabrication
US17/596,775 US20220229228A1 (en) 2019-06-18 2020-04-16 Fabricating photonics structure conductive pathways
PCT/US2020/028418 WO2020256819A2 (en) 2019-06-18 2020-04-16 Fabricating photonics structure conductive pathways

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962862825P 2019-06-18 2019-06-18
US62/862,825 2019-06-18

Publications (1)

Publication Number Publication Date
TW202107621A true TW202107621A (en) 2021-02-16

Family

ID=75745289

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109112443A TW202107621A (en) 2019-06-18 2020-04-14 Fabricating photonics structure conductive pathways

Country Status (1)

Country Link
TW (1) TW202107621A (en)

Similar Documents

Publication Publication Date Title
US10830952B2 (en) Integrated photonics including germanium
US10816724B2 (en) Fabricating photonics structure light signal transmission regions
US7999344B2 (en) Optoelectronic device with germanium photodetector
US6667528B2 (en) Semiconductor-on-insulator lateral p-i-n photodetector with a reflecting mirror and backside contact and method for forming the same
US7279396B2 (en) Methods of forming trench isolation regions with nitride liner
US8436440B2 (en) Method for forming a back-side illuminated image sensor
TWI709760B (en) Image sensor device and method
US10121927B2 (en) Semiconductor device and method of manufacturing the same
WO2007027615A1 (en) Ridge technique for fabricating an optical detector and an optical waveguide
TWI739664B (en) Photonic device, method for making the same, and imaging device
CN113053936A (en) Image sensor and forming method thereof
US20220229228A1 (en) Fabricating photonics structure conductive pathways
TW202107621A (en) Fabricating photonics structure conductive pathways
TW202201626A (en) Optical waveguide apparatus, semiconductor structure and method of fabrication thereof
US20070235877A1 (en) Integration scheme for semiconductor photodetectors on an integrated circuit chip
CN114063322B (en) Semiconductor structure and forming method thereof
CN117766606A (en) Photoelectric detector, preparation method thereof and photoelectric communication device
WO2022010644A1 (en) Air-gap encapsulation of nanostructured optical devices
TW202230823A (en) Optoelectronic device and method of forming the same
TW202037953A (en) Photonics optoelectrical system