TW202103241A - Semiconductor device and method of fabricating the same - Google Patents

Semiconductor device and method of fabricating the same Download PDF

Info

Publication number
TW202103241A
TW202103241A TW108124716A TW108124716A TW202103241A TW 202103241 A TW202103241 A TW 202103241A TW 108124716 A TW108124716 A TW 108124716A TW 108124716 A TW108124716 A TW 108124716A TW 202103241 A TW202103241 A TW 202103241A
Authority
TW
Taiwan
Prior art keywords
sealing ring
layer
width
conductor
seal ring
Prior art date
Application number
TW108124716A
Other languages
Chinese (zh)
Other versions
TWI696227B (en
Inventor
蔡耀庭
陳江宏
莊哲輔
洪文
Original Assignee
華邦電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 華邦電子股份有限公司 filed Critical 華邦電子股份有限公司
Priority to TW108124716A priority Critical patent/TWI696227B/en
Application granted granted Critical
Publication of TWI696227B publication Critical patent/TWI696227B/en
Publication of TW202103241A publication Critical patent/TW202103241A/en

Links

Images

Abstract

Provided is a method of fabricating a semiconductor device, including the following steps. A first seal ring and a second seal ring that are separated from each other are formed over the substrate. A protective layer is formed on the substrate covering the first seal ring and the second seal ring. The protective layer between the first seal ring and the second seal ring has a concave surface. The protective layer at the concave surface and a portion of the protective layer on the first seal ring are removed to form a spacer on a sidewall of the first seal ring, and form an opening in the protective layer. The opening has a width greater than a width of the first seal ring, and the opening exposes a top surface of the first seal ring and the spacer.

Description

半導體元件及其製造方法Semiconductor element and its manufacturing method

本發明是有關於一種積體電路及其製造方法,且特別是有關於一種半導體元件及其製造方法。The present invention relates to an integrated circuit and a manufacturing method thereof, and particularly relates to a semiconductor element and a manufacturing method thereof.

隨著科技的進步,各類電子產品皆朝向高速、高效能、且輕薄短小的趨勢發展。如何能有效地利用晶片面積,提升良率是目前非常重要的課題。With the advancement of technology, all kinds of electronic products are developing towards high-speed, high-efficiency, and light, thin, short and small trends. How to effectively use the chip area and improve the yield is a very important topic at present.

晶圓在切割時因切割鋸片的應力可能會產生裂痕,因此,在晶片周圍通常會形成密封環,以避免裂痕延伸到晶片區而損壞到內部電路,進而造成良率的損失。然而,密封環或密封環與晶片區之間的區域可能會佔用過多的晶片面積。During wafer dicing, cracks may occur due to the stress of the dicing saw blade. Therefore, a sealing ring is usually formed around the wafer to prevent the cracks from extending to the wafer area and damaging the internal circuits, thereby causing yield loss. However, the seal ring or the area between the seal ring and the wafer area may occupy too much wafer area.

本發明實施例提供一種半導體元件的製造方法,可以避免晶圓在切割時因切割鋸片的應力產生的裂痕問題,利用布局改變使蝕刻製程不會損及下層,並且可以減少密封環所佔用的晶片面積。The embodiment of the present invention provides a method for manufacturing a semiconductor element, which can avoid the problem of cracks caused by the stress of the dicing saw blade during the dicing of the wafer, use layout changes to prevent the etching process from damaging the lower layer, and can reduce the footprint of the sealing ring Wafer area.

本發明實施例提出一種半導體元件的製造方法,包括以下步驟。在基底上形成彼此分離的第一密封環與第二密封環。在所述基底上形成保護層,覆蓋所述第一密封環與所述第二密封環,其中所述第一密封環與所述第二密封環之間的所述保護層具有凹面。移除位於所述凹面處的所述保護層以及所述第一密封環上的部分所述保護層,於所述第一密封環的側壁形成間隙壁,並在所述保護層中形成開口,所述開口的寬度大於所述第一密封環的寬度,且所述開口裸露出所述第一密封環的頂面以及所述間隙壁。The embodiment of the present invention provides a method for manufacturing a semiconductor device, which includes the following steps. A first seal ring and a second seal ring separated from each other are formed on the substrate. A protective layer is formed on the substrate to cover the first seal ring and the second seal ring, wherein the protective layer between the first seal ring and the second seal ring has a concave surface. Removing the protective layer at the concave surface and part of the protective layer on the first sealing ring, forming a gap wall on the side wall of the first sealing ring, and forming an opening in the protective layer, The width of the opening is greater than the width of the first sealing ring, and the opening exposes the top surface of the first sealing ring and the gap wall.

本發明實施例提出一種半導體元件,包括第一密封環、第二密封環、間隙壁與保護層。第一密封環與第二密封環彼此分離地設置在基底上。間隙壁設置於所述第一密封環的第一側壁。保護層設置在所述基底上,覆蓋所述第一密封環的第二側壁與所述第二密封環。所述保護層具有開口,裸露出所述第一密封環的頂面與所述間隙壁。The embodiment of the present invention provides a semiconductor element, which includes a first sealing ring, a second sealing ring, a gap wall, and a protective layer. The first sealing ring and the second sealing ring are separately provided on the substrate. The gap wall is arranged on the first side wall of the first sealing ring. The protective layer is arranged on the substrate and covers the second side wall of the first sealing ring and the second sealing ring. The protective layer has an opening, which exposes the top surface of the first sealing ring and the gap wall.

基於上述,第一密封環的寬度小,並且第一密封環與第二密封環之間的間距小,因此可以減少密封環佔用的晶片面積。開口(即頂介層窗開口(top via,TV))的寬度大,有助於提升後續於開口中形成之膜層的階梯覆蓋性。Based on the above, the width of the first seal ring is small, and the distance between the first seal ring and the second seal ring is small, so the chip area occupied by the seal ring can be reduced. The opening (that is, the top via (TV)) has a large width, which helps to improve the step coverage of the film layer subsequently formed in the opening.

為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。In order to make the above-mentioned features and advantages of the present invention more comprehensible, the following specific embodiments are described in detail in conjunction with the accompanying drawings.

請參照圖1A,提供基底10。基底10可為半導體基底10。基底10可包括晶片區CR、密封環區SR與切割區SL。晶片區CR可用於形成電子元件。切割區SL環繞於晶片區CR周圍。在後續進行單體化步驟時,可沿切割區SL進行切割。密封環區SR位於晶片區CR與切割區SL之間。密封環區SR中可以形成密封環,在後續進行單體化步驟時,可以阻擋切割晶圓所產生的裂紋擴及晶片區CR,而損壞晶片區CR之中的電子元件。1A, a substrate 10 is provided. The substrate 10 may be a semiconductor substrate 10. The substrate 10 may include a wafer area CR, a seal ring area SR, and a dicing area SL. The wafer area CR can be used to form electronic components. The dicing area SL surrounds the wafer area CR. In the subsequent singulation step, cutting can be performed along the cutting area SL. The seal ring area SR is located between the wafer area CR and the dicing area SL. A seal ring can be formed in the seal ring region SR, which can prevent cracks generated by the cutting of the wafer from extending to the chip region CR during the subsequent singulation step, thereby damaging the electronic components in the chip region CR.

晶片區CR以及密封環區SR中形成多個隔離結構ST1、ST2。隔離結構例如是淺溝渠隔離結構。A plurality of isolation structures ST1 and ST2 are formed in the wafer region CR and the seal ring region SR. The isolation structure is, for example, a shallow trench isolation structure.

第一隔離結構ST1的寬度WS1大於第二隔離結構ST2的寬度WS2。在密封環區SR中的第一隔離結構ST1將密封環區SR分隔出第一區R1與第二區R2。第一區R1比第二區R2更接近切割區SL。第二區R2比第一區R1更接近晶片區CR。第二區R2介於第一隔離結構ST1與第二隔離結構ST2之間。在一些實施例中,第一區R1的寬度WR1小於第二區R2的寬度WR2,且第二區R2的寬度WR2小於第一隔離結構ST1的寬度WS1。第一區R1的寬度WR1例如是第二區R2的寬度WR2的1/2至2/3,第一隔離結構ST1的寬度WS1例如是第二區R2的寬度WR2的1.5倍至2.5倍。舉例來說,密封環區SR的寬度例如是4.5μm,其中第一隔離結構ST1的寬度WS1例如是2μm、第一區R1的寬度WR1例如是1μm,第二區R2的寬度WR2例如是1.5μm。The width WS1 of the first isolation structure ST1 is greater than the width WS2 of the second isolation structure ST2. The first isolation structure ST1 in the seal ring area SR separates the seal ring area SR into a first area R1 and a second area R2. The first region R1 is closer to the cutting region SL than the second region R2. The second region R2 is closer to the wafer region CR than the first region R1. The second region R2 is between the first isolation structure ST1 and the second isolation structure ST2. In some embodiments, the width WR1 of the first region R1 is smaller than the width WR2 of the second region R2, and the width WR2 of the second region R2 is smaller than the width WS1 of the first isolation structure ST1. The width WR1 of the first region R1 is, for example, 1/2 to 2/3 of the width WR2 of the second region R2, and the width WS1 of the first isolation structure ST1 is, for example, 1.5 to 2.5 times the width WR2 of the second region R2. For example, the width of the seal ring region SR is, for example, 4.5 μm, the width WS1 of the first isolation structure ST1 is, for example, 2 μm, the width WR1 of the first region R1 is, for example, 1 μm, and the width WR2 of the second region R2 is, for example, 1.5 μm. .

請參照圖1A,在第一區R1中形成第一摻雜區D1,並在第二區R2中形成第二摻雜區D2。第一摻雜區D1和第二摻雜區D2可以具有相同的導電型與相同的摻雜濃度。第一摻雜區D1和第二摻雜區D2可與基底10具有相同的導電型,但第一摻雜區D1和第二摻雜區D2的摻雜濃度大於基底10的摻雜濃度。第一摻雜區D1與第二摻雜區D2可以利用同一個離子植入製程形成。1A, a first doped region D1 is formed in the first region R1, and a second doped region D2 is formed in the second region R2. The first doped region D1 and the second doped region D2 may have the same conductivity type and the same doping concentration. The first doped region D1 and the second doped region D2 may have the same conductivity type as the substrate 10, but the doping concentration of the first doped region D1 and the second doped region D2 is greater than the doping concentration of the substrate 10. The first doped region D1 and the second doped region D2 can be formed by the same ion implantation process.

請參照圖1D,在基底10上形成介電結構18以及金屬化結構MT。介電結構18位於基底10的晶片區CR、密封環區SR與切割區SL上。介電結構18包括內層介電層(ILD)12與金屬層間介電層(IMD)14、16。在本實施例中,層間介電層16是頂層層間介電層,層間介電層14位於頂層層間介電層16與內層介電層12之間,並與其二者物理性接觸,內層介電層12位於金屬層間介電層與基底10之間。然而,在其他的實施例中,頂層層間介電層16與層間介電層14之間可以插入一層以上的層間介電層。內層介電層12與金屬層間介電層14、16可以分別是單層或是多層。內層介電層12與金屬層間介電層的材料可以相同或是不同。內層介電層12與金屬層間介電層的材料包括氧化矽、氮化矽、氮氧化矽以及低介電常數材料。低介電常數材料的介電常數(k值)可以低於3.0,甚至低於約2.5,因此低介電常數材料也可稱為極低介電常數(ELK)材料。內層介電層12與金屬層間介電層14、16可以是以化學機械研磨法或是回蝕刻法平坦化的平坦層。1D, a dielectric structure 18 and a metallization structure MT are formed on the substrate 10. The dielectric structure 18 is located on the chip area CR, the seal ring area SR and the cutting area SL of the substrate 10. The dielectric structure 18 includes an inner dielectric layer (ILD) 12 and an inter-metal dielectric layer (IMD) 14, 16. In this embodiment, the interlayer dielectric layer 16 is the top interlayer dielectric layer, and the interlayer dielectric layer 14 is located between the top interlayer dielectric layer 16 and the inner dielectric layer 12, and is in physical contact with both of them. The dielectric layer 12 is located between the inter-metal dielectric layer and the substrate 10. However, in other embodiments, more than one interlayer dielectric layer may be inserted between the top interlayer dielectric layer 16 and the interlayer dielectric layer 14. The inner dielectric layer 12 and the inter-metal dielectric layers 14, 16 may be single layer or multiple layers, respectively. The materials of the inner dielectric layer 12 and the inter-metal dielectric layer may be the same or different. The materials of the inner dielectric layer 12 and the metal interlayer dielectric layer include silicon oxide, silicon nitride, silicon oxynitride, and low dielectric constant materials. The dielectric constant (k value) of the low dielectric constant material can be lower than 3.0, even lower than about 2.5, so the low dielectric constant material can also be called an extremely low dielectric constant (ELK) material. The inner dielectric layer 12 and the inter-metal dielectric layers 14, 16 can be planarized layers planarized by a chemical mechanical polishing method or an etch-back method.

金屬化結構MT形成於介電結構18之中,且有一部分的金屬化結構MT形成於介電結構18之上。金屬化結構MT包括金屬內連線(未示出)、第一密封環SR1(或稱為外環)與第二密封環SR2(或稱為內環)。金屬內連線位於晶片區CR。第一密封環SR1與第二密封環SR2分別位於密封環區SR的第一區R1與第二區R2之中。第一密封環SR1與第二密封環SR2分別與第一摻雜區D1以及第二摻雜區D2電性連接,進而接地,使切割過程中產生的靜電不會過度集中在第一密封環SR1與第二密封環SR2上,以避免產生靜電放電(ESD)現象而損壞晶片。The metallization structure MT is formed in the dielectric structure 18, and a part of the metallization structure MT is formed on the dielectric structure 18. The metallized structure MT includes a metal inner wire (not shown), a first seal ring SR1 (or called an outer ring), and a second seal ring SR2 (or called an inner ring). The metal interconnection is located in the chip area CR. The first seal ring SR1 and the second seal ring SR2 are respectively located in the first region R1 and the second region R2 of the seal ring region SR. The first seal ring SR1 and the second seal ring SR2 are respectively electrically connected to the first doped region D1 and the second doped region D2, and then grounded, so that the static electricity generated during the cutting process will not be excessively concentrated on the first seal ring SR1 And the second sealing ring SR2 to avoid electrostatic discharge (ESD) phenomenon and damage the chip.

金屬化結構MT的金屬內連線、第一密封環SR1與第二密封環SR2可以分別包括多層導體層(導線)110、120、130、210、220、230與多個導體插塞102、104、112、122、202a、202b、204a、204b、212a、212b、222a、222b。導體層與導體插塞的材料可以包括金屬、金屬合金、金屬氮化物,例如是鎢、鋁、銅、鉭、鈦、氮化鉭、氮化鈦等導電材料。The metal interconnection of the metallization structure MT, the first sealing ring SR1 and the second sealing ring SR2 may respectively include multiple conductor layers (wires) 110, 120, 130, 210, 220, 230 and a plurality of conductor plugs 102, 104 , 112, 122, 202a, 202b, 204a, 204b, 212a, 212b, 222a, 222b. The materials of the conductor layer and the conductor plug may include metals, metal alloys, and metal nitrides, such as conductive materials such as tungsten, aluminum, copper, tantalum, titanium, tantalum nitride, and titanium nitride.

在圖1A至1F中,第一層導體層110與導體層120或第一層導體層210與導體層220彼此上下相鄰。然而,本發明不以此為限。在其他的實施例中,在第一層導體層110與導體層120之間,或是第一層導體層210與導體層220之間可以插入一層以上的導體層以及一個或多個導體插塞。In FIGS. 1A to 1F, the first conductive layer 110 and the conductive layer 120 or the first conductive layer 210 and the conductive layer 220 are adjacent to each other up and down. However, the present invention is not limited to this. In other embodiments, more than one conductor layer and one or more conductor plugs can be inserted between the first conductor layer 110 and the conductor layer 120, or between the first conductor layer 210 and the conductor layer 220. .

導體層110、120、210、220設置在介電層12、14中,導體層130、230設置在介電層16上,與基底10的表面(例如是XY平面)大致平行。多個導體插塞102、104、112、122、202a、202b、204a、204b、212a、212b、222a、222b設置在介電層12、14、16中,縱向(例如是Z方向)連接基底10與第一層導體層110、210,或連接導體層110、120、130、210、220、230中上下相鄰的兩層導體層。第一密封環SR1與第二密封環SR2的各個導體層110、120、130以及各個導體插塞102、104、112、122呈環狀,以環繞晶片區CR的邊緣。同樣地,第二密封環SR2的各個導體層210、220、230以及各個導體插塞202a、202b、204a、204b、212a、212b、222a、222b呈環狀,以環繞晶片區CR的邊緣,如圖2所示。The conductor layers 110, 120, 210, and 220 are arranged in the dielectric layers 12 and 14, and the conductor layers 130 and 230 are arranged on the dielectric layer 16 and are substantially parallel to the surface of the substrate 10 (for example, the XY plane). A plurality of conductor plugs 102, 104, 112, 122, 202a, 202b, 204a, 204b, 212a, 212b, 222a, 222b are arranged in the dielectric layer 12, 14, 16 and connected to the substrate 10 in the longitudinal direction (for example, in the Z direction) It is connected to the first layer of conductor layers 110, 210, or the two layers of conductor layers adjacent to each other in the upper and lower conductor layers 110, 120, 130, 210, 220, and 230. The respective conductor layers 110, 120, 130 and the respective conductor plugs 102, 104, 112, 122 of the first seal ring SR1 and the second seal ring SR2 are ring-shaped to surround the edge of the chip region CR. Similarly, the conductor layers 210, 220, 230 and the conductor plugs 202a, 202b, 204a, 204b, 212a, 212b, 222a, and 222b of the second seal ring SR2 are ring-shaped to surround the edge of the chip region CR, such as As shown in Figure 2.

請參照圖1D,第一密封環SR1與晶片區CR的元件以及金屬內連線電性絕緣。並且,第一密封環SR1藉由介電結構18以及第一隔離結構ST1與第二密封環SR2物理性以及電性分隔。第二密封環SR2可以與晶片區CR的元件以及金屬內連線電性連接或電性絕緣。換言之,第一密封環SR1沒有繞線(routing),而第二密封環SR2可以允許繞線。1D, the first sealing ring SR1 is electrically insulated from the components of the chip area CR and the metal interconnection. In addition, the first seal ring SR1 is physically and electrically separated from the second seal ring SR2 by the dielectric structure 18 and the first isolation structure ST1. The second sealing ring SR2 may be electrically connected to or electrically insulated from the components and metal interconnections in the chip region CR. In other words, the first seal ring SR1 has no routing, and the second seal ring SR2 may allow routing.

金屬內連線、第一密封環SR1與第二密封環SR2的多個導體層的層數可以相同或是相異。舉例來說,金屬內連線、第一密封環SR1與第二密封環SR2分別具有N層導體層,其中N是介於3~8的整數。換言之,第一密封環SR1與第二密封環SR2的第N層導體層是頂層導體層130、230,其設置在頂層層間介電層16上。第一密封環SR1與第二密封環SR2的第N-1層導體層是導體層120、220,其設置在頂層層間介電層16之中。在以下的內容中所提及的第N-2層導體層未繪示在圖1A至1F中。若是N大於或等於4,則的第N-2層導體層可以是指設置在導體層120與第一層導體層110之間的導體層。若是N等於3,則的第N-2層導體層可以是指第一導體層110。The number of layers of the multiple conductor layers of the metal interconnection, the first sealing ring SR1 and the second sealing ring SR2 may be the same or different. For example, the metal interconnection, the first sealing ring SR1 and the second sealing ring SR2 respectively have N layers of conductor layers, where N is an integer between 3-8. In other words, the Nth conductive layers of the first sealing ring SR1 and the second sealing ring SR2 are the top conductor layers 130 and 230, which are disposed on the top interlayer dielectric layer 16. The N-1th conductive layers of the first sealing ring SR1 and the second sealing ring SR2 are the conductive layers 120 and 220, which are disposed in the top interlayer dielectric layer 16. The N-2th conductive layer mentioned in the following content is not shown in FIGS. 1A to 1F. If N is greater than or equal to 4, the N-2th conductor layer may refer to the conductor layer disposed between the conductor layer 120 and the first conductor layer 110. If N is equal to 3, the N-2th conductor layer may refer to the first conductor layer 110.

第一密封環SR1的寬度WSR1小於第二密封環SR2的寬度WSR2。此處,所述的第一密封環SR1的寬度WSR1可是指第N-2層導體層至第一層導體層110的平均寬度,所述的第二密封環SR2的寬度WSR2可以是指第N-2層導體層至第一層導體層210的平均寬度。在本實施例中,第一密封環SR1的導體層110、120、130的寬度W110、W120、W130分別小於在同一高度的第二密封環SR2的導體層210、220、230的寬度W210、W220、W230。舉例來說,第一密封環SR1的第N-2層導體層至第一層導體層110的寬度W110是第二密封環SR2的第N-2層導體層至第一層導體層210的寬度W210的1/2至2/3。The width WSR1 of the first seal ring SR1 is smaller than the width WSR2 of the second seal ring SR2. Here, the width WSR1 of the first seal ring SR1 may refer to the average width from the N-2th conductor layer to the first conductor layer 110, and the width WSR2 of the second seal ring SR2 may refer to the Nth conductor layer. -2 The average width from the conductor layer to the first conductor layer 210. In this embodiment, the widths W110, W120, and W130 of the conductor layers 110, 120, and 130 of the first seal ring SR1 are respectively smaller than the widths W210, W220 of the conductor layers 210, 220, and 230 of the second seal ring SR2 at the same height. , W230. For example, the width W110 from the N-2th conductive layer to the first conductive layer 110 of the first sealing ring SR1 is the width W110 from the N-2th conductive layer to the first conductive layer 210 of the second sealing ring SR2 1/2 to 2/3 of W210.

在第一密封環SR1中,頂層導體層(第N層導體層)130的寬度W130大於或等於第一密封環SR1中所有導體層(第一層導體層至第N-2層導體層)110、120的寬度W110、W120。頂層導體層110下方的第N-1層導體層120的寬度W120小於或等於第N層導體層130的寬度W130,且小於或等於第N-2層導體層或第一層導體層110的寬度W110。第N-2層導體層至第一層導體層110可以具有相同寬度。舉例來說,第N-1層導體層120的寬度W120是第N層導體層130的寬度W130的50%至70%。第N-1層導體層120的寬度W120是第一層導體層110的寬度W110的70%至80%。頂層導體層(第N層導體層)130設置在第一區R1的頂層層間介電層16上。頂層導體層(第N層導體層)130的接近切割區SL的第一側壁SW13L可以大致切齊第一區R1的第一邊界B11。第N層導體層130得接近第二區R2的側壁SW13R可以切齊第一區R1的第二邊界B12。或者,頂層導體層(第N層導體層)130接近第二區R2的側壁SW13R可以超出第一區R1的第二邊界B12,而沿第二區R2的方向延伸,以覆蓋位在部分的第一隔離結構ST1上方的頂層層間介電層16。第N-1層導體層120至第一層導體層110設置在第一區R1的頂層層間介電層層16與間介電層14中。第N-1層導體層120的寬度W120小於第一區R1的寬度。換言之,第N-1層導體層120的頂面被頂層導體層130完全遮蔽,第N-1層導體層120的側壁SW12L與SW12R被位於第一區R1範圍內的頂層層間介電層16覆蓋。第N-2層導體層至第一層導體層110的寬度W110大致等於第一區R1的寬度WR1。第N-2層導體層至第一層導體層110之各層導體層的兩側壁SW11L、SW11R可以分別大致切齊第一區R1的第一邊界B11與第二邊界B12。In the first seal ring SR1, the width W130 of the top conductor layer (the Nth conductor layer) 130 is greater than or equal to all conductor layers in the first seal ring SR1 (the first conductor layer to the N-2th conductor layer) 110 , 120 width W110, W120. The width W120 of the N-1th conductor layer 120 under the top conductor layer 110 is less than or equal to the width W130 of the Nth conductor layer 130 and less than or equal to the width of the N-2th conductor layer or the first conductor layer 110 W110. The N-2th conductor layer to the first conductor layer 110 may have the same width. For example, the width W120 of the N-1th conductive layer 120 is 50% to 70% of the width W130 of the Nth conductive layer 130. The width W120 of the N-1th conductor layer 120 is 70% to 80% of the width W110 of the first conductor layer 110. The top conductor layer (the Nth conductor layer) 130 is disposed on the top interlayer dielectric layer 16 of the first region R1. The first sidewall SW13L of the top conductor layer (the Nth conductor layer) 130 close to the cutting region SL may be substantially aligned with the first boundary B11 of the first region R1. The N-th conductive layer 130 is close to the sidewall SW13R of the second region R2 and can be aligned with the second boundary B12 of the first region R1. Alternatively, the top conductor layer (the Nth conductor layer) 130 close to the sidewall SW13R of the second region R2 may extend beyond the second boundary B12 of the first region R1 and extend along the direction of the second region R2 to cover a portion of the first region R2. A top interlayer dielectric layer 16 above the isolation structure ST1. The N-1th conductive layer 120 to the first conductive layer 110 are disposed in the top interlayer dielectric layer 16 and the interlayer dielectric layer 14 of the first region R1. The width W120 of the N-1th conductive layer 120 is smaller than the width of the first region R1. In other words, the top surface of the N-1th conductive layer 120 is completely shielded by the top conductive layer 130, and the sidewalls SW12L and SW12R of the N-1th conductive layer 120 are covered by the top interlayer dielectric layer 16 in the range of the first region R1 . The width W110 from the N-2th conductive layer to the first conductive layer 110 is substantially equal to the width WR1 of the first region R1. The two sidewalls SW11L and SW11R of the conductor layers from the N-2th conductor layer to the first conductor layer 110 may be substantially aligned with the first boundary B11 and the second boundary B12 of the first region R1, respectively.

在第二密封環SR2中,在第二區R2相同位置上的第N層導體層230至第一層導體層130可以具有相同寬度。頂層導體層(第N層導體層)230設置在第二區R2的頂層層間介電層16上。頂層導體層(第N層導體層)230的接近第一隔離結構ST1的第一側壁SW23L可以大致切齊第二區R2的第一邊界B21。頂層導體層(第N層導體層)230的接近第二隔離結構ST2的側壁SW23R可以大致切齊第二區R2的第二邊界B22。在一些情況下,頂層導體層(第N層導體層)230可以用來繞線,頂層導體層230的側壁SW23R會超出第二區R2的第二邊界B22,並沿晶片區CR的方向延伸,以覆蓋位在部分的第二隔離結構ST2上方的介電層16,或甚至還延伸至晶片區CR。第N-1層導體層220至第一層導體層210設置在第二區R2的頂層層間介電層16與層間介電層14中。第N-1層導體層220至第一層導體層210的寬度W210大致等於第二區R2的寬度WR2。第N-1層導體層220至第一層導體層110之各層導體層的兩側壁SW22L、SW22R、SW21L、SW21R可以大致切齊第二區R2的第一邊界B21與第二邊界B22。In the second seal ring SR2, the Nth conductive layer 230 to the first conductive layer 130 at the same position in the second region R2 may have the same width. The top conductor layer (the Nth conductor layer) 230 is disposed on the top interlayer dielectric layer 16 of the second region R2. The first sidewall SW23L of the top conductor layer (the Nth conductor layer) 230 close to the first isolation structure ST1 may be substantially aligned with the first boundary B21 of the second region R2. The sidewall SW23R of the top conductor layer (the Nth conductor layer) 230 close to the second isolation structure ST2 may be substantially aligned with the second boundary B22 of the second region R2. In some cases, the top conductor layer (the Nth conductor layer) 230 can be used for winding, and the sidewall SW23R of the top conductor layer 230 will extend beyond the second boundary B22 of the second region R2 and extend in the direction of the chip region CR. To cover a part of the dielectric layer 16 above the second isolation structure ST2, or even extend to the chip region CR. The N-1th conductive layer 220 to the first conductive layer 210 are disposed in the top interlayer dielectric layer 16 and the interlayer dielectric layer 14 of the second region R2. The width W210 from the N-1th conductive layer 220 to the first conductive layer 210 is substantially equal to the width WR2 of the second region R2. The two sidewalls SW22L, SW22R, SW21L, SW21R of the conductor layers from the N-1th conductor layer 220 to the first conductor layer 110 may be substantially aligned with the first boundary B21 and the second boundary B22 of the second region R2.

請參照圖1D,第一密封環SR1包括導體插塞102、104、112、122,第二密封環SR2包括導體插塞202a、202b、204a、204b212a、212b、222a、222b。導體插塞102、104、202a、202b、204a、204b為接觸窗(contact),位於內層介電層12之中。接觸窗102、104彼此堆疊組成第一接觸窗堆疊結構106,以電性連接基底10的第一摻雜區D1與第一密封環SR1的第一層導體層110。接觸窗202a、204a彼此堆疊組成第二接觸窗堆疊結構206a,接觸窗202b、204b彼此堆疊組成第二接觸窗堆疊結構206b,以物理性連接基底10的第二摻雜區D2與第二密封環SR2的第一層導體層210。1D, the first sealing ring SR1 includes conductor plugs 102, 104, 112, 122, and the second sealing ring SR2 includes conductor plugs 202a, 202b, 204a, 204b, 212a, 212b, 222a, 222b. The conductor plugs 102, 104, 202 a, 202 b, 204 a, and 204 b are contacts, which are located in the inner dielectric layer 12. The contact windows 102 and 104 are stacked on each other to form a first contact window stack structure 106 to electrically connect the first doped region D1 of the substrate 10 and the first conductive layer 110 of the first sealing ring SR1. The contact windows 202a and 204a are stacked on each other to form a second contact window stack structure 206a, and the contact windows 202b and 204b are stacked on each other to form a second contact window stack structure 206b to physically connect the second doped region D2 of the substrate 10 and the second sealing ring The first conductor layer 210 of SR2.

導體插塞112、122、212a、212b、222a、222b又稱為第一介層窗(via),位於層間介電層14、16之中,可以電性連接第一密封環SR1的導體層110、120、130中上下相鄰兩層導體層。導體插塞212a、212b、222a、222b又稱為第二介層窗,位於層間介電層14、16之中,可以電性連接第二密封環SR2的導體層210、220、230中上下相鄰兩層導體層。The conductor plugs 112, 122, 212a, 212b, 222a, and 222b are also called first vias, which are located in the interlayer dielectric layers 14, 16 and can be electrically connected to the conductor layer 110 of the first sealing ring SR1 , 120, 130, two adjacent conductor layers. The conductor plugs 212a, 212b, 222a, and 222b are also called second interlayer windows. They are located in the interlayer dielectric layers 14, 16 and can be electrically connected to the upper and lower phases of the conductor layers 210, 220, and 230 of the second sealing ring SR2. Adjacent to two conductor layers.

金屬內連線、第一密封環SR1與第二密封環SR2的導體插塞的數量可以依據實際的需要來設計。第一密封環SR1的導體插塞,可以在後續進行切割時釋放應力。第二密封環SR2可以接地,其導體插塞的數量多可以具有較佳的導通效率。因此,在一實施例中,第一密封環SR1的導體插塞的數量小於位於同一水平高度的第二密封環SR2的導體插塞的數量。換言之,在基底10至第一層導體層110之間,設置於第一區R1之中的第一密封環SR1的第一接觸窗堆疊結構106的數量(例如1)小於設置於第二區R2之中的第二密封環SR2的第二接觸窗堆疊結構206的數量(例如2或更多)。在第一層導體層110至第N層導體層130之間,設置於第一區R1之中的第一密封環SR1的第一介層窗112或122的數量(例如1)小於設置於第二區R2之中位於同一水平高度的第二密封環SR2的第二介層窗212或222的數量(例如2,或更多)。The number of metal interconnects, the number of conductor plugs of the first sealing ring SR1 and the second sealing ring SR2 can be designed according to actual needs. The conductor plug of the first sealing ring SR1 can release stress during subsequent cutting. The second sealing ring SR2 can be grounded, and its large number of conductor plugs can have better conduction efficiency. Therefore, in an embodiment, the number of conductor plugs of the first seal ring SR1 is smaller than the number of conductor plugs of the second seal ring SR2 located at the same level. In other words, between the substrate 10 and the first conductive layer 110, the number (for example, 1) of the first contact window stack structures 106 of the first sealing ring SR1 disposed in the first region R1 is less than that of the first contact window stack structures 106 disposed in the second region R2 Among them, the number of second contact window stack structures 206 of the second seal ring SR2 (for example, 2 or more). Between the first conductive layer 110 and the Nth conductive layer 130, the number (for example, 1) of the first vias 112 or 122 of the first sealing ring SR1 disposed in the first region R1 is less than that of the first vias 112 or 122 disposed in the first region R1. The number of second vias 212 or 222 of the second sealing ring SR2 located at the same level in the two regions R2 (for example, two or more).

在第一密封環SR1與第二密封環SR2中,位於基底10至頂層導體層130、230之間的導體插塞102、104、112、122、202、204、206、212、222可以具有不同的寬度。在一實施例中,第一密封環SR1與第二密封環SR2的導體插塞102、104、112、122、202、204、206、212、222的寬度從基底10起沿頂層導體層130、230(即,由下而上)的方向逐漸增加。亦即,導體插塞102、202a、202b的寬度最小,導體插塞212、222a、222b的寬度最大。第一密封環SR1的導體插塞(例如112),與第二密封環SR2在同一高度的導體插塞(例如212)可以具有相同的寬度,或不同的寬度。In the first sealing ring SR1 and the second sealing ring SR2, the conductor plugs 102, 104, 112, 122, 202, 204, 206, 212, 222 located between the substrate 10 and the top conductor layer 130, 230 may have different The width. In an embodiment, the conductor plugs 102, 104, 112, 122, 202, 204, 206, 212, and 222 of the first seal ring SR1 and the second seal ring SR2 have widths starting from the substrate 10 along the top conductor layer 130, The direction of 230 (ie, bottom-up) gradually increases. That is, the width of the conductor plugs 102, 202a, and 202b is the smallest, and the width of the conductor plugs 212, 222a, and 222b is the largest. The conductor plug (for example, 112) of the first sealing ring SR1 and the conductor plug (for example, 212) of the same height as the second sealing ring SR2 may have the same width or different widths.

在第一密封環SR1中,自基底10至頂層導體層130之間的導體插塞102、104、112、122的排列可以大致對準第一區R1的中心線,因此其彼此對齊,或者可以部分重疊。在第二密封環SR2中,位於基底10至第一層導體層210之間的兩個插塞堆疊結構206a、206b之間的距離d1小於位於第一層導體層210與第二層導體層220之間的兩個第二介層窗212a、212b之間的距離d2,而使其彼此相錯,且沒有重疊,或僅有極少部分重疊。在此,距離d1是指導體插塞202a在半高處的側壁與導體插塞202b在半高處的側壁之間的距離。距離d2是指第二介層窗212a在半高處的側壁與第二介層窗212b在半高處的側壁之間的距離。位於導體層210與導體層220之間的兩個第二介層窗212a、212b,可分別與位於導體層220與導體層230之間的兩個介層窗222a、222b部分重疊。In the first seal ring SR1, the arrangement of the conductor plugs 102, 104, 112, 122 from the substrate 10 to the top conductor layer 130 may be approximately aligned with the center line of the first region R1, so they are aligned with each other, or may Partially overlapped. In the second sealing ring SR2, the distance d1 between the two plug stack structures 206a, 206b located between the substrate 10 and the first conductive layer 210 is smaller than that between the first conductive layer 210 and the second conductive layer 220 The distance d2 between the two second vias 212a, 212b between them is staggered with each other, and there is no overlap, or only a little overlap. Here, the distance d1 is the distance between the side wall of the conductor plug 202a at the half height and the side wall of the conductor plug 202b at the half height. The distance d2 refers to the distance between the sidewall of the second via 212a at the half height and the sidewall of the second via 212b at the half height. The two second vias 212a and 212b located between the conductive layer 210 and the conductive layer 220 may partially overlap with the two vias 222a and 222b located between the conductive layer 220 and the conductive layer 230, respectively.

請參照圖1D、圖2與圖3,依據實際的需要,密封環區可以分別具有單一寬度或具有多個寬度。密封環區SR環繞晶片區CR的邊緣,其包括直線段LP與轉角段CP。直線段LP與晶片的邊大致平行。轉角段CP連接兩個不同方向的直線段LP。密封環區SR的直線段LP與轉角段CP可以具有相同的寬度或具有不同的寬度。Please refer to FIG. 1D, FIG. 2 and FIG. 3. According to actual needs, the seal ring area may have a single width or multiple widths. The seal ring area SR surrounds the edge of the wafer area CR, and includes a straight section LP and a corner section CP. The straight line LP is approximately parallel to the edge of the wafer. The corner section CP connects two straight sections LP in different directions. The straight section LP and the corner section CP of the seal ring area SR may have the same width or have different widths.

密封環區SR的第一區R1或第二區R2可以分別具有單一寬度或具有多個寬度。舉例來說,第一區R1在其轉角段CP的寬度WR1C大於直線段LP的寬度WR1L,第二區R2在其轉角段CP的寬度WR2C大於直線段LP的寬度WR2L。第一區R1在其轉角段CP的寬度WR1C例如是直線段LP的寬度WR1L的1.2倍至1.6倍,第二區R2在其轉角段CP的寬度WR2C例如是直線段LP的寬度WR2L的1.2倍至1.6倍。The first region R1 or the second region R2 of the seal ring region SR may have a single width or a plurality of widths, respectively. For example, the width WR1C of the corner section CP of the first region R1 is larger than the width WR1L of the straight section LP, and the width WR2C of the corner section CP of the second region R2 is larger than the width WR2L of the straight section LP. The width WR1C of the first region R1 at the corner section CP is, for example, 1.2 to 1.6 times the width WR1L of the straight section LP, and the width WR2C of the second region R2 at the corner section CP is, for example, 1.2 times the width WR2L of the straight section LP To 1.6 times.

在第一密封環SR1中,同一層的導體層可以具有單一寬度或具有多個寬度。同樣地,在第二密封環SR2中,同一層的導體層可以具有單一寬度或具有多個寬度。舉例來說,環繞在晶片區CR周圍的同一導體層130或230在其轉角段CP的寬度可以大於直線段LP的寬度。In the first seal ring SR1, the conductor layer of the same layer may have a single width or have multiple widths. Similarly, in the second seal ring SR2, the conductor layer of the same layer may have a single width or have multiple widths. For example, the width of the corner section CP of the same conductor layer 130 or 230 surrounding the chip region CR may be greater than the width of the straight section LP.

在第一密封環SR1中,同一導體插塞可以具有單一寬度或具有多個寬度。同樣地,在第二密封環SR2中,同一導體插塞可以具有單一寬度或具有多個寬度。舉例來說,環繞在晶片區CR周圍的同一水平高度的導體插塞122、222a或222b在其轉角段CP的寬度可以大於直線段LP的寬度。In the first seal ring SR1, the same conductor plug may have a single width or have multiple widths. Likewise, in the second seal ring SR2, the same conductor plug may have a single width or have multiple widths. For example, the width of the corner section CP of the conductor plug 122, 222a, or 222b of the same level surrounding the chip region CR may be greater than the width of the straight section LP.

請參照圖1D以及圖2,轉角段CP與晶片的四個轉角之間的區域為虛擬區DR。在虛擬區DR與第一隔離區S1之中,可以具有多個介電層12、14、16以及位於介電層12之中的半導體層(多晶矽)。由於第一隔離區S1主要為應力釋放邊界,因此可以無導體層。虛擬區DR區無任何導體層或僅有極少導體層,可以避免後續開TV時下層金屬層露出來而造成汙染。因此,第一隔離區S1與虛擬區DR不具有對應金屬化結構MT的導體層與導體插塞。或者,僅具有少數對應金屬化結構MT的導體層與導體插塞,但介電結構18上不具有頂層導體層。換言之,第一隔離結構ST1上的導體層的層數會等於或小於N,且在與第一密封環SR1與第二密封環SR2的頂層導體層130、230相同的水平高度不具有頂層導體層。至此,第一隔離區S1的最頂面(即,介電層16的頂面16t)低於第一區R1與第二區R2的最頂面(即,頂層導體層130的頂面130t以及頂層導體層230的頂面30t)。Please refer to FIG. 1D and FIG. 2, the area between the corner segment CP and the four corners of the chip is a virtual area DR. In the dummy region DR and the first isolation region S1, there may be a plurality of dielectric layers 12, 14, 16 and a semiconductor layer (polysilicon) located in the dielectric layer 12. Since the first isolation region S1 is mainly a stress relief boundary, there may be no conductor layer. There is no conductor layer or very few conductor layers in the virtual area DR area, which can prevent the lower metal layer from being exposed and causing pollution when the TV is turned on later. Therefore, the first isolation region S1 and the dummy region DR do not have the conductor layer and the conductor plug corresponding to the metallization structure MT. Or, there are only a few conductor layers and conductor plugs corresponding to the metallization structure MT, but the dielectric structure 18 does not have a top conductor layer. In other words, the number of conductor layers on the first isolation structure ST1 will be equal to or less than N, and there will be no top conductor layers at the same level as the top conductor layers 130, 230 of the first seal ring SR1 and the second seal ring SR2. . So far, the top surface of the first isolation region S1 (that is, the top surface 16t of the dielectric layer 16) is lower than the top surface of the first region R1 and the second region R2 (that is, the top surface 130t of the top conductor layer 130 and 30t of the top surface of the top conductor layer 230).

金屬內連線、第一密封環SR1與第二密封環SR2可以同時形成或是不同時形成。導體層與導體插塞可以藉由沉積、微影、蝕刻等方法分別形成。在其他實施例中,也可以經由雙重金屬鑲嵌製程來形成。以下請參照圖1A至圖1D,說明金屬內連線、第一密封環SR1與第二密封環SR2的製程。The metal interconnection, the first sealing ring SR1 and the second sealing ring SR2 can be formed at the same time or at different times. The conductive layer and the conductive plug can be separately formed by methods such as deposition, lithography, and etching. In other embodiments, it can also be formed by a dual damascene process. Hereinafter, referring to FIGS. 1A to 1D, the manufacturing process of the metal interconnection, the first sealing ring SR1 and the second sealing ring SR2 will be described.

請參照圖1A,在晶片區CR內的基底10中及/或基底10上可形成多個電子元件(省略繪示)。電子元件可包括主動元件與被動元件。主動元件例如是電晶體、二極體等。被動元件例如是電阻、電容、電感等。此外,在切割區SL的基底10中及/或基底10上可形成對應電子元件的多個測試鍵或對準標記等。之後,在基底10上形成介電材料層,並以化學機械研磨製程將介電材料層平坦化,以形成介電層12a。1A, a plurality of electronic components (illustration omitted) can be formed in and/or on the substrate 10 in the chip area CR. Electronic components can include active components and passive components. Active components are, for example, transistors, diodes, and so on. Passive components are, for example, resistors, capacitors, inductors, etc. In addition, a plurality of test keys or alignment marks corresponding to electronic components may be formed in and/or on the substrate 10 of the cutting area SL. After that, a dielectric material layer is formed on the substrate 10, and the dielectric material layer is planarized by a chemical mechanical polishing process to form the dielectric layer 12a.

然後,進行微影與蝕刻製程,在介電層12a中形成接觸窗開口。接觸窗開口分別例如是呈環狀的溝渠。接著,於介電層12a上與接觸窗開口之中填入導體材料層。導體材料層的形成方法可以是化學氣相沉積法或是物理氣相沉積法。接著,對導體材料層進行平坦化製程,例如是化學機械研磨製程,以移除介電層12a上的導體材料層,於接觸窗開口之中分別形成導體插塞102與202a、202b。Then, lithography and etching processes are performed to form contact openings in the dielectric layer 12a. The contact window openings are, for example, annular trenches. Then, a conductive material layer is filled on the dielectric layer 12a and in the contact window opening. The formation method of the conductive material layer may be a chemical vapor deposition method or a physical vapor deposition method. Then, a planarization process is performed on the conductive material layer, such as a chemical mechanical polishing process, to remove the conductive material layer on the dielectric layer 12a, and the conductive plugs 102 and 202a, 202b are respectively formed in the contact window openings.

之後,以類似的製程形成介電層12b與導體插塞104與204a、204b。接著,在基底10上形成導體材料層M1。導體材料層M1的形成方法可以是化學氣相沉積法或是物理氣相沉積法。After that, a similar process is used to form the dielectric layer 12b and the conductive plugs 104, 204a, and 204b. Next, a conductive material layer M1 is formed on the substrate 10. The formation method of the conductive material layer M1 may be a chemical vapor deposition method or a physical vapor deposition method.

之後,請參照圖1B,對導體材料層M1進行微影與蝕刻製程,以形成導體層110、210。接著,在基底10上形成介電層14。之後,在介電層14中形成導體插塞112、212a、212b。其後,在基底10上形成導體材料層M2。After that, referring to FIG. 1B, lithography and etching processes are performed on the conductive material layer M1 to form the conductive layers 110 and 210. Next, a dielectric layer 14 is formed on the substrate 10. After that, conductor plugs 112, 212a, and 212b are formed in the dielectric layer 14. After that, a conductive material layer M2 is formed on the substrate 10.

接著,請參照圖1C,對導體材料層M2進行微影與蝕刻製程,以形成導體層120、220。之後,在基底10上形成介電層16。在介電層16中形成導體插塞122、222a、222b。在基底10上形成導體材料層M3。Next, referring to FIG. 1C, lithography and etching processes are performed on the conductive material layer M2 to form the conductive layers 120 and 220. After that, a dielectric layer 16 is formed on the substrate 10. Conductor plugs 122, 222a, and 222b are formed in the dielectric layer 16. A conductive material layer M3 is formed on the substrate 10.

之後,請參照圖1D,其後,對導體材料層M3進行微影與蝕刻製程,以形成導體層130、230。After that, please refer to FIG. 1D. After that, the conductive material layer M3 is subjected to lithography and etching processes to form the conductive layers 130 and 230.

請參照圖1E,在基底10上形成保護層24。保護層24可以是單層或是堆疊結構。在一實施例中,保護層24包括第一保護層20與第二保護層22。第一保護層20覆蓋介電結構18的頂面以及頂層導體層130、230的頂面與側壁。第二保護層22覆蓋第一保護層20。第一保護層20包括如二氧化矽、旋塗玻璃(SOG)等介電材料。第二保護層22包括如聚亞醯胺、氮化矽等具防水氣性質之絕緣材料。第一保護層20的厚度例如是0.8μm至1.5μm,第二保護層的厚度例如是0.3μm至0.8μm。1E, a protective layer 24 is formed on the substrate 10. The protective layer 24 may be a single layer or a stacked structure. In one embodiment, the protective layer 24 includes a first protective layer 20 and a second protective layer 22. The first protective layer 20 covers the top surface of the dielectric structure 18 and the top surfaces and sidewalls of the top conductor layers 130 and 230. The second protective layer 22 covers the first protective layer 20. The first protective layer 20 includes a dielectric material such as silicon dioxide, spin-on glass (SOG) and the like. The second protective layer 22 includes insulating materials with waterproof gas properties such as polyimide and silicon nitride. The thickness of the first protective layer 20 is, for example, 0.8 μm to 1.5 μm, and the thickness of the second protective layer is, for example, 0.3 μm to 0.8 μm.

由於第一隔離區S1上的介電層16的頂面16t低於第一區R1的頂層導體層130的頂面130t與第二區R2的頂層導體層230的頂面230t,保護層24會順應基底10表面的高低起伏形成,且未經平坦化,因此,在第一隔離區S1上方的保護層24具有凹面RS。Since the top surface 16t of the dielectric layer 16 on the first isolation region S1 is lower than the top surface 130t of the top conductor layer 130 of the first region R1 and the top surface 230t of the top conductor layer 230 of the second region R2, the protective layer 24 will It is formed in accordance with the undulations of the surface of the substrate 10 and is not planarized. Therefore, the protective layer 24 above the first isolation region S1 has a concave surface RS.

之後,請參照圖1E,在保護層24上形成罩幕層26。罩幕層26例如是圖案化的光阻層。罩幕層26具有開口28,裸露出位於第一密封環SR1上方的保護層24以及位於第一隔離區S1上方的保護層24的部分凹面RS。After that, referring to FIG. 1E, a mask layer 26 is formed on the protective layer 24. The mask layer 26 is, for example, a patterned photoresist layer. The mask layer 26 has an opening 28 that exposes the protective layer 24 above the first sealing ring SR1 and a part of the concave surface RS of the protective layer 24 above the first isolation region S1.

其後,請參照圖1E與圖1F,以罩幕層26為罩幕,進行例如是非等向性蝕刻製程,以在保護層24中形成開口(又稱為頂介層開口,TV)30,並在第一密封環SR1的頂層導體層130的側壁SW13R形成間隙壁32,並且留下保護層24a。之後,將罩幕層26移除。在其他的實施例中,保護層24包括感光性材料,可以對保護層24進行曝光顯影製程,以形成開口30。Thereafter, referring to FIGS. 1E and 1F, using the mask layer 26 as a mask, an anisotropic etching process is performed, for example, to form an opening (also called a top via opening, TV) 30 in the protective layer 24. A spacer 32 is formed on the sidewall SW13R of the top conductor layer 130 of the first sealing ring SR1, and the protective layer 24a is left. After that, the mask layer 26 is removed. In other embodiments, the protective layer 24 includes a photosensitive material, and the protective layer 24 can be exposed and developed to form the opening 30.

保護層24a的開口30的寬度W30大於第一密封環SR1的寬度WSR1,且大於頂層導體層130的寬度W130。開口30的寬度W30例如是2μm。開口30裸露出第一密封環SR1的頂層導體層130的頂面130t以及間隙壁32。開口30的底面30b位於第一隔離結構ST1上方。至此,開口30的底面30b為第一區R1、第二區R2以及第一隔離區S1中表面高度最低之處。在一實施例中,開口30的底面30b較接近第一密封環SR1,且較遠離第二密封環SR2。開口30的底面30b裸露出第一隔離結構ST1上方的介電結構18的頂層介電層16。開口30的底面30b的高度可以等於或是低於第一密封環SR1的頂層導體層130的底面130b。舉例來說,開口30的底面30b比第一密封環SR1的頂層導體層130的底面130b低約10nm至10nm。The width W30 of the opening 30 of the protective layer 24 a is greater than the width WSR1 of the first sealing ring SR1 and greater than the width W130 of the top conductor layer 130. The width W30 of the opening 30 is, for example, 2 μm. The opening 30 exposes the top surface 130t of the top conductor layer 130 of the first sealing ring SR1 and the gap wall 32. The bottom surface 30b of the opening 30 is located above the first isolation structure ST1. So far, the bottom surface 30b of the opening 30 is the lowest surface height of the first region R1, the second region R2, and the first isolation region S1. In one embodiment, the bottom surface 30b of the opening 30 is closer to the first seal ring SR1 and farther from the second seal ring SR2. The bottom surface 30b of the opening 30 exposes the top dielectric layer 16 of the dielectric structure 18 above the first isolation structure ST1. The height of the bottom surface 30b of the opening 30 may be equal to or lower than the bottom surface 130b of the top conductor layer 130 of the first sealing ring SR1. For example, the bottom surface 30b of the opening 30 is lower than the bottom surface 130b of the top conductor layer 130 of the first sealing ring SR1 by about 10 nm to 10 nm.

在開口30的底面30b的一側(向第二密封環SR2的方向上),第一隔離結構ST1上的介電層16的頂面16t以及第二密封環SR2的頂層導體層230的側壁SW23L與頂面230t被留下來的保護層24a覆蓋,且所留下來的保護層24a(第一保護層20a與第二保護層22a)呈上升階梯狀。在開口30的底面30b的另一側(向第一密封環SR1的方向上),間隙壁32覆蓋在第一密封環SR1的頂層導體層130的側壁SW13R。所留下來的保護層24a可以使得第一密封環SR1的頂層導體層130的頂面130t全部被裸露出來,或僅有部分的頂面130t被裸露出來。所留下來的保護層24a覆蓋第一密封環SR1的頂層導體層130的側壁SW13L以及切割區SL的介電結構18。On one side of the bottom surface 30b of the opening 30 (in the direction of the second sealing ring SR2), the top surface 16t of the dielectric layer 16 on the first isolation structure ST1 and the sidewall SW23L of the top conductor layer 230 of the second sealing ring SR2 The top surface 230t is covered by the remaining protective layer 24a, and the remaining protective layer 24a (the first protective layer 20a and the second protective layer 22a) is in the shape of an ascending step. On the other side of the bottom surface 30b of the opening 30 (in the direction of the first seal ring SR1), the spacer 32 covers the side wall SW13R of the top conductor layer 130 of the first seal ring SR1. The remaining protective layer 24a can make the top surface 130t of the top conductor layer 130 of the first sealing ring SR1 all exposed, or only part of the top surface 130t is exposed. The remaining protective layer 24a covers the sidewall SW13L of the top conductor layer 130 of the first sealing ring SR1 and the dielectric structure 18 of the cutting area SL.

間隙壁32可以將第一密封環SR1的頂層導體層130的側壁SW13R完全覆蓋。或者,間隙壁32可以未將第一密封環SR1的頂層導體層130的側壁SW13R完全覆蓋。換言之,間隙壁32的頂面的高度可以等於或是低於第一密封環SR1的頂層導體層130的頂面130t的高度,而無階梯落差或形成階梯狀。間隙壁32的底面的寬度W32例如是0.2μm至0.4μm。The spacer 32 may completely cover the sidewall SW13R of the top conductor layer 130 of the first sealing ring SR1. Alternatively, the spacer 32 may not completely cover the sidewall SW13R of the top conductor layer 130 of the first sealing ring SR1. In other words, the height of the top surface of the spacer 32 may be equal to or lower than the height of the top surface 130t of the top conductor layer 130 of the first sealing ring SR1 without a stepped drop or a stepped shape. The width W32 of the bottom surface of the spacer 32 is, for example, 0.2 μm to 0.4 μm.

由於導體層120的寬度W120小於頂層導體層130的寬度,因此,在形成開口30的蝕刻過程中,可以避免過度蝕刻,而蝕刻損壞導體層120。再者,間隙壁32也可以提供一個側向距離,使開口30的底面30b遠離導體層120,避免在形成開口30的蝕刻過程中因為過度蝕刻,而蝕刻損壞導體層120。Since the width W120 of the conductor layer 120 is smaller than the width of the top conductor layer 130, during the etching process of forming the opening 30, over-etching can be avoided and the conductor layer 120 may be damaged by etching. Furthermore, the spacer 32 can also provide a lateral distance to keep the bottom surface 30b of the opening 30 away from the conductor layer 120, so as to avoid over-etching during the etching process of forming the opening 30 and damage to the conductor layer 120 by etching.

被開口30裸露出來的第一密封環SR1的頂層導體層130可以做為後續切割製程的切口。由於開口30的寬度W30大於第一密封環SR1的頂層導體層130的寬度W130,並且開口30的側壁SW30R旁的保護層24a具有階梯狀,因此,有助於測試或封裝等相關製程的進行。舉例來說,後續的封裝製程的UBM層可以很容易地填入於本發明的開口30中,而具有較佳的階梯覆蓋性。The top conductor layer 130 of the first sealing ring SR1 exposed by the opening 30 can be used as an incision for the subsequent cutting process. Since the width W30 of the opening 30 is greater than the width W130 of the top conductor layer 130 of the first sealing ring SR1, and the protective layer 24a beside the sidewall SW30R of the opening 30 has a stepped shape, it is helpful for testing or packaging and other related manufacturing processes. For example, the UBM layer of the subsequent packaging process can be easily filled in the opening 30 of the present invention, and has better step coverage.

在本發明的實施例中,第一密封環(外環)未用來繞線,第二密封環(內環)可以用來繞線,因此,可以減少第一密封環的寬度,並且減少第一密封環與第二密封環之間的間距,進而減少密封環佔用的晶片面積。再者,第一密封環尺寸較小,上下相鄰的兩層導體層之間可以僅有一個導體插塞,第二密封環(內環)的尺寸較大,上下相鄰的兩層導體層之間可以具有兩個或多個導體插塞。此外,晶片的四個轉角段的虛擬區中不形成金屬化結構,可以在後續進行晶片切個的製程中減少裂紋的產生,並將降低製程中,底層金屬露出的風險。頂開口(TV)的寬度大於外環的頂層導體層的寬度,有助於提升後續於開口中形成之膜層的階梯覆蓋性。In the embodiment of the present invention, the first sealing ring (outer ring) is not used for winding, and the second sealing ring (inner ring) can be used for winding. Therefore, the width of the first sealing ring can be reduced, and the second sealing ring can be reduced. The distance between the first seal ring and the second seal ring reduces the chip area occupied by the seal ring. Furthermore, the size of the first sealing ring is small, and there can be only one conductor plug between the two adjacent conductor layers. The second sealing ring (inner ring) has a larger size, and the two adjacent conductor layers are adjacent to each other. There may be two or more conductor plugs in between. In addition, no metallization structure is formed in the virtual regions of the four corner sections of the wafer, which can reduce the occurrence of cracks during the subsequent wafer dicing process, and will reduce the risk of exposure of the underlying metal during the process. The width of the top opening (TV) is greater than the width of the top conductor layer of the outer ring, which helps to improve the step coverage of the film layer subsequently formed in the opening.

雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。Although the present invention has been disclosed in the above embodiments, it is not intended to limit the present invention. Anyone with ordinary knowledge in the relevant technical field can make some changes and modifications without departing from the spirit and scope of the present invention. The scope of protection of the present invention shall be determined by the scope of the attached patent application.

10:基底 12:介電層、內層介電層 12a、12b:介電層 16t、130t、230t:頂面 14:介電層、層間介電層 16:介電層、層間介電層、頂層層間介電層 18:介電結構 20、20a:第一保護層 22、22a:第二保護層 24、24a:保護層 26:罩幕層 30:開口 30b、130b:底面 32:間隙壁 102、104、202、202a、202b、204、204a、204b:導體插塞、接觸窗 106:第一接觸窗堆疊結構 206:第二接觸窗堆疊結構 112、122:導體插塞、第一介層窗 212、212a、212b、222、222a、222b:導體插塞、第二介層窗 110:導體層、第一層導體層 120、220、220a、220b:導體層、第N-1層導體層 130、230:導體層、頂層導體層、第N層導體層 B11、B21:第一邊界 B12、B22:第二邊界 CP:轉角段 CR:晶片區 D1:第一摻雜區 D2:第二摻雜區 LP:非轉角段 M1、M2、M3:導體材料層 MT:金屬化結構 O11、O21A、O21B:接觸窗開口 R1:第一區 R2:第二區 RS:凹面 SL:切割區 SOI:半導體基底 SR:密封環區 SR1:第一密封環 SR2:第二密封環 ST1:第一隔離結構 ST2:第二隔離結構 SW11L、SW11R、SW12L、SW12R、SW13L、SW13R、SW21L、SW21R、SW22L、SW22R、SW23L、SW23R、SW30R:側壁 W110、W120、W130、W210、W220、W230、W30、W32、WD1、WD2、WR1、WR1C、WR1L、WR2、WR2C、WR2L、WS1、WS2、WSR1、WSR2、WST1、WST2:寬度 d1、d2:距離 S1:第一隔離區 S2:第二隔離區 X、Y、Z:方向10: Base 12: Dielectric layer, inner dielectric layer 12a, 12b: Dielectric layer 16t, 130t, 230t: top surface 14: Dielectric layer, interlayer dielectric layer 16: Dielectric layer, interlayer dielectric layer, top layer interlayer dielectric layer 18: Dielectric structure 20, 20a: the first protective layer 22, 22a: second protective layer 24, 24a: protective layer 26: mask layer 30: opening 30b, 130b: bottom surface 32: Clearance wall 102, 104, 202, 202a, 202b, 204, 204a, 204b: conductor plug, contact window 106: first contact window stack structure 206: second contact window stack structure 112, 122: Conductor plug, first via window 212, 212a, 212b, 222, 222a, 222b: conductor plug, second via window 110: Conductor layer, the first layer of conductor layer 120, 220, 220a, 220b: conductor layer, N-1th conductor layer 130, 230: conductor layer, top conductor layer, Nth conductor layer B11, B21: the first boundary B12, B22: second boundary CP: corner section CR: chip area D1: the first doped region D2: second doped region LP: non-corner section M1, M2, M3: Conductor material layer MT: Metallized structure O11, O21A, O21B: contact window opening R1: Zone 1 R2: Zone 2 RS: concave SL: Cutting area SOI: Semiconductor substrate SR: Seal ring area SR1: The first sealing ring SR2: Second sealing ring ST1: First isolation structure ST2: Second isolation structure SW11L, SW11R, SW12L, SW12R, SW13L, SW13R, SW21L, SW21R, SW22L, SW22R, SW23L, SW23R, SW30R: sidewall W110, W120, W130, W210, W220, W230, W30, W32, WD1, WD2, WR1, WR1C, WR1L, WR2, WR2C, WR2L, WS1, WS2, WSR1, WSR2, WST1, WST2: width d1, d2: distance S1: The first isolation zone S2: Second quarantine area X, Y, Z: direction

圖1A至圖1F是依照本發明的實施例的一種半導體元件的製造流程的剖面示意圖。 圖2是晶片的上視圖。 圖3是圖2中區域A的局部放大圖。1A to 1F are schematic cross-sectional views of a manufacturing process of a semiconductor device according to an embodiment of the present invention. Figure 2 is a top view of the wafer. Fig. 3 is a partial enlarged view of area A in Fig. 2.

10:基底 10: Base

16t、130t、230t:頂面 16t, 130t, 230t: top surface

12:介電層、內層介電層 12: Dielectric layer, inner dielectric layer

14:介電層、層間介電層 14: Dielectric layer, interlayer dielectric layer

16:介電層、層間介電層、頂層層間介電層 16: Dielectric layer, interlayer dielectric layer, top layer interlayer dielectric layer

18:介電結構 18: Dielectric structure

30:開口 30: opening

20a:第一保護層 20a: The first protective layer

30b、130b:底面 30b, 130b: bottom surface

22a:第二保護層 22a: second protective layer

32:間隙壁 32: Clearance wall

24a:保護層 24a: protective layer

102、104、202、202a、202b、204、204a、204b:導體插塞、接觸窗 102, 104, 202, 202a, 202b, 204, 204a, 204b: conductor plug, contact window

106:第一接觸窗堆疊結構 106: first contact window stack structure

206:第二接觸窗堆疊結構 206: second contact window stack structure

112、122:導體插塞、第一介層窗 112, 122: Conductor plug, first via window

212、212a、212b、222、222a、222b:導體插塞、第二介層窗 212, 212a, 212b, 222, 222a, 222b: conductor plug, second via window

110:導體層、第一層導體層 110: Conductor layer, the first layer of conductor layer

120、220、220a、220b:導體層、第N-1層導體層 120, 220, 220a, 220b: conductor layer, N-1th conductor layer

130、230:導體層、頂層導體層、第N層導體層 130, 230: conductor layer, top conductor layer, Nth conductor layer

B11、B21:第一邊界 B11, B21: the first boundary

D2:第二摻雜區 D2: second doped region

B12、B22:第二邊界 B12, B22: second boundary

MT:金屬化結構 MT: Metallized structure

D1:第一摻雜區 D1: the first doped region

O11、O21A、O21B:接觸窗開口 O11, O21A, O21B: contact window opening

R1:第一區 R1: Zone 1

RS:凹面 RS: concave

R2:第二區 R2: Zone 2

SL:切割區 SL: Cutting area

SR:密封環區 SR: Seal ring area

ST1:第一隔離結構 ST1: First isolation structure

SR1:第一密封環 SR1: The first sealing ring

ST2:第二隔離結構 ST2: Second isolation structure

SR2:第二密封環 SR2: Second sealing ring

SW11L、SW11R、SW12L、SW12R、SW13L、SW13R、SW21L、SW21R、SW22L、SW22R、SW23L、SW23R、SW30R:側壁W120、W130W30、W32、WD1、WD2、WR1、WR2、WS1、WS2、WSR1、WSR2、WST1、WST2:寬度 SW11L, SW11R, SW12L, SW12R, SW13L, SW13R, SW21L, SW21R, SW22L, SW22R, SW23L, SW23R, SW30R: sidewall W120, W130W30, W32, WD1, WD2, WR1, WR2, WS1, WS2, WSR1, WSR2, WST1 , WST2: width

d1、d2:距離 d1, d2: distance

S2:第二隔離區 S2: Second quarantine area

S1:第一隔離區 S1: The first isolation zone

X、Y、Z:方向 X, Y, Z: direction

Claims (20)

一種半導體元件的製造方法,包括: 在基底上形成彼此分離的第一密封環與第二密封環; 在所述基底上形成保護層,覆蓋所述第一密封環與所述第二密封環,其中所述第一密封環與所述第二密封環之間的所述保護層具有凹面;以及 移除位於所述凹面處的所述保護層以及所述第一密封環上的部分所述保護層,並於所述第一密封環的側壁形成間隙壁,並在所述保護層中形成開口,所述開口的寬度大於所述第一密封環的寬度,且所述開口裸露出所述第一密封環的頂面以及所述間隙壁。A method for manufacturing a semiconductor element includes: Forming a first sealing ring and a second sealing ring separated from each other on the substrate; Forming a protective layer on the substrate to cover the first sealing ring and the second sealing ring, wherein the protective layer between the first sealing ring and the second sealing ring has a concave surface; and Remove the protective layer at the concave surface and part of the protective layer on the first sealing ring, and form a gap wall on the side wall of the first sealing ring, and form an opening in the protective layer , The width of the opening is greater than the width of the first sealing ring, and the opening exposes the top surface of the first sealing ring and the gap wall. 如申請專利範圍第1項所述的半導體元件的製造方法,其中所述第一密封環具有N層導體層,所述第二密封環具有N層導體層。According to the method of manufacturing a semiconductor element described in the first item of the patent application, the first sealing ring has N-layer conductor layers, and the second sealing ring has N-layer conductor layers. 如申請專利範圍第2項所述的半導體元件的製造方法,其中所述第一密封環的第N層導體層的寬度小於所述第二密封環的第N層導體層的寬度。According to the method for manufacturing a semiconductor device as described in the scope of the patent application, the width of the Nth conductor layer of the first sealing ring is smaller than the width of the Nth conductor layer of the second sealing ring. 如申請專利範圍第2項所述的半導體元件的製造方法,其中所述第一密封環的第N-1層導體層的寬度小於或等於所述第一密封環的所述第N層導體層的寬度,且小於或等於所述第一密封環的第N-2層導體層的寬度。The method for manufacturing a semiconductor element as described in the scope of the patent application, wherein the width of the N-1th conductor layer of the first seal ring is less than or equal to the Nth conductor layer of the first seal ring , And less than or equal to the width of the N-2th conductor layer of the first sealing ring. 如申請專利範圍第2項所述的半導體元件的製造方法,其中所述間隙壁位於所述第一密封環的所述第N層導體層的部分側壁。According to the manufacturing method of the semiconductor element described in the scope of the patent application, the spacer is located on a part of the side wall of the Nth conductor layer of the first sealing ring. 如申請專利範圍第2項所述的半導體元件的製造方法,其中所述開口的底面的高度等於或低於所述第一密封環的第N層導體層的底面的高度。According to the method for manufacturing a semiconductor element described in the scope of the patent application, the height of the bottom surface of the opening is equal to or lower than the height of the bottom surface of the Nth conductor layer of the first sealing ring. 如申請專利範圍第6項所述的半導體元件的製造方法,其中所述開口的所述底面裸露出形成於所述基底上的介電層。According to the method of manufacturing a semiconductor device described in the scope of the patent application, the bottom surface of the opening exposes the dielectric layer formed on the substrate. 如申請專利範圍第2項所述的半導體元件的製造方法,其中所述凹面與所述基底的表面之間的導體層的層數小於N。According to the method for manufacturing a semiconductor element described in the scope of the patent application, the number of conductor layers between the concave surface and the surface of the substrate is less than N. 如申請專利範圍第1項所述的半導體元件的製造方法,其中所述凹面位於所述基底中的隔離結構上方。According to the method of manufacturing a semiconductor device described in the first item of the scope of the patent application, the concave surface is located above the isolation structure in the substrate. 如申請專利範圍第1項所述的半導體元件的製造方法,其中所述第一密封環包括多數個第一介層窗,所述第二密封環包括多數個第二介層窗,且所述第一介層窗的數量小於所述第二介層窗的數量。According to the method of manufacturing a semiconductor device according to claim 1, wherein the first sealing ring includes a plurality of first vias, the second sealing ring includes a plurality of second vias, and the The number of first vias is smaller than the number of second vias. 一種半導體元件,包括: 第一密封環與第二密封環,彼此分離地設置在基底上; 間隙壁,設置於所述第一密封環的第一側壁;以及 保護層,設置在所述基底上,覆蓋所述第一密封環的第二側壁與所述第二密封環,所述保護層具有開口,裸露出所述第一密封環的頂面與所述間隙壁。A semiconductor component including: The first sealing ring and the second sealing ring are separately arranged on the substrate; The gap wall is arranged on the first side wall of the first sealing ring; and A protective layer is disposed on the substrate and covers the second side wall of the first sealing ring and the second sealing ring. The protective layer has an opening that exposes the top surface of the first sealing ring and the Gap wall. 如申請專利範圍第11項所述的半導體元件,其中所述第一密封環與所述第二密封環分別具有N層導體層,其中所述間隙壁位於所述第一密封環的第N層導體層的部分側壁。The semiconductor element described in claim 11, wherein the first seal ring and the second seal ring each have N layers of conductor layers, and the spacer is located on the Nth layer of the first seal ring Part of the sidewall of the conductor layer. 如申請專利範圍第12項所述的半導體元件,其中所述間隙壁包括與所述保護層相同的材料。The semiconductor element according to the 12th patent application, wherein the spacer includes the same material as the protective layer. 如申請專利範圍第12項所述的半導體元件,其中所述第一密封環的所述第N層導體層的寬度小於所述第二密封環的所述第N層導體層的寬度。The semiconductor element according to claim 12, wherein the width of the Nth conductor layer of the first seal ring is smaller than the width of the Nth conductor layer of the second seal ring. 如申請專利範圍第12項所述的半導體元件,其中所述第一密封環的第N-1層導體層的寬度小於或等於所述第一密封環的所述第N層導體層的寬度,且小於或等於所述第一密封環的第N-2層導體層的寬度。The semiconductor element according to item 12 of the scope of patent application, wherein the width of the N-1th conductor layer of the first seal ring is less than or equal to the width of the Nth conductor layer of the first seal ring, And it is less than or equal to the width of the N-2th conductor layer of the first sealing ring. 如申請專利範圍第12項所述的半導體元件,其中所述開口的底面的高度等於或低於所述第一密封環的第N層導體層的底面的高度。The semiconductor element according to item 12 of the scope of patent application, wherein the height of the bottom surface of the opening is equal to or lower than the height of the bottom surface of the Nth conductor layer of the first sealing ring. 如申請專利範圍第16項所述的半導體元件,其中所述開口的所述底面裸露出位於所述基底上的介電層。The semiconductor device according to claim 16, wherein the bottom surface of the opening exposes the dielectric layer on the substrate. 如申請專利範圍第12項所述的半導體元件,其中所述開口與所述基底之間的導體層的層數小於N。The semiconductor element described in item 12 of the scope of patent application, wherein the number of conductor layers between the opening and the substrate is less than N. 如申請專利範圍第11項所述的半導體元件,其中所述第一密封環包括多數個第一介層窗,所述第二密封環包括多數個第二介層窗,且所述第一介層窗的數量小於所述第二介層窗的數量。The semiconductor device according to claim 11, wherein the first sealing ring includes a plurality of first vias, the second sealing ring includes a plurality of second vias, and the first via The number of layer windows is smaller than the number of second via windows. 如申請專利範圍第11項所述的半導體元件,其中所述第一密封環未繞線,所述第二密封環用來繞線。The semiconductor element described in item 11 of the scope of patent application, wherein the first sealing ring is not wound, and the second sealing ring is used for winding.
TW108124716A 2019-07-12 2019-07-12 Semiconductor device and method of fabricating the same TWI696227B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW108124716A TWI696227B (en) 2019-07-12 2019-07-12 Semiconductor device and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108124716A TWI696227B (en) 2019-07-12 2019-07-12 Semiconductor device and method of fabricating the same

Publications (2)

Publication Number Publication Date
TWI696227B TWI696227B (en) 2020-06-11
TW202103241A true TW202103241A (en) 2021-01-16

Family

ID=72176350

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108124716A TWI696227B (en) 2019-07-12 2019-07-12 Semiconductor device and method of fabricating the same

Country Status (1)

Country Link
TW (1) TWI696227B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797465B (en) * 2020-07-31 2023-04-01 新唐科技股份有限公司 Semiconductor chip

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7453128B2 (en) * 2003-11-10 2008-11-18 Panasonic Corporation Semiconductor device and method for fabricating the same
JP6061726B2 (en) * 2013-02-26 2017-01-18 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor wafer
US9627332B1 (en) * 2016-02-05 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit structure and seal ring structure
JP6936027B2 (en) * 2017-03-09 2021-09-15 ルネサスエレクトロニクス株式会社 Semiconductor devices and their manufacturing methods
CN208706621U (en) * 2018-09-20 2019-04-05 长鑫存储技术有限公司 Chip seal ring structure and semiconductor chip

Also Published As

Publication number Publication date
TWI696227B (en) 2020-06-11

Similar Documents

Publication Publication Date Title
US9117882B2 (en) Non-hierarchical metal layers for integrated circuits
US9236291B2 (en) Method of manufacturing semiconductor device
CN107452672B (en) Semiconductor structure, method of manufacturing the same, and method of manufacturing seal ring structure
US20140210056A1 (en) Semiconductor device
TWI567890B (en) Semiconductor device structure and method for forming the same
US9117804B2 (en) Interposer structure and manufacturing method thereof
KR20170028817A (en) Different height of dummy fin
US8962484B2 (en) Method of forming pattern for semiconductor device
JP2009123734A (en) Semiconductor device, and manufacturing method thereof
US10504781B2 (en) Semiconductor structure and method for forming the same
US20180108608A1 (en) Memory device and manufacturing method thereof
US9281193B2 (en) Patterning method for semiconductor device fabrication
TW202243058A (en) Integrated chip
US8697537B2 (en) Method of patterning for a semiconductor device
TWI696227B (en) Semiconductor device and method of fabricating the same
US11004805B2 (en) Semiconductor device and method of fabricating same including two seal rings
US8884402B2 (en) Circuit layout structure
CN112331618B (en) Semiconductor assembly and method for manufacturing the same
US11145601B2 (en) Semiconductor chip including alignment pattern
TW202137513A (en) Method for forming contact structure in three-dimension memory device
KR20210002324A (en) Back end of line via to metal line margin improvement
US20050142856A1 (en) Method of fabricating interconnection structure of semiconductor device
US20240047350A1 (en) Metal structure having funnel-shaped interconnect and method of manufacturing the same
US20220165660A1 (en) Method of back end of line via to metal line margin improvement
CN114695321A (en) High voltage isolated microelectronic device