TW202038379A - Methods of forming semiconductor devices - Google Patents

Methods of forming semiconductor devices Download PDF

Info

Publication number
TW202038379A
TW202038379A TW108140243A TW108140243A TW202038379A TW 202038379 A TW202038379 A TW 202038379A TW 108140243 A TW108140243 A TW 108140243A TW 108140243 A TW108140243 A TW 108140243A TW 202038379 A TW202038379 A TW 202038379A
Authority
TW
Taiwan
Prior art keywords
temperature
dielectric layer
manufacturing
semiconductor
semiconductor device
Prior art date
Application number
TW108140243A
Other languages
Chinese (zh)
Other versions
TWI791920B (en
Inventor
高琬貽
柯忠祁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/529,098 external-priority patent/US11211243B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202038379A publication Critical patent/TW202038379A/en
Application granted granted Critical
Publication of TWI791920B publication Critical patent/TWI791920B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

A method includes etching a semiconductor substrate to form a trench, and depositing a dielectric layer using an Atomic Layer Deposition (ALD) cycle. The dielectric layer extends into the trench. The ALD cycle includes pulsing Hexachlorodisilane (HCD) to the semiconductor substrate, purging the HCD, pulsing triethylamine to the semiconductor substrate, and purging the triethylamine. An anneal process is then performed on the dielectric layer.

Description

半導體裝置的製造方法Manufacturing method of semiconductor device

本發明實施例是關於半導體製造技術,特別是有關於半導體裝置及其製造方法。The embodiments of the present invention are related to semiconductor manufacturing technology, and particularly to semiconductor devices and manufacturing methods thereof.

隨著積體電路的尺寸越來越小以及對積體電路速度的要求越來越高,電晶體需要較高的驅動電流伴隨具有越來越小的尺寸。因此,開發了鰭式場效電晶體(Fin Field-Effect Transistors,FinFET)。鰭式場效電晶體包含在基底之上的垂直半導體鰭片。半導體鰭片用於形成源極和汲極區,以及用於在源極和汲極區之間形成通道區。形成淺溝槽隔離(Shallow Trench Isolation,STI)區以界定半導體鰭片。鰭式場效電晶體還包含閘極堆疊,其形成於半導體鰭片的側壁和頂表面上。As the size of the integrated circuit becomes smaller and the requirements for the speed of the integrated circuit become higher and higher, the transistor requires a higher drive current and is accompanied by a smaller and smaller size. Therefore, Fin Field-Effect Transistors (FinFET) were developed. Fin-type field effect transistors include vertical semiconductor fins on the substrate. The semiconductor fins are used to form source and drain regions, and to form a channel region between the source and drain regions. A Shallow Trench Isolation (STI) region is formed to define the semiconductor fins. The fin field effect transistor also includes a gate stack formed on the sidewall and top surface of the semiconductor fin.

在淺溝槽隔離區的形成和鰭式場效電晶體的形成中,先形成淺溝槽隔離區,例如使用可流動的氧化物,接著使用紫外光(Ultra-Violet,UV)固化或在含氧環境中的熱氧化進行後處理。然後,將相應的晶圓退火。In the formation of shallow trench isolation regions and the formation of fin-type field effect transistors, the shallow trench isolation regions are formed first, such as using a flowable oxide, followed by ultraviolet light (Ultra-Violet, UV) curing or oxygen-containing Thermal oxidation in the environment is post-processed. Then, the corresponding wafers are annealed.

根據本發明實施例中的一些實施例,提供半導體裝置的製造方法。此方法包含:蝕刻半導體基底以形成溝槽;使用原子層沉積循環來沉積介電層,其中介電層延伸至溝槽中,且其中原子層沉積循環包含將六氯二矽烷脈衝到半導體基底;吹淨六氯二矽烷;將三乙胺脈衝到半導體基底;以及吹淨三乙胺;以及對介電層進行退火製程。According to some of the embodiments of the present invention, a method of manufacturing a semiconductor device is provided. The method includes: etching the semiconductor substrate to form a trench; depositing a dielectric layer using an atomic layer deposition cycle, wherein the dielectric layer extends into the trench, and wherein the atomic layer deposition cycle includes pulsing hexachlorodisilane to the semiconductor substrate; Blow off hexachlorodisilane; pulse triethylamine onto the semiconductor substrate; and blow off triethylamine; and perform an annealing process on the dielectric layer.

根據本發明實施例中的另一些實施例,提供半導體裝置的製造方法。此方法包含在半導體條上沉積介電層,其中介電層的沉積包含一循環,且此循環包含:將矽和氯原子附接到半導體條上的氧原子上;用氮原子和烷基取代氯原子;以及用氧原子取代氮原子和烷基的第一部分;用OH鍵移除氮原子和烷基的第二部分;以及將介電層退火以形成Si-O-Si鍵。According to some other embodiments of the embodiments of the present invention, methods for manufacturing semiconductor devices are provided. The method includes depositing a dielectric layer on the semiconductor strip, wherein the deposition of the dielectric layer includes a cycle, and the cycle includes: attaching silicon and chlorine atoms to oxygen atoms on the semiconductor strip; replacing with nitrogen atoms and alkyl groups And replacing the nitrogen atom and the first part of the alkyl group with an oxygen atom; removing the nitrogen atom and the second part of the alkyl group with an OH bond; and annealing the dielectric layer to form a Si-O-Si bond.

根據本發明實施例中的又另一些實施例,提供半導體裝置的製造方法。此方法包含形成第一半導體條;沉積包含氧化矽的介電層,碳摻雜於所述氧化矽中,其中介電層包含:水平部分;以及垂直部分連接到水平部分的一端,其中垂直部分接觸第一半導體條的下部的側壁,其中第一半導體條的頂部突出高於垂直部分的頂表面以形成半導體鰭片;以及形成閘極堆疊在半導體鰭片的側壁和頂表面上延伸。According to still other embodiments of the embodiments of the present invention, methods for manufacturing semiconductor devices are provided. The method includes forming a first semiconductor strip; depositing a dielectric layer containing silicon oxide, carbon doped in the silicon oxide, wherein the dielectric layer includes: a horizontal portion; and one end of the vertical portion connected to the horizontal portion, wherein the vertical portion Contacting the sidewall of the lower portion of the first semiconductor strip, wherein the top of the first semiconductor strip protrudes higher than the top surface of the vertical portion to form a semiconductor fin; and forming a gate stack to extend on the sidewall and top surface of the semiconductor fin.

以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。另外,本發明實施例在不同範例中可重複使用參考數字及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。The following content provides many different embodiments or examples for implementing different components of the embodiments of the present invention. Specific examples of components and configurations are described below to simplify the embodiments of the present invention. Of course, these are only examples and are not used to limit the embodiments of the present invention. For example, if it is mentioned in the description that the first part is formed on or above the second part, it may include an embodiment where the first part and the second part are in direct contact, or may include additional parts formed on the first part and the second part. Between the two parts, the first part and the second part are not in direct contact. In addition, the embodiment of the present invention may reuse reference numbers and/or letters in different examples. This repetition is for the purpose of simplification and clarity, and does not represent a specific relationship between the different embodiments and/or configurations discussed.

此外,本文可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則在此所使用的空間相對形容詞也將依轉向後的方位來解釋。In addition, this article may use spatial relative terms, such as "below...", "below...", "below", "above...", "above" and similar terms, which are relative to each other. The terminology is used to facilitate the description of the relationship between one element(s) or component(s) and another element(s) or component(s) as shown in the figure. These spatial relative terms include the different orientations of the devices in use or operation, as well as the orientations described in the diagrams. When the device is turned in different directions (rotated by 90 degrees or other directions), the spatially relative adjectives used here will also be interpreted according to the turned position.

提供淺溝槽隔離區、鰭式場效電晶體及其形成方法。根據一些實施例繪示淺溝槽隔離區和鰭式場效電晶體的形成過程的中間階段。討論一些實施例的一些變化。整個各種示意圖和說明性實施例中,相似的參考標號用於指示相似的元件。根據本發明實施例中的一些實施例,淺溝槽隔離區的形成藉由形成SiNOCH膜,然後進行退火製程以將SiNOCH膜中的Si-N-C鍵轉換成Si-OH鍵,接著轉換成Si-O-Si鍵。經由這些製程,所得到的淺溝槽隔離區沒有或大致上沒有空隙和接縫。Provide shallow trench isolation regions, fin-type field effect transistors and their forming methods. According to some embodiments, the intermediate stage of the formation process of the shallow trench isolation region and the fin field effect transistor is illustrated. Discuss some variations of some embodiments. Throughout the various schematic diagrams and illustrative embodiments, similar reference numerals are used to indicate similar elements. According to some of the embodiments of the present invention, the shallow trench isolation region is formed by forming a SiNOCH film, and then performing an annealing process to convert Si-NC bonds in the SiNOCH film into Si-OH bonds, and then into Si- O-Si bond. Through these processes, the shallow trench isolation region obtained has no or substantially no voids and seams.

將針對特定背景描述實施例,即藉由形成順應性(conformal)淺溝槽隔離層的淺溝槽隔離形成製程。所討論的實施例的概念還可以應用於其他結構的結構和處理,包含但不限於要填充氧化矽的任何其他間隙填充製程。在此討論的實施例將提供範例,以使得能夠進行或使用本發明實施例的標的,並且發明所屬技術領域中具有通常知識者將容易理解可以進行的修改,同時保持在不同實施​​例的預期範圍內。下圖中相似的參考數字和符號表示相似的組件。雖然可以討論在特定順序下進行的方法實施例,但可以採用任何邏輯順序進行其他方法實施例。The embodiment will be described for a specific background, that is, a shallow trench isolation formation process by forming a conformal shallow trench isolation layer. The concepts of the discussed embodiments can also be applied to the structure and processing of other structures, including but not limited to any other gap filling process to be filled with silicon oxide. The embodiments discussed here will provide examples to enable or use the subject matter of the embodiments of the present invention, and those with ordinary knowledge in the technical field to which the invention pertains will easily understand the modifications that can be made while maintaining the various embodiments.​​​​ Within the expected range. Similar reference numbers and symbols in the following figures indicate similar components. Although method embodiments performed in a specific order can be discussed, other method embodiments can be performed in any logical order.

第1、2A、2B和3至9圖根據本發明實施例中的一些實施例繪示形成淺溝槽隔離區和鰭式場效電晶體的一部分的中間階段的剖面示意圖。相應的製程也示意性地顯示在第22圖所示之製程流程200中。FIGS. 1, 2A, 2B, and 3-9 illustrate schematic cross-sectional views of an intermediate stage of forming a part of a shallow trench isolation region and a fin-type field effect transistor according to some embodiments of the present invention. The corresponding manufacturing process is also schematically shown in the manufacturing process 200 shown in FIG. 22.

在第1圖中,提供基底20。基底20可以是半導體基底,例如塊體(bulk)半導體基底、絕緣體上覆半導體(Semiconductor-On-Insulator,SOI)基底或類似的基底,其可以被摻雜(例如用p型或n型摻質)或不摻雜。半導體基底(又稱為基底)20可以是晶圓10的一部分,例如矽晶圓。總體而言,絕緣體上覆半導體基底是在絕緣層上形成的半導體材料層。舉例來說,絕緣層可以是埋藏氧化物(Buried Oxide,BOX)層、氧化矽層或類似的膜層。絕緣層設置在通常是矽或玻璃基底的基底上。也可以使用其他基底,例如多層或漸變基底。在一些實施例中,半導體基底20的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。In Figure 1, a substrate 20 is provided. The substrate 20 may be a semiconductor substrate, such as a bulk semiconductor substrate, a Semiconductor-On-Insulator (SOI) substrate, or a similar substrate, which may be doped (for example, with p-type or n-type dopants). ) Or without adulteration. The semiconductor substrate (also referred to as the substrate) 20 may be a part of the wafer 10, such as a silicon wafer. In general, the semiconductor-on-insulator substrate is a layer of semiconductor material formed on an insulating layer. For example, the insulating layer may be a buried oxide (BOX) layer, a silicon oxide layer or a similar film layer. The insulating layer is provided on a substrate that is usually a silicon or glass substrate. Other substrates can also be used, such as multilayer or graded substrates. In some embodiments, the semiconductor material of the semiconductor substrate 20 may include silicon; germanium; compound semiconductors, including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; alloy semiconductors, Contains SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP; or a combination of the foregoing.

進一步參照第1圖,在基底20中形成井區22。相應的製程在第22圖所示之製程流程200中被繪示為製程202。根據本發明實施例中的一些實施例,井區22是p型井區,其藉由將p型雜質佈植至基底20中而形成,p型雜質可以是硼、銦或類似的雜質。根據本發明實施例中的其他實施例,井區22是n型井區,其藉由將n型雜質佈植至基底20中而形成,n型雜質可以是磷、砷、銻或類似的雜質。所形成的井區22可以延伸至基底20的頂表面。n型或p型雜質濃度可以等於或小於1018 cm-3 ,例如在約1017 cm-3 至約1018 cm-3 的範圍。Further referring to FIG. 1, a well region 22 is formed in the base 20. The corresponding process is shown as process 202 in the process flow 200 shown in FIG. 22. According to some of the embodiments of the present invention, the well region 22 is a p-type well region, which is formed by implanting p-type impurities into the substrate 20, and the p-type impurities may be boron, indium or similar impurities. According to other embodiments in the embodiment of the present invention, the well region 22 is an n-type well region, which is formed by implanting n-type impurities into the substrate 20. The n-type impurities may be phosphorus, arsenic, antimony or similar impurities. . The formed well region 22 may extend to the top surface of the substrate 20. The n-type or p-type impurity concentration may be equal to or less than 10 18 cm -3 , for example, in the range of about 10 17 cm -3 to about 10 18 cm -3 .

參照第2A圖,在半導體基底20上形成襯墊氧化物(pad oxide)層28和硬遮罩層30。襯墊氧化物層28可以是由氧化矽形成的薄膜。根據本發明實施例中的一些實施例,在熱氧化製程中形成襯墊氧化物層28,其中半導體基底20的頂表面層被氧化。襯墊氧化物層28作為半導體基底20與硬遮罩層30之間的黏著層。襯墊氧化物層28還可以作為用於蝕刻硬遮罩層30的蝕刻停止層。根據本發明實施例中的一些實施例,硬遮罩層30由氮化矽形成,例如使用低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition,LPCVD)。根據本發明實施例中的其他實施例,硬遮罩層30由矽的熱氮化或電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition,PECVD)形成。在硬遮罩層30上方形成圖案化的光阻(未繪示)。然後,使用圖案化的光阻作為蝕刻遮罩,將硬遮罩層30和襯墊氧化物層28圖案化,以形成如第2A圖所示之圖案化的硬遮罩(又稱為硬遮罩層)30。Referring to FIG. 2A, a pad oxide layer 28 and a hard mask layer 30 are formed on the semiconductor substrate 20. The pad oxide layer 28 may be a thin film formed of silicon oxide. According to some of the embodiments of the present invention, the pad oxide layer 28 is formed in a thermal oxidation process, wherein the top surface layer of the semiconductor substrate 20 is oxidized. The pad oxide layer 28 serves as an adhesion layer between the semiconductor substrate 20 and the hard mask layer 30. The pad oxide layer 28 can also serve as an etch stop layer for etching the hard mask layer 30. According to some of the embodiments of the present invention, the hard mask layer 30 is formed of silicon nitride, for example, using Low-Pressure Chemical Vapor Deposition (LPCVD). According to other embodiments in the embodiments of the present invention, the hard mask layer 30 is formed by thermal nitridation of silicon or plasma-assisted chemical vapor deposition (Plasma Enhanced Chemical Vapor Deposition, PECVD). A patterned photoresist (not shown) is formed on the hard mask layer 30. Then, using the patterned photoresist as an etching mask, the hard mask layer 30 and the pad oxide layer 28 are patterned to form a patterned hard mask as shown in Figure 2A (also called a hard mask). Cover) 30.

然後,圖案化的硬遮罩層30作為蝕刻遮罩以蝕刻襯墊氧化物層28和基底20,藉此在基底20中形成溝槽32,如第2A圖所示。相應的製程在第22圖所示之製程流程200中被繪示為製程204。根據本發明實施例中的一些實施例,溝槽32形成為溝槽條,其長度方向彼此平行。溝槽32之間的半導體基底20的部分在下文中被稱為半導體條26。Then, the patterned hard mask layer 30 serves as an etching mask to etch the pad oxide layer 28 and the substrate 20, thereby forming a trench 32 in the substrate 20, as shown in FIG. 2A. The corresponding process is shown as process 204 in the process flow 200 shown in FIG. 22. According to some of the embodiments of the present invention, the grooves 32 are formed as groove strips whose length directions are parallel to each other. The portion of the semiconductor substrate 20 between the trenches 32 is referred to as a semiconductor strip 26 hereinafter.

第2B圖繪示第2A圖中的參照剖面2B-2B的剖面示意圖。為了簡化討論,繪示兩個半導體條26,其間的溝槽稱為窄溝槽32A,而可能存在一組緊密設置的半導體條26,其以窄溝槽32A彼此隔開。根據一些實施例,窄溝槽32A具有小的寬度W1,其可以小於約250 Å,或者在約100 Å至約250 Å的範圍。舉例來說,在緊密設置的半導體條26的群組的相反的外側上也可以存在寬溝槽。寬溝槽32B的寬度W2大於寬度W1,例如比例W2/W1大於約2.0。寬度W2也可以大於約150 Å。溝槽(又稱為窄溝槽)32A和(又稱為寬溝槽)32B統稱為溝槽32。根據本發明實施例中的一些實施例,窄溝槽32A的深度D1小於寬溝槽32B的深度D2。Figure 2B is a schematic cross-sectional view of the reference section 2B-2B in Figure 2A. To simplify the discussion, two semiconductor strips 26 are shown, and the trenches between them are called narrow trenches 32A. There may be a group of closely arranged semiconductor strips 26 separated from each other by narrow trenches 32A. According to some embodiments, the narrow trench 32A has a small width W1, which may be less than about 250 Å, or in the range of about 100 Å to about 250 Å. For example, there may also be wide trenches on the opposite outer side of the group of closely arranged semiconductor strips 26. The width W2 of the wide trench 32B is greater than the width W1, for example, the ratio W2/W1 is greater than about 2.0. The width W2 can also be greater than about 150 Å. The grooves (also called narrow grooves) 32A and (also called wide grooves) 32B are collectively called grooves 32. According to some of the embodiments of the present invention, the depth D1 of the narrow trench 32A is smaller than the depth D2 of the wide trench 32B.

第3和4圖繪示介電層34的成長/沉積的中間階段。相應的製程在第22圖所示之製程流程200中被繪示為製程206。在沉積製程的開始,將晶圓10放置在原子層沉積(Atomic Layer Deposition,ALD)腔室(未繪示)中,在其中進行原子層沉積循環以順應性地成長介電層34。第3圖繪示介電層34的初始成長,其是順應性地,並且介電層34的水平部分的厚度T1等於介電層34的垂直部分的厚度T2。Figures 3 and 4 illustrate the intermediate stages of the growth/deposition of the dielectric layer 34. The corresponding process is shown as process 206 in the process flow 200 shown in FIG. 22. At the beginning of the deposition process, the wafer 10 is placed in an Atomic Layer Deposition (ALD) chamber (not shown), where an Atomic Layer Deposition cycle is performed to grow the dielectric layer 34 compliantly. FIG. 3 shows the initial growth of the dielectric layer 34, which is compliant, and the thickness T1 of the horizontal portion of the dielectric layer 34 is equal to the thickness T2 of the vertical portion of the dielectric layer 34.

第10圖示意性地繪示在介電層34成長期間的中間化學結構。使用參考數字112、114、116和118標示第10圖所示之中間結構,以區分由不同階段生成的結構。晶圓10包含基材層(base layer)110,其可以表示在第3圖中露出的部件,包含基底20、半導體條26、襯墊層(又稱為襯墊氧化物層)28和硬遮罩30,只要這些部件在沉積製程開始時被暴露出即可。第10圖中的初始結構被稱為結構112。在繪示的範例中,基材層110被繪示為包含矽,矽可以是晶體矽、非晶矽、多晶矽或化合物中的矽的形式。根據本發明實施例中的一些實施例,由於原生氧化物的形成和暴露於濕氣,在含矽層(又稱為基材層)110的表面形成Si-OH鍵。基材層110可以包含其他類型的含矽材料,例如氧化矽、氮化矽、碳氧化矽、氮氧化矽或類似的材料。如第3圖所示,介電層34也可以沉積於其他非含矽層上,例如襯墊層28和硬遮罩30上。FIG. 10 schematically shows the intermediate chemical structure during the growth of the dielectric layer 34. The reference numerals 112, 114, 116, and 118 are used to denote the intermediate structure shown in FIG. 10 to distinguish the structures generated by different stages. The wafer 10 includes a base layer 110, which can represent the components exposed in Figure 3, including a substrate 20, a semiconductor strip 26, a pad layer (also called a pad oxide layer) 28, and a hard mask. The cover 30, as long as these components are exposed at the beginning of the deposition process. The initial structure in Figure 10 is called structure 112. In the illustrated example, the substrate layer 110 is shown as containing silicon. The silicon may be in the form of crystalline silicon, amorphous silicon, polycrystalline silicon, or silicon in a compound. According to some of the embodiments of the present invention, due to the formation of native oxide and exposure to moisture, Si—OH bonds are formed on the surface of the silicon-containing layer (also referred to as the substrate layer) 110. The substrate layer 110 may include other types of silicon-containing materials, such as silicon oxide, silicon nitride, silicon oxycarbide, silicon oxynitride, or similar materials. As shown in FIG. 3, the dielectric layer 34 can also be deposited on other non-silicon-containing layers, such as the liner layer 28 and the hard mask 30.

再次參照第10圖,在製程130中,將六氯二矽烷(Hexachlorodisilane,HCD)導入/脈衝至在其中放置晶圓10(第3圖)的原子層沉積腔室中。相應的製程在第22圖所示之製程流程200中被繪示為製程208。HCD具有化學式(SiCl3 )2 。第11A圖根據一些實施例繪示HCD分子的化學式。化學式顯示HCD分子包含與兩個矽原子鍵結的氯原子。當HCD被脈衝到原子層沉積腔室中時,將晶圓10加熱到例如約550°C至約670°C的溫度。如結構112所示之OH鍵被打斷,並且矽原子與鍵結至它們的氯原子一起鍵結至氧原子以形成O-Si-Cl鍵。所得到的結構稱為結構114。根據本發明實施例中的一些實施例,當導入HCD時,不打開電漿。HCD氣體可以在原子層沉積腔室中保持約20秒至約25秒的時間。根據一些實施例,原子層沉積腔室的壓力可以在約100帕(Pa)至約150 Pa的範圍。Referring again to FIG. 10, in the process 130, hexachlorodisilane (HCD) is introduced/pulsed into the atomic layer deposition chamber in which the wafer 10 (FIG. 3) is placed. The corresponding process is shown as process 208 in the process flow 200 shown in FIG. 22. HCD has the chemical formula (SiCl 3 ) 2 . Figure 11A illustrates the chemical formula of HCD molecules according to some embodiments. The chemical formula shows that the HCD molecule contains a chlorine atom bonded to two silicon atoms. When the HCD is pulsed into the atomic layer deposition chamber, the wafer 10 is heated to a temperature of, for example, about 550°C to about 670°C. The OH bond shown in structure 112 is broken, and the silicon atom and the chlorine atom bonded to them are bonded to the oxygen atom to form an O-Si-Cl bond. The resulting structure is called structure 114. According to some of the embodiments of the present invention, when HCD is introduced, the plasma is not turned on. The HCD gas can be maintained in the atomic layer deposition chamber for a period of about 20 seconds to about 25 seconds. According to some embodiments, the pressure of the atomic layer deposition chamber may range from about 100 Pascals (Pa) to about 150 Pa.

接著,從原子層沉積腔室吹淨(purged)HCD。相應的製程在第22圖中所示之製程流程200中也被繪示為製程208。在製程132中,可以將包含與烷基鍵結的氮原子的製程氣體脈衝至原子層沉積腔室中。舉例來說,可以脈衝三乙胺。相應的製程在第22圖所示之製程流程200中被繪示為製程210。三乙胺可以具有化學式N(CH2 CH3 )3 ,其包含鍵結至三個乙基(CH2 CH3 )的氮原子。第11B圖根據一些實施例繪示三乙胺的化學式。化學式顯示三乙胺包含一個氮原子鍵結至三個乙基,每個與N原子相連的「>」符號表示一個乙基(CH2 CH3 或與CH3 分子鍵結的CH2 分子)。隨著三乙胺的導入/脈衝,晶圓10的溫度也保持升高,例如在約550°C至約670°C的範圍。溫度也可以與導入HCD的製程的溫度保持相同。根據本發明實施例中的一些實施例,當導入三乙胺時,不打開電漿。在三乙胺的脈衝期間,原子層沉積腔室的壓力可以在約800 Pa至約1,000 Pa的範圍。Next, the HCD is purged from the atomic layer deposition chamber. The corresponding process is also shown as process 208 in the process flow 200 shown in FIG. 22. In the process 132, a process gas containing nitrogen atoms bonded to an alkyl group may be pulsed into the atomic layer deposition chamber. For example, triethylamine can be pulsed. The corresponding process is shown as process 210 in the process flow 200 shown in FIG. 22. Triethylamine may have the chemical formula N(CH 2 CH 3 ) 3 , which contains a nitrogen atom bonded to three ethyl groups (CH 2 CH 3 ). Figure 11B illustrates the chemical formula of triethylamine according to some embodiments. The chemical formula shows that triethylamine contains one nitrogen atom bonded to three ethyl groups, and each ">" symbol connected to the N atom represents an ethyl group (CH 2 CH 3 or CH 2 molecule bonded to a CH 3 molecule). With the introduction/pulsing of triethylamine, the temperature of the wafer 10 also keeps increasing, for example, in the range of about 550°C to about 670°C. The temperature can also be kept the same as the temperature of the process introduced into the HCD. According to some of the embodiments of the present invention, when triethylamine is introduced, the plasma is not turned on. During the pulse of triethylamine, the pressure of the atomic layer deposition chamber may range from about 800 Pa to about 1,000 Pa.

結構114與三乙胺反應。所得到的結構稱為結構116。結構114中的Si-Cl鍵被打斷,使得(例如在三乙胺中的)氮原子可以鍵結至矽原子。矽原子可以鍵結至三個氮原子,每個氮原子還鍵結至兩個乙基。在製程132中,可以將三乙胺保持在原子層沉積腔室中約5秒至約15秒的時間,然後將三乙胺從原子層沉積腔室中吹淨。Structure 114 reacts with triethylamine. The resulting structure is called structure 116. The Si-Cl bond in structure 114 is broken so that nitrogen atoms (such as in triethylamine) can bond to silicon atoms. Silicon atoms can be bonded to three nitrogen atoms, and each nitrogen atom is also bonded to two ethyl groups. In the process 132, the triethylamine may be kept in the atomic layer deposition chamber for about 5 seconds to about 15 seconds, and then the triethylamine may be blown out of the atomic layer deposition chamber.

接著,如第10圖的製程134所示,將氧氣(O2 )脈衝至原子層沉積腔室中。相應的製程在第22圖所示之製程流程200中被繪示為製程212。在製程212中,結構116與氧氣反應而產生結構118。烷基(例如結構116中的乙基)有助於將Si-N轉換成Si-O鍵,舉例來說,藉由製程134打斷結構116中的Si-N鍵並將矽原子鍵結至氧原子。一些氮原子及其鍵結的乙基也可以保持鍵結至矽原子。一些氧原子可以鍵結至兩個矽原子,以在一些矽原子之間產生交聯。根據本發明實施例中的一些實施例,當導入氧氣時,不打開電漿。在氧氣的脈衝期間,原子層沉積腔室的壓力可以在約800 Pa至約1,000 Pa的範圍。氧氣可以在原子層沉積腔室中保持約5秒至約15秒的時間,然後從原子層沉積腔室中吹淨。Next, as shown in the process 134 in FIG. 10, oxygen (O 2 ) is pulsed into the atomic layer deposition chamber. The corresponding process is shown as process 212 in the process flow 200 shown in FIG. 22. In the process 212, the structure 116 reacts with oxygen to produce the structure 118. Alkyl groups (such as the ethyl group in structure 116) help convert Si-N into Si-O bonds. For example, the Si-N bond in structure 116 is broken by process 134 and the silicon atom is bonded to Oxygen atom. Some nitrogen atoms and their bonded ethyl groups can also remain bonded to silicon atoms. Some oxygen atoms can be bonded to two silicon atoms to create cross-links between some silicon atoms. According to some of the embodiments of the present invention, when oxygen is introduced, the plasma is not turned on. During the pulse of oxygen, the pressure of the atomic layer deposition chamber may range from about 800 Pa to about 1,000 Pa. The oxygen can be kept in the atomic layer deposition chamber for a period of about 5 seconds to about 15 seconds, and then blown out of the atomic layer deposition chamber.

在以上討論的製程中,製程130和132的組合可以被稱為原子層沉積循環136,原子層沉積循環136使得包含矽原子的原子層的成長並且相應的鍵結氮原子和乙基。此外,製程130、132和134的組合也可以被稱為原子層沉積循環138,原子層沉積循環138使得包含矽原子以及相應的鍵結氮原子和乙基的原子層的成長,並且鍵結氧原子。根據一些實施例,由原子層沉積循環138產生的原子層具有約1 Å的厚度。In the process discussed above, the combination of processes 130 and 132 may be referred to as an atomic layer deposition cycle 136. The atomic layer deposition cycle 136 allows the growth of an atomic layer containing silicon atoms and bonding nitrogen atoms and ethyl groups accordingly. In addition, the combination of processes 130, 132, and 134 can also be referred to as an atomic layer deposition cycle 138. The atomic layer deposition cycle 138 allows the growth of an atomic layer containing silicon atoms and the corresponding bonded nitrogen atoms and ethyl groups, and bonding oxygen. atom. According to some embodiments, the atomic layer produced by the atomic layer deposition cycle 138 has a thickness of about 1 Å.

在完成製程134之後,重複包含製程130、132和134的原子層沉積循環138,以便沉積多個原子層以形成介電層34,如第4圖所示。在後續的原子層沉積循環中,可以打斷在先前的原子層沉積循環中形成的Si-O鍵和Si-N鍵,並且由於HCD的脈衝而可以形成Si-Cl鍵。然後可以用Si-N鍵和相應的乙基取代Si-Cl鍵。然後可以使用O2 形成Si-O鍵,Si-O鍵取代一些Si-N鍵。第12圖繪示額外的原子層作為範例。應理解的是,取決於介電層34的所需厚度,可以存在許多原子層。沉積的介電層34是SiONCH層。After the process 134 is completed, the atomic layer deposition cycle 138 including the processes 130, 132, and 134 is repeated to deposit a plurality of atomic layers to form the dielectric layer 34, as shown in FIG. In the subsequent atomic layer deposition cycle, the Si-O bond and Si-N bond formed in the previous atomic layer deposition cycle can be broken, and the Si-Cl bond can be formed due to the pulse of HCD. The Si-Cl bond can then be replaced with a Si-N bond and the corresponding ethyl group. Then you can use O 2 to form Si-O bonds, with Si-O bonds replacing some of the Si-N bonds. Figure 12 shows the additional atomic layer as an example. It should be understood that, depending on the desired thickness of the dielectric layer 34, there may be many atomic layers. The deposited dielectric layer 34 is a SiONCH layer.

重複原子層沉積循環138,直到所得到的介電層34具有所需的厚度為止。舉例來說,如第4圖所示,從相鄰的半導體條26成長的介電層34的部分朝向彼此成長,並且最終彼此接觸以產生界面36。應理解的是,可能會產生接縫處也稱為界面36。在界面36處也可能產生一些空隙38,這些空隙38可能是由於半導體條26的側壁上的小凹槽。應理解的是,雖然從相鄰的半導體條26成長的介電層34的部分彼此接觸,但這些部分僅彼此接觸,而沒有在它們之間形成交聯。舉例來說,第13圖示意性地繪示在介電層34的左側部分和介電層34的右側部分之間形成的接縫/界面36,在左側部分和右側部分的邊界原子之間沒有形成交聯。The atomic layer deposition cycle 138 is repeated until the resulting dielectric layer 34 has the desired thickness. For example, as shown in FIG. 4, the portions of the dielectric layer 34 grown from adjacent semiconductor strips 26 grow toward each other, and eventually contact each other to produce an interface 36. It should be understood that the place where a seam may occur is also referred to as the interface 36. Some voids 38 may also be generated at the interface 36, and these voids 38 may be due to small grooves on the sidewall of the semiconductor strip 26. It should be understood that although the portions of the dielectric layer 34 grown from the adjacent semiconductor strips 26 are in contact with each other, these portions only contact each other without forming cross-links between them. For example, Figure 13 schematically shows a seam/interface 36 formed between the left part of the dielectric layer 34 and the right part of the dielectric layer 34, between the boundary atoms in the left part and the right part No crosslinks are formed.

根據本發明實施例中的一些實施例,在原子層沉積循環138之後,所得到的介電層34的碳百分比在約1%至約15%的範圍,並且氮百分比在約5%至約20%的範圍。介電層34中的其餘元素主要是矽和氧,其矽與氧的原子比可以為約1.5:2至約1:2.5。比例可以是例如約1:2。According to some of the embodiments of the present invention, after the atomic layer deposition cycle 138, the resulting dielectric layer 34 has a carbon percentage ranging from about 1% to about 15%, and a nitrogen percentage ranging from about 5% to about 20%. % Range. The remaining elements in the dielectric layer 34 are mainly silicon and oxygen, and the atomic ratio of silicon to oxygen can be about 1.5:2 to about 1:2.5. The ratio can be, for example, about 1:2.

介電層34的沉積(成長)之後,進行退火製程。相應的製程在第22圖所示之製程流程200中被繪示為製程214。根據本發明實施例中的一些實施例,退火製程包含低溫濕式退火製程(製程216)、高溫濕式退火製程(製程218)和乾式退火處理(製程220)。可以使用蒸汽(H2 O)作為製程氣體來進行低溫製程和高溫濕式退火製程。乾式退火製程的進行可以使用氮氣(N2 )、氬氣或類似的氣體作為載氣。以下參照第14至20圖討論退火製程。After the deposition (growth) of the dielectric layer 34, an annealing process is performed. The corresponding process is shown as process 214 in the process flow 200 shown in FIG. 22. According to some of the embodiments of the present invention, the annealing process includes a low temperature wet annealing process (process 216), a high temperature wet annealing process (process 218), and a dry annealing process (process 220). Steam (H 2 O) can be used as a process gas for low temperature process and high temperature wet annealing process. The dry annealing process can use nitrogen (N 2 ), argon or similar gas as a carrier gas. The annealing process is discussed below with reference to Figures 14 to 20.

根據本發明實施例中的一些實施例,先進行低溫濕式退火製程。相應的製程在第22圖所示之製程流程200中被繪示為製程216。低溫濕式退火製程在約300°C至約450°C的相對低的溫度下進行。低溫濕式退火製程可以持續約3小時至約5小時的時間。低溫退火期間的壓力可以為約1大氣壓。低溫濕式退火製程具有兩個功能。第一個功能是使水/蒸汽(H2 O)分子滲透到介電層34中,如第15圖所示意性地繪示,其中實心點表示H2 O分子。第二功能是將介電層34中的Si-N-C鍵、Si-CH3 鍵和Si-N-Si鍵部分地轉換成Si-OH鍵。將溫度控制到夠高以引起至少部分轉換的溫度。According to some of the embodiments of the present invention, a low temperature wet annealing process is first performed. The corresponding process is shown as process 216 in the process flow 200 shown in FIG. 22. The low temperature wet annealing process is performed at a relatively low temperature of about 300°C to about 450°C. The low temperature wet annealing process can last from about 3 hours to about 5 hours. The pressure during the low temperature annealing may be about 1 atmosphere. The low temperature wet annealing process has two functions. The first function is to allow water/steam (H 2 O) molecules to penetrate into the dielectric layer 34, as shown schematically in Figure 15, where the solid dots represent H 2 O molecules. The second function is to partially convert Si-NC bonds, Si-CH 3 bonds, and Si-N-Si bonds in the dielectric layer 34 into Si-OH bonds. Control the temperature to a temperature high enough to cause at least partial conversion.

第21圖繪示一些實驗結果,其中X軸代表退火條件,包含退火溫度和退火時間。每個X軸值的字母「C」表示以攝氏度為單位的退火溫度,字母「M」表示以分鐘為單位的退火時間,而字母「H」表示以小時為單位的退火時間。舉例來說,「W200C30M」表示當晶圓在200°C下退火30分鐘時獲得的相應值。有三個Y軸,分別代表氮([N])原子百分比、碳([C])原子百分比和退火後的介電層的膨脹率。第21圖的結果指出在退火製程之前(對應於X軸值「NA」),碳百分比和氮百分比高。隨著退火製程的持續及/或採用更高的溫度,碳百分比和氮百分比降低到某些程度,例如小於1%。這意味著原始的碳原子和氮原子(如第12圖所示)開始轉換成OH,如第14圖所示。此外,如第21圖所示,當溫度高於450°C時,介電膜的膨脹率可能增加。因為介電層34的表面部分的膨脹早於內部,介電層34的表面部分的膨脹會不利地阻止H2 O分子滲透並到達介電層34的內部。因此,為了避免介電層34的表面部分過早膨脹,在介電層34不膨脹的溫度(例如低於約450°C)下進行低溫濕式退火處理。另一方面,為了提高轉換效率和蒸汽滲透效率,在不太低的溫度下進行低溫濕式退火製程,並且溫度可以在約300°C至約450°C的範圍。Figure 21 shows some experimental results, where the X axis represents annealing conditions, including annealing temperature and annealing time. The letter "C" for each X-axis value represents the annealing temperature in degrees Celsius, the letter "M" represents the annealing time in minutes, and the letter "H" represents the annealing time in hours. For example, "W200C30M" represents the corresponding value obtained when the wafer is annealed at 200°C for 30 minutes. There are three Y-axes, representing nitrogen ([N]) atomic percentage, carbon ([C]) atomic percentage, and the expansion rate of the dielectric layer after annealing. The results in Figure 21 indicate that before the annealing process (corresponding to the X-axis value "NA"), the carbon percentage and nitrogen percentage are high. As the annealing process continues and/or higher temperatures are used, the carbon percentage and nitrogen percentage decrease to a certain degree, for example, less than 1%. This means that the original carbon and nitrogen atoms (as shown in Figure 12) begin to convert into OH, as shown in Figure 14. In addition, as shown in Figure 21, when the temperature is higher than 450°C, the expansion rate of the dielectric film may increase. Because the expansion of the surface portion of the dielectric layer 34 is earlier than the inside, the expansion of the surface portion of the dielectric layer 34 will disadvantageously prevent H 2 O molecules from penetrating and reaching the inside of the dielectric layer 34. Therefore, in order to avoid premature expansion of the surface portion of the dielectric layer 34, a low-temperature wet annealing treatment is performed at a temperature (for example, lower than about 450° C.) at which the dielectric layer 34 does not expand. On the other hand, in order to improve the conversion efficiency and steam permeation efficiency, the low-temperature wet annealing process is performed at a temperature that is not too low, and the temperature can be in the range of about 300°C to about 450°C.

第19和20圖繪示從樣品測量的結果,並顯示在300°C和450°C的低溫濕式退火製程有相似的結果。第19圖繪示(介電層34的)蝕刻速率作為進入介電層34的深度的函數。蝕刻速率表示介電層34的組成,例如多少個C和N原子被OH基團取代。數值310和312是在300°C下退火4小時的結果。數值314和316是在450°C下退火4小時的結果。樣品也在相同的較高退火溫度條件(600°C持續2小時)和相同的乾式退火溫度條件(600°C持續1小時)下退火。第19圖顯示,雖然低溫濕式退火製程是在不同溫度下進行的,但它們在樣品不同深度處的蝕刻速率相似,這表示300°C和450°C的低溫濕式退火溫度不會對H2 O分子的滲透造成差異。Figures 19 and 20 show the results measured from the sample, and show similar results in the low temperature wet annealing process at 300°C and 450°C. Figure 19 shows the etch rate (of the dielectric layer 34) as a function of the depth into the dielectric layer 34. The etching rate indicates the composition of the dielectric layer 34, such as how many C and N atoms are replaced by OH groups. The values 310 and 312 are the results of annealing at 300°C for 4 hours. The values 314 and 316 are the results of annealing at 450°C for 4 hours. The samples were also annealed under the same higher annealing temperature condition (600°C for 2 hours) and the same dry annealing temperature condition (600°C for 1 hour). Figure 19 shows that although the low temperature wet annealing process is carried out at different temperatures, their etching rates at different depths of the sample are similar, which means that the low temperature wet annealing temperature of 300°C and 450°C will not affect the H The penetration of 2 O molecules makes the difference.

第20圖繪示碳濃度作為進入介電層34的深度的函數。線318同樣是在300°C下進行低溫濕式退火4小時的結果。線320是在450°C下進行4小時的低溫濕式退火的結果。對應於線318和320的樣品也在相同的較高退火溫度條件(600°C持續2小時)和相同的乾式退火溫度條件(600°C持續1小時)下退火。第20圖顯示,雖然低溫濕式退火製程是在不同溫度下進行的,但碳百分比是相似的,碳百分比是樣品在不同深度處的轉換率(從C-N至OH)的指標。這些結果表示採用300°C或450°C作為低溫退火製程的溫度不會造成H2 O分子滲透的差異。FIG. 20 shows the carbon concentration as a function of the depth into the dielectric layer 34. Line 318 is also the result of low temperature wet annealing at 300°C for 4 hours. Line 320 is the result of low temperature wet annealing at 450°C for 4 hours. The samples corresponding to lines 318 and 320 were also annealed under the same higher annealing temperature condition (600°C for 2 hours) and the same dry annealing temperature condition (600°C for 1 hour). Figure 20 shows that although the low temperature wet annealing process is carried out at different temperatures, the carbon percentage is similar. The carbon percentage is an indicator of the conversion rate (from CN to OH) of the sample at different depths. These results indicate that using 300°C or 450°C as the temperature of the low-temperature annealing process will not cause differences in the permeability of H 2 O molecules.

在低溫濕式退火製程之後,進行高溫濕式退火製程。相應的製程在第22圖所示之製程流程200中被繪示為製程218。高溫濕式退火製程在約450°C至約650°C的相對較高的溫度下進行。高溫濕式退火製程可以持續約1.5小時至約2.5小時的時間。高溫退火製程的壓力可以為約1大氣壓。如第16圖所示,溫度足夠高,以有效地將介電層34中的Si-C-N鍵轉換成Si-OH鍵。另一方面,溫度不能太高而造成半導體材料的過度氧化。舉例來說,當半導體條26包含SiGe時,高溫退火製程的溫度應低於約650°C。否則,SiGe可能會被氧化。矽也可以在高於約650°C的溫度下被氧化,雖然速率較低。因此,高溫濕式退火製程的溫度可以在約500°C至約650°C的範圍或在約500°C至約600°C的範圍。After the low temperature wet annealing process, a high temperature wet annealing process is performed. The corresponding process is shown as process 218 in the process flow 200 shown in FIG. 22. The high temperature wet annealing process is performed at a relatively high temperature of about 450°C to about 650°C. The high temperature wet annealing process can last from about 1.5 hours to about 2.5 hours. The pressure of the high temperature annealing process can be about 1 atmosphere. As shown in Figure 16, the temperature is high enough to effectively convert the Si-C-N bonds in the dielectric layer 34 into Si-OH bonds. On the other hand, the temperature cannot be too high to cause excessive oxidation of the semiconductor material. For example, when the semiconductor strip 26 includes SiGe, the temperature of the high-temperature annealing process should be lower than about 650°C. Otherwise, SiGe may be oxidized. Silicon can also be oxidized at temperatures above about 650°C, albeit at a lower rate. Therefore, the temperature of the high temperature wet annealing process may be in the range of about 500°C to about 650°C or in the range of about 500°C to about 600°C.

高溫濕式退火製程造成Si-N鍵和Si-O鍵斷裂。附接(attached)到N原子的烷基也與氮原子一起斷開。OH基團附接到斷裂的鍵上。所得到的化學結構可以在第14圖中示意性地繪示。第16圖繪示界面36處的結構(也參照第4圖)。在界面36的兩側上之在介電層34的部分中形成的Si-OH鍵緊密設置,並且在界面36的兩側上之介電層34的部分可以彼此接觸。但不形成交聯。在高溫濕式退火製程期間,介電層34膨脹,並且體積中的膨脹率可高達約10%。作為膨脹的結果,在界面36的兩側上之介電層34的部分彼此緊密接觸,並且可以消除接縫36(第4和15圖)和空隙38(第4圖)。這使得後續的交聯製程變得可能。The high temperature wet annealing process causes the Si-N bond and Si-O bond to break. The alkyl group attached to the N atom is also disconnected with the nitrogen atom. The OH group is attached to the broken bond. The obtained chemical structure can be schematically shown in Figure 14. Figure 16 shows the structure at the interface 36 (also refer to Figure 4). The Si—OH bonds formed in the portions of the dielectric layer 34 on both sides of the interface 36 are closely arranged, and the portions of the dielectric layer 34 on both sides of the interface 36 may be in contact with each other. But no crosslinks are formed. During the high temperature wet annealing process, the dielectric layer 34 expands, and the expansion rate in volume can be as high as about 10%. As a result of the expansion, the portions of the dielectric layer 34 on both sides of the interface 36 are in close contact with each other, and the seam 36 (Figs. 4 and 15) and the void 38 (Fig. 4) can be eliminated. This makes the subsequent cross-linking process possible.

在高溫濕式退火製程之後,進行乾式退火製程以交聯。相應的製程在第22圖所示之製程流程200中被繪示為製程220。無氧的製程氣體(例如氮氣(N2 )、氬氣或類似的氣體)可以作為製程氣體。乾式退火溫度不能太高或太低。如果溫度太低,則OH鍵可能不會斷裂,並且可能無法達到交聯。如果溫度太高,則半導體(例如SiGe)可能會與周圍的材料混合在一起。根據本發明實施例中的一些實施例,在約550°C至約650°C的溫度下進行乾式退火製程。乾式退火製程可以持續約0.5小時至約1.5小時的時間。壓力可以是約1大氣壓。載氣可用於帶走產生的H2 O蒸汽。載氣可以是氮氣、氬氣或類似的氣體。After the high temperature wet annealing process, a dry annealing process is performed to crosslink. The corresponding process is shown as process 220 in the process flow 200 shown in FIG. 22. Oxygen-free process gases (such as nitrogen (N 2 ), argon, or similar gases) can be used as process gases. The dry annealing temperature cannot be too high or too low. If the temperature is too low, the OH bond may not be broken, and crosslinking may not be achieved. If the temperature is too high, the semiconductor (such as SiGe) may mix with the surrounding materials. According to some of the embodiments of the present invention, the dry annealing process is performed at a temperature of about 550°C to about 650°C. The dry annealing process can last from about 0.5 hours to about 1.5 hours. The pressure can be about 1 atmosphere. The carrier gas can be used to take away the generated H 2 O vapor. The carrier gas can be nitrogen, argon or similar gas.

在乾式退火製程中,OH鍵和Si-O鍵(第14和16圖)斷裂,斷裂的H和OH結合形成H2 O分子,如第18圖所示。由於失去H原子,金屬鍵會懸空,可能與Si鍵結形成氧化矽(SiO2 )。在完成乾式退火製程之後,在氧化矽(介電層34)中可能殘留少量碳和氮原子,其中碳和氮的原子百分比小於約1%,並且可能是約0.5%至約1.0%。這與使用傳統方法形成的淺溝槽隔離區不同,在傳統淺溝槽隔離方法中,可能不存在碳。In the dry annealing process, the OH bond and the Si-O bond (Figures 14 and 16) are broken, and the broken H and OH combine to form H 2 O molecules, as shown in Figure 18. Due to the loss of H atoms, the metal bond will be suspended and may bond with Si to form silicon oxide (SiO 2 ). After the dry annealing process is completed, a small amount of carbon and nitrogen atoms may remain in the silicon oxide (dielectric layer 34), wherein the atomic percentage of carbon and nitrogen is less than about 1%, and may be about 0.5% to about 1.0%. This is different from the shallow trench isolation region formed using traditional methods, in which carbon may not be present.

如第18圖所示,先前存在的界面/接縫36的兩側上的矽原子被氧原子交聯。因此在界面36的兩側上之介電層34的部分之間形成交聯。由實心點表示的H2 O分子被帶走。第5圖繪示所得到的結構,其中已消除了在沉積製程中形成的接縫/界面,並且可能不再存在可區分的界面。As shown in Figure 18, the silicon atoms on both sides of the previously existing interface/joint 36 are cross-linked by oxygen atoms. Therefore, crosslinks are formed between the portions of the dielectric layer 34 on both sides of the interface 36. The H 2 O molecules represented by the solid dots are taken away. Figure 5 shows the resulting structure, in which the seams/interfaces formed during the deposition process have been eliminated, and there may no longer be distinguishable interfaces.

根據一些實施例,在前面的製程中,完全填充窄溝槽32A。由於介電層34的沉積使用順應性沉積方法的原子層沉積來進行,當完成沉積製程時,可能沒有完全填充寬溝槽32B。因此,如第5圖所示,留下寬溝槽32B的一些部分未被填充。在寬溝槽32B中的介電層34的部分是順應性的。According to some embodiments, in the previous process, the narrow trench 32A is completely filled. Since the deposition of the dielectric layer 34 is performed using atomic layer deposition of a compliant deposition method, when the deposition process is completed, the wide trench 32B may not be completely filled. Therefore, as shown in FIG. 5, some parts of the wide trench 32B are left unfilled. The portion of the dielectric layer 34 in the wide trench 32B is compliant.

參照第6圖,以介電層40填充剩餘的寬溝槽32B。相應的製程在第22圖所示之製程流程200中被繪示為製程222。介電層40也可以是沉積的氮化矽層、含碳介電質或類似的材料,介電層40的形成使用例如原子層沉積、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)或化學氣相沉積(Chemical Vapor Deposition,CVD)。介電層40也可以由SiOCN形成,使用可流動式化學氣相沉積(Flowable Chemical Vapor Deposition,FCVD)、旋轉塗佈(spin-on coating)或類似的製程。介電層40被沉積到高於介電層34的頂表面的高度。Referring to FIG. 6, the remaining wide trench 32B is filled with a dielectric layer 40. The corresponding process is shown as process 222 in the process flow 200 shown in FIG. 22. The dielectric layer 40 can also be a deposited silicon nitride layer, a carbon-containing dielectric or similar materials. The dielectric layer 40 is formed using, for example, atomic layer deposition, high-density plasma chemical vapor deposition (High-Density Plasma Chemical Vapor Deposition). Vapor Deposition, HDPCVD) or chemical vapor deposition (Chemical Vapor Deposition, CVD). The dielectric layer 40 may also be formed of SiOCN, using Flowable Chemical Vapor Deposition (FCVD), spin-on coating or similar processes. The dielectric layer 40 is deposited to a height higher than the top surface of the dielectric layer 34.

參照第7圖,然後進行例如化學機械研磨(Chemical Mechanical Polish,CMP)製程或機械磨削(grinding)製程的平坦化製程以移除介電材料的多餘部分。介電材料的剩餘部分是淺溝槽隔離區42。相應的製程也在第22圖所示之製程流程200中被繪示為製程222。可以使用硬遮罩30作為化學機械研磨停止層來進行平坦化製程。緊密設置的半導體條26之間的淺溝槽隔離區42可以由均質材料形成,此均質材料一直延伸到相對的半導體條26。在寬溝槽中形成的淺溝槽隔離區可以包含順應性的介電層34和介電層40。雖然繪示一個垂直部分,但介電層34在介電區(又稱為淺溝槽隔離區)42的兩側上會具有垂直部分並接觸介電區42的兩側壁。Referring to FIG. 7, a planarization process such as a chemical mechanical polishing (CMP) process or a mechanical grinding process is performed to remove the excess portion of the dielectric material. The remaining part of the dielectric material is the shallow trench isolation region 42. The corresponding process is also shown as process 222 in the process flow 200 shown in FIG. 22. The hard mask 30 can be used as a chemical mechanical polishing stop layer for the planarization process. The shallow trench isolation region 42 between the closely arranged semiconductor strips 26 may be formed of a homogeneous material, which extends to the opposite semiconductor strip 26. The shallow trench isolation region formed in the wide trench may include a compliant dielectric layer 34 and a dielectric layer 40. Although a vertical portion is shown, the dielectric layer 34 has vertical portions on both sides of the dielectric region (also called shallow trench isolation region) 42 and contacts the two sidewalls of the dielectric region 42.

然後,蝕刻硬遮罩30和襯墊氧化物層28。如第8圖所示,凹蝕介電層34,使得半導體條26的頂部突出高於淺溝槽隔離區42之剩餘部分的頂表面34A,以形成突出的鰭片44。相應的製程在第22圖所示之製程流程200中被繪示為製程224。可以使用乾式蝕刻製程來進行蝕刻,例如使用HF3 和NH3 作為蝕刻氣體。根據本發明實施例中的替代實施例,介電層34的凹蝕使用濕式蝕刻製程來進行。蝕刻化學物質可以包含例如HF溶液。Then, the hard mask 30 and the pad oxide layer 28 are etched. As shown in FIG. 8, the dielectric layer 34 is etched so that the top of the semiconductor strip 26 protrudes higher than the top surface 34A of the remaining part of the shallow trench isolation region 42 to form a protruding fin 44. The corresponding process is shown as process 224 in the process flow 200 shown in FIG. 22. A dry etching process can be used for etching, for example, HF 3 and NH 3 are used as the etching gas. According to an alternative embodiment of the embodiment of the present invention, the etchback of the dielectric layer 34 is performed using a wet etching process. The etching chemistry may include, for example, an HF solution.

在凹蝕製程中,不蝕刻介電層40,使得虛設(介電)鰭片46突出高於淺溝槽隔離區42之剩餘部分的頂表面34A。虛設介電鰭片46之所以如此命名是由於部件(又稱為虛設鰭片)46突出高於相鄰的介電層34,因此形成鰭片,而這些鰭片不同於可用於形成鰭式場效電晶體的典型半導體鰭片,這些鰭片不能用於形成鰭式場效電晶體。由於介電層34的順應性沉積,當以介電層34填充窄溝槽32A時,寬溝槽32B(第2B圖)沒有被完全填充。這使介電層40的填充變得可能,並使虛設鰭片46的形成變得可能。當鰭式場效電晶體的尺寸非常小時,虛設鰭片的產生有助於改善鰭式場效電晶體的裝置效能。During the etchback process, the dielectric layer 40 is not etched, so that the dummy (dielectric) fin 46 protrudes higher than the top surface 34A of the remaining part of the shallow trench isolation region 42. The dummy dielectric fin 46 is so named because the component (also called dummy fin) 46 protrudes higher than the adjacent dielectric layer 34, thus forming fins, and these fins are different from those used to form fin field effects. Typical semiconductor fins for transistors, these fins cannot be used to form fin-type field effect transistors. Due to the conformal deposition of the dielectric layer 34, when the narrow trench 32A is filled with the dielectric layer 34, the wide trench 32B (Figure 2B) is not completely filled. This makes the filling of the dielectric layer 40 possible and the formation of the dummy fin 46 possible. When the size of the fin field effect transistor is very small, the generation of dummy fins helps to improve the device performance of the fin field effect transistor.

在後續的形成製程中,基於突出的半導體鰭片44形成鰭式場效電晶體54(第9圖)。第9圖繪示突出的鰭片44和閘極堆疊52的剖面示意圖,閘極堆疊52延伸於突出的半導體鰭片(又稱為鰭片)44和虛設鰭片46的側壁和頂表面上。在後續的段落中簡要討論範例形成製程。In the subsequent forming process, a fin field effect transistor 54 is formed based on the protruding semiconductor fin 44 (FIG. 9). FIG. 9 shows a schematic cross-sectional view of the protruding fin 44 and the gate stack 52. The gate stack 52 extends on the sidewalls and top surfaces of the protruding semiconductor fin (also called fin) 44 and the dummy fin 46. A brief discussion of the paradigm formation process will be given in the following paragraphs.

根據本發明實施例中的一些實施例,形成虛設閘極堆疊(未繪示),虛設閘極堆疊在突出的半導體鰭片44和虛設鰭片46的側壁和頂表面上延伸。然後,在虛設閘極堆疊的側壁上形成閘極間隔物(未繪示)。然後,在虛設閘極堆疊和閘極間隔物的兩側上形成源極/汲極區(未繪示),例如藉由蝕刻未被虛設閘極堆疊覆蓋的突出的半導體鰭片44的部分,並且磊晶成長源極/汲極區。然後,形成接觸蝕刻停止層(Contact Etch Stop Layer,CESL)56和層間介電質(Inter-Layer Dielectric,ILD)58以覆蓋源極/汲極區和虛設閘極堆疊。然後,蝕刻虛設閘極堆疊以重新暴露出突出的半導體鰭片44。然後,在移除的虛設閘極堆疊所留下的凹槽中形成包含閘極介電質48和閘極電極50的閘極堆疊52。According to some of the embodiments of the present invention, a dummy gate stack (not shown) is formed, and the dummy gate stack extends on the sidewalls and top surfaces of the protruding semiconductor fin 44 and the dummy fin 46. Then, gate spacers (not shown) are formed on the sidewalls of the dummy gate stack. Then, source/drain regions (not shown) are formed on both sides of the dummy gate stack and the gate spacer, for example, by etching the protruding semiconductor fin 44 that is not covered by the dummy gate stack, And epitaxy grows the source/drain regions. Then, a Contact Etch Stop Layer (CESL) 56 and an Inter-Layer Dielectric (ILD) 58 are formed to cover the source/drain regions and the dummy gate stack. Then, the dummy gate stack is etched to expose the protruding semiconductor fin 44 again. Then, a gate stack 52 including a gate dielectric 48 and a gate electrode 50 is formed in the groove left by the removed dummy gate stack.

本發明實施例中的實施例具有一些有利特徵。傳統的淺溝槽隔離形成使用可流動式化學氣相沉積,可流動式化學氣相沉積不能形成順應性的介電層,因此不能形成虛設介電鰭片。根據本發明實施例中的一些實施例,使用原子層沉積製程來形成碳和氮摻雜的膜,然後將膜退火以形成氧化矽膜。經由一系列的低溫濕式退火製程、高溫濕式退火製程和乾式退火製程,可以消除在原子層沉積製程期間產生的接縫和空隙。The embodiments of the present invention have some advantageous features. The traditional shallow trench isolation formation uses flowable chemical vapor deposition. Flowable chemical vapor deposition cannot form a compliant dielectric layer and therefore cannot form dummy dielectric fins. According to some of the embodiments of the present invention, an atomic layer deposition process is used to form a carbon and nitrogen doped film, and then the film is annealed to form a silicon oxide film. Through a series of low temperature wet annealing process, high temperature wet annealing process and dry annealing process, the joints and voids produced during the atomic layer deposition process can be eliminated.

根據本發明實施例中的一些實施例,一種方法包含蝕刻半導體基底以形成溝槽;使用原子層沉積循環來沉積介電層,其中介電層延伸至溝槽中,且其中原子層沉積循環包含將六氯二矽烷脈衝到半導體基底;吹淨六氯二矽烷;將三乙胺脈衝到半導體基底;以及吹淨三乙胺;以及對介電層進行退火製程。在一實施例中,原子層沉積循環還包含在吹淨三乙胺之後,將氧氣(O2 )脈衝到半導體基底;以及吹淨氧氣。在一實施例中,此方法更包含重複包含脈衝氧氣的原子層沉積循環。在一實施例中,此方法更包含重複原子層沉積循環。在一個實施例中,退火製程包含在第一溫度下進行的低溫退火製程;在高於第一溫度的第二溫度下進行的高溫退火製程;以及在高於第一溫度的第三溫度下進行的乾式退火製程。在一實施例中,在第一溫度下進行低溫退火製程,第一溫度在約300°C至約450°C的範圍。在一實施例中,在第二溫度下進行高溫退火製程,第二溫度在約500°C至約650°C的範圍。在一實施例中,在第三溫度下進行乾式退火製程,第三溫度在約500°C至約650°C的範圍。According to some of the embodiments of the present invention, a method includes etching a semiconductor substrate to form a trench; depositing a dielectric layer using an atomic layer deposition cycle, wherein the dielectric layer extends into the trench, and wherein the atomic layer deposition cycle includes Pulse hexachlorodisilane to the semiconductor substrate; blow off the hexachlorodisilane; pulse triethylamine to the semiconductor substrate; and blow off the triethylamine; and perform an annealing process on the dielectric layer. In one embodiment, the atomic layer deposition cycle further includes pulsing oxygen (O 2 ) to the semiconductor substrate after purging triethylamine; and purging oxygen. In one embodiment, the method further includes repeating an atomic layer deposition cycle including pulsed oxygen. In one embodiment, the method further includes repeating the atomic layer deposition cycle. In one embodiment, the annealing process includes a low-temperature annealing process performed at a first temperature; a high-temperature annealing process performed at a second temperature higher than the first temperature; and performed at a third temperature higher than the first temperature The dry annealing process. In one embodiment, the low-temperature annealing process is performed at a first temperature, and the first temperature is in a range of about 300°C to about 450°C. In one embodiment, the high temperature annealing process is performed at the second temperature, and the second temperature is in the range of about 500°C to about 650°C. In one embodiment, the dry annealing process is performed at a third temperature, and the third temperature is in a range of about 500°C to about 650°C.

根據本發明實施例中的一些實施例,一種方法包含:在半導體條上沉積介電層,其中介電層的沉積包含一循環,且此循環包含:將矽和氯原子附接到半導體條上的氧原子上;用氮原子和烷基取代氯原子;以及用氧原子取代氮原子和烷基的第一部分;用OH鍵移除氮原子和烷基的第二部分;以及將介電層退火以形成Si-O-Si鍵。在一實施例中,此循環包含原子層沉積(ALD)循環,且矽和氯原子的附接包含脈衝六氯二矽烷;以及吹淨六氯二矽烷。在一實施例中,此循環包含原子層沉積循環,且氯原子的取代包含脈衝三乙胺;以及吹淨三乙胺。在一實施例中,此循環包含原子層沉積循環,且氮原子和烷基的第一部分的取代包含脈衝氧氣(O2 );以及吹淨氧氣。在一實施例中,介電層的退火包含在第一溫度下將H2 O分子驅使至介電層中;在高於第一溫度的第二溫度下,用氧原子和OH分子取代氮原子和烷基;以及經由乾式退火製程形成Si-O-Si鍵,其中乾式退火製程係在高於第一溫度的第三溫度下進行。在一實施例中,介電層形成於溝槽中,半導體條位於溝槽的一側,且此方法更包含:形成額外的介電區,其中半導體條和額外的介電區接觸介電層的一部分的兩側壁;回蝕刻介電層的所述部分,其中半導體條的頂部形成半導體鰭片,且額外的介電區的頂部形成虛設介電鰭片;以及形成閘極堆疊在半導體鰭片和額外的介電區上延伸。According to some of the embodiments of the present invention, a method includes: depositing a dielectric layer on a semiconductor strip, wherein the deposition of the dielectric layer includes a cycle, and the cycle includes: attaching silicon and chlorine atoms to the semiconductor strip Replace the chlorine atom with a nitrogen atom and an alkyl group; and replace the nitrogen atom and the first part of the alkyl group with an oxygen atom; remove the nitrogen atom and the second part of the alkyl group with an OH bond; and anneal the dielectric layer To form a Si-O-Si bond. In one embodiment, this cycle includes an atomic layer deposition (ALD) cycle, and the attachment of silicon and chlorine atoms includes pulsed hexachlorodisilane; and purging the hexachlorodisilane. In one embodiment, this cycle includes an atomic layer deposition cycle, and the substitution of chlorine atoms includes pulsed triethylamine; and purging triethylamine. In one embodiment, this cycle includes an atomic layer deposition cycle, and the replacement of the nitrogen atom and the first part of the alkyl group includes pulsed oxygen (O 2 ); and oxygen purging. In one embodiment, the annealing of the dielectric layer includes driving H 2 O molecules into the dielectric layer at a first temperature; and at a second temperature higher than the first temperature, replacing nitrogen atoms with oxygen atoms and OH molecules And an alkyl group; and forming a Si-O-Si bond through a dry annealing process, wherein the dry annealing process is performed at a third temperature higher than the first temperature. In one embodiment, the dielectric layer is formed in the trench, and the semiconductor strip is located on one side of the trench, and the method further includes: forming an additional dielectric region, wherein the semiconductor strip and the additional dielectric region contact the dielectric layer Etch back the part of the dielectric layer, wherein the semiconductor fin is formed on the top of the semiconductor strip, and the dummy dielectric fin is formed on the top of the additional dielectric region; and the gate is stacked on the semiconductor fin And an additional dielectric area.

根據本發明實施例中的一些實施例,積體電路結構包含第一半導體條;包含氧化矽的介電層,碳摻雜於所述氧化矽中,其中介電層包含:水平部分;以及垂直部分連接到水平部分的一端,其中垂直部分接觸第一半導體條的下部的側壁,其中第一半導體條的頂部高於垂直部分的頂表面以形成半導體鰭片;以及閘極堆疊在半導體鰭片的側壁和頂表面上延伸。在一實施例中,積體電路結構還包含與水平部分重疊的介電區,其中介電區的頂部突出高於垂直部分的頂部表面以形成虛設介電鰭片,其中閘極堆疊進一步在虛設介電鰭片的側壁和頂表面上延伸。在一實施例中,介電區和介電層由不同的介電材料形成。在一實施例中,積體電路結構更包含與虛設介電鰭片重疊的層間介電質。在一實施例中,垂直部分和水平部分具有相同的厚度。在一實施例中,積體電路結構更包含第二半導體條;以及額外的介電層,其中額外的介電層由與介電層的介電材料相同的均質介電材料形成,且其中額外的介電層中沒有接縫。According to some embodiments of the embodiments of the present invention, the integrated circuit structure includes a first semiconductor strip; a dielectric layer including silicon oxide, carbon is doped in the silicon oxide, wherein the dielectric layer includes: a horizontal portion; and a vertical portion Part is connected to one end of the horizontal part, wherein the vertical part contacts the sidewall of the lower part of the first semiconductor strip, wherein the top of the first semiconductor strip is higher than the top surface of the vertical part to form a semiconductor fin; and the gate is stacked on the semiconductor fin Extend on the side walls and the top surface. In one embodiment, the integrated circuit structure further includes a dielectric region overlapping the horizontal portion, wherein the top of the dielectric region protrudes higher than the top surface of the vertical portion to form a dummy dielectric fin, wherein the gate stack is further in the dummy The dielectric fin extends on the sidewall and top surface. In one embodiment, the dielectric region and the dielectric layer are formed of different dielectric materials. In one embodiment, the integrated circuit structure further includes an interlayer dielectric overlapping the dummy dielectric fin. In an embodiment, the vertical portion and the horizontal portion have the same thickness. In an embodiment, the integrated circuit structure further includes a second semiconductor strip; and an additional dielectric layer, wherein the additional dielectric layer is formed of the same homogeneous dielectric material as the dielectric material of the dielectric layer, and wherein the additional There are no seams in the dielectric layer.

根據本發明實施例中的一些實施例,一種方法包含形成第一半導體條;沉積包含氧化矽的介電層,碳摻雜於所述氧化矽中,其中介電層包含:水平部分;以及垂直部分連接到水平部分的一端,其中垂直部分接觸第一半導體條的下部的側壁,其中第一半導體條的頂部突出高於垂直部分的頂表面以形成半導體鰭片;以及形成閘極堆疊在半導體鰭片的側壁和頂表面上延伸。在一實施例中,此方法更包含形成與水平部分重疊的介電區,其中介電區的頂部突出高於垂直部分的頂表面以形成虛設介電鰭片,其中閘極堆疊進一步在虛設介電鰭片的側壁和頂表面上延伸。在一實施例中,介電區和介電層係由不同的介電材料形成。在一實施例中,此方法更包含沉積與虛設介電鰭片重疊的層間介電質。在一實施例中,介電層的沉積使用順應性沉積製程。在一實施例中,此方法更包含:在沉積介電層之後且在形成閘極堆疊之前:在第一溫度下進行低溫濕式退火製程;在低溫濕式退火製程之後,在高於第一溫度的第二溫度下進行高溫濕式退火製程;以及在高溫濕式退火製程之後,在高於第一溫度的第三溫度下進行乾式退火製程。According to some of the embodiments of the present invention, a method includes forming a first semiconductor strip; depositing a dielectric layer including silicon oxide, carbon doping in the silicon oxide, wherein the dielectric layer includes: a horizontal portion; and a vertical Part is connected to one end of the horizontal part, wherein the vertical part contacts the sidewall of the lower part of the first semiconductor strip, wherein the top of the first semiconductor strip protrudes higher than the top surface of the vertical part to form a semiconductor fin; and forming a gate stack on the semiconductor fin The sidewalls and top surface of the sheet extend. In one embodiment, the method further includes forming a dielectric region overlapping with the horizontal portion, wherein the top of the dielectric region protrudes higher than the top surface of the vertical portion to form a dummy dielectric fin, wherein the gate stack is further in the dummy dielectric The electric fins extend on the side walls and top surface. In one embodiment, the dielectric region and the dielectric layer are formed of different dielectric materials. In one embodiment, the method further includes depositing an interlayer dielectric that overlaps the dummy dielectric fin. In one embodiment, the deposition of the dielectric layer uses a compliant deposition process. In one embodiment, the method further includes: after the dielectric layer is deposited and before the gate stack is formed: performing a low temperature wet annealing process at a first temperature; after the low temperature wet annealing process, the temperature is higher than the first temperature. The high temperature wet annealing process is performed at the second temperature of the temperature; and after the high temperature wet annealing process, the dry annealing process is performed at a third temperature higher than the first temperature.

以上概述數個實施例之部件,使得發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的面向。發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優點。發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。The components of several embodiments are summarized above, so that those with ordinary knowledge in the technical field to which the invention belongs can better understand the aspects of the embodiments of the invention. Those with ordinary knowledge in the technical field of the invention should understand that they can design or modify other manufacturing processes and structures based on the embodiments of the present invention to achieve the same purposes and/or advantages as the embodiments described herein. Those with ordinary knowledge in the technical field of the invention should also understand that such equivalent structures do not depart from the spirit and scope of the embodiments of the present invention, and they can do so without departing from the spirit and scope of the embodiments of the present invention. Various changes, replacements and adjustments.

10:晶圓 20:基底 22:井區 26:半導體條 28:襯墊氧化物層 30:硬遮罩層 32:溝槽 32A:窄溝槽 32B:寬溝槽 34,40:介電層 34A:頂表面 36:界面 38:空隙 42:淺溝槽隔離區 44:鰭片 46:虛設鰭片 48:閘極介電質 50:閘極電極 52:閘極堆疊 54:鰭式場效電晶體 56:接觸蝕刻停止層 58:層間介電質 110:基材層 112,114,116,118:結構 130,132,134,202,204,206,208,210,212,214,216,218,220,222,224:製程 136,138:原子層沉積循環 200:製程流程 310,312,314,316:數值 318,320:線 D1,D2:深度 T1,T2:厚度 W1,W2:寬度10: Wafer 20: Base 22: Well area 26: Semiconductor strip 28: pad oxide layer 30: Hard mask layer 32: groove 32A: Narrow groove 32B: Wide groove 34, 40: Dielectric layer 34A: Top surface 36: Interface 38: gap 42: Shallow trench isolation area 44: Fins 46: Dummy Fin 48: gate dielectric 50: gate electrode 52: gate stack 54: fin field effect transistor 56: Contact etch stop layer 58: Interlayer dielectric 110: substrate layer 112,114,116,118: structure 130,132,134,202,204,206,208,210,212,214,216,218,220,222,224: process 136,138: Atomic Layer Deposition Cycle 200: Process flow 310,312,314,316: Numerical value 318,320: line D1, D2: depth T1, T2: thickness W1, W2: width

藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1、2A、2B和3至9圖係根據一些實施例之形成淺溝槽隔離(Shallow Trench Isolation,STI)區和鰭式場效電晶體的中間階段的剖面示意圖。 第10圖根據一些實施例繪示形成SiNOCH膜的原子層沉積(Atomic Layer Deposition,ALD)循環。 第11A和11B圖根據一些實施例分別繪示六氯二矽烷(hexachlorodisilane)和三乙胺(triethylamine)的化學結構和符號。 第12圖根據一些實施例繪示SiNOCH膜的示意性化學結構。 第13圖根據一些實施例示意性地繪示隔開SiNOCH膜的兩部分的接縫。 第14圖根據一些實施例繪示對SiNOCH膜進行濕式退火製程之後的示意性化學結構。 第15和16圖根據一些實施例分別示意性地繪示在低溫濕式退火製程和高溫濕式退火製程之後的接縫處的鍵。 第17圖根據一些實施例繪示在乾式退火製程之後的氧化矽的示意性化學結構。 第18圖根據一些實施例示意性地繪示接縫處的交聯。 第19圖根據一些實施例繪示經由低溫濕式退火製程將Si-C-N鍵轉換成Si-OH鍵的效果。 第20圖根據一些實施例繪示將不同的低溫用於濕式退火製程時之碳濃度隨深度的變化。 第21圖根據一些實施例繪示濕式退火條件對沉積的介電膜中氮濃度、碳濃度和膨脹率的影響。 第22圖根據一些實施例繪示用於形成淺溝槽隔離區和鰭式場效電晶體的製程流程。The content of the embodiments of the present invention can be better understood through the following detailed description in conjunction with the accompanying drawings. It should be emphasized that according to industry standard practice, many features are not drawn to scale. In fact, in order to be able to discuss clearly, the size of various components may be arbitrarily increased or decreased. FIGS. 1, 2A, 2B, and 3 to 9 are schematic cross-sectional views of the intermediate stages of forming Shallow Trench Isolation (STI) regions and FinFETs according to some embodiments. FIG. 10 illustrates an Atomic Layer Deposition (ALD) cycle for forming a SiNOCH film according to some embodiments. 11A and 11B respectively illustrate the chemical structure and symbol of hexachlorodisilane and triethylamine according to some embodiments. Figure 12 shows a schematic chemical structure of a SiNOCH film according to some embodiments. Figure 13 schematically illustrates a seam separating two parts of a SiNOCH film according to some embodiments. FIG. 14 illustrates a schematic chemical structure of the SiNOCH film after the wet annealing process is performed according to some embodiments. Figures 15 and 16 schematically illustrate the keys at the seams after the low-temperature wet annealing process and the high-temperature wet annealing process, respectively, according to some embodiments. FIG. 17 illustrates a schematic chemical structure of silicon oxide after a dry annealing process according to some embodiments. Figure 18 schematically illustrates the cross-linking at the seam according to some embodiments. Figure 19 illustrates the effect of converting Si-C-N bonds into Si-OH bonds through a low-temperature wet annealing process according to some embodiments. FIG. 20 illustrates the variation of carbon concentration with depth when different low temperatures are used in the wet annealing process according to some embodiments. Figure 21 illustrates the effects of wet annealing conditions on the nitrogen concentration, carbon concentration and expansion rate of the deposited dielectric film according to some embodiments. FIG. 22 illustrates a process flow for forming shallow trench isolation regions and fin field effect transistors according to some embodiments.

200:製程流程 200: Process flow

202,204,206,208,210,212,214,216,218,220,222,224:製程 202,204,206,208,210,212,214,216,218,220,222,224: process

Claims (20)

一種半導體裝置的製造方法,包括: 蝕刻一半導體基底以形成一溝槽; 使用一原子層沉積循環來沉積一介電層,其中該介電層延伸至該溝槽中,且其中該原子層沉積循環包括: 將六氯二矽烷脈衝到該半導體基底; 吹淨該六氯二矽烷; 將三乙胺脈衝到該半導體基底;以及 吹淨該三乙胺;以及 對該介電層進行一退火製程。A method for manufacturing a semiconductor device includes: Etching a semiconductor substrate to form a trench; A dielectric layer is deposited using an atomic layer deposition cycle, wherein the dielectric layer extends into the trench, and wherein the atomic layer deposition cycle includes: Pulse hexachlorodisilane to the semiconductor substrate; Blow off the hexachlorodisilane; Pulse triethylamine to the semiconductor substrate; and Blow off the triethylamine; and An annealing process is performed on the dielectric layer. 如請求項1之半導體裝置的製造方法,其中該原子層沉積循環更包括: 在吹淨該三乙胺之後,將氧氣脈衝到該半導體基底;以及 吹淨該氧氣。According to claim 1, the method for manufacturing a semiconductor device, wherein the atomic layer deposition cycle further includes: After blowing off the triethylamine, pulse oxygen to the semiconductor substrate; and Blow out the oxygen. 如請求項2之半導體裝置的製造方法,更包括重複包括脈衝氧氣的該原子層沉積循環。According to claim 2, the method for manufacturing a semiconductor device further includes repeating the atomic layer deposition cycle including pulsed oxygen. 如請求項1之半導體裝置的製造方法,更包括重複該原子層沉積循環。According to claim 1, the method for manufacturing a semiconductor device further includes repeating the atomic layer deposition cycle. 如請求項1之半導體裝置的製造方法,其中該退火製程包括: 在一第一溫度下進行的一低溫退火製程; 在高於該第一溫度的一第二溫度下進行的一高溫退火製程;以及 在高於該第一溫度的一第三溫度下進行的一乾式退火製程。According to claim 1, the method of manufacturing a semiconductor device, wherein the annealing process includes: A low temperature annealing process performed at a first temperature; A high temperature annealing process performed at a second temperature higher than the first temperature; and A dry annealing process performed at a third temperature higher than the first temperature. 如請求項5之半導體裝置的製造方法,其中在該第一溫度下進行該低溫退火製程,該第一溫度在約300°C至約450°C的範圍。The method for manufacturing a semiconductor device according to claim 5, wherein the low-temperature annealing process is performed at the first temperature, and the first temperature is in a range of about 300°C to about 450°C. 如請求項5之半導體裝置的製造方法,其中在該第二溫度下進行該高溫退火製程,該第二溫度在約500°C至約650°C的範圍。The method for manufacturing a semiconductor device according to claim 5, wherein the high temperature annealing process is performed at the second temperature, and the second temperature is in a range of about 500°C to about 650°C. 如請求項5之半導體裝置的製造方法,其中在該第三溫度下進行該乾式退火製程,該第三溫度在約500°C至約650°C的範圍。The method for manufacturing a semiconductor device according to claim 5, wherein the dry annealing process is performed at the third temperature, and the third temperature is in the range of about 500°C to about 650°C. 一種半導體裝置的製造方法,包括: 在一半導體條上沉積一介電層,其中該介電層的沉積包括一循環,且該循環包括: 將矽和氯原子附接到該半導體條上的氧原子上; 用氮原子和烷基取代該氯原子;以及 用氧原子取代該氮原子和烷基的複數個第一部分; 用OH鍵移除該氮原子和烷基的複數個第二部分;以及 將該介電層退火以形成Si-O-Si鍵。A method for manufacturing a semiconductor device includes: A dielectric layer is deposited on a semiconductor strip, wherein the deposition of the dielectric layer includes a cycle, and the cycle includes: Attach silicon and chlorine atoms to the oxygen atoms on the semiconductor strip; Replace the chlorine atom with a nitrogen atom and an alkyl group; and Substituting oxygen atoms for the first part of the nitrogen atom and the alkyl group; Use an OH bond to remove the nitrogen atom and the second part of the alkyl group; and The dielectric layer is annealed to form Si-O-Si bonds. 如請求項9之半導體裝置的製造方法,其中該循環包括一原子層沉積循環,且該矽和氯原子的附接包括: 脈衝六氯二矽烷;以及 吹淨該六氯二矽烷。The method for manufacturing a semiconductor device according to claim 9, wherein the cycle includes an atomic layer deposition cycle, and the attachment of silicon and chlorine atoms includes: Pulsed hexachlorodisilane; and Blow off the hexachlorodisilane. 如請求項9之半導體裝置的製造方法,其中該循環包括一原子層沉積循環,且該氯原子的取代包括: 脈衝三乙胺;以及 吹淨該三乙胺。The method for manufacturing a semiconductor device according to claim 9, wherein the cycle includes an atomic layer deposition cycle, and the substitution of the chlorine atom includes: Pulsed triethylamine; and Blow off the triethylamine. 如請求項9之半導體裝置的製造方法,其中該循環包括一原子層沉積循環,且該氮原子和烷基的該些第一部分的取代包括: 脈衝氧氣;以及 吹淨該氧氣。The method of manufacturing a semiconductor device according to claim 9, wherein the cycle includes an atomic layer deposition cycle, and the substitution of the first part of the nitrogen atom and the alkyl group includes: Pulse oxygen; and Blow out the oxygen. 如請求項9之半導體裝置的製造方法,其中該介電層的退火包括: 在一第一溫度下將H2 O分子驅使至該介電層中; 在高於該第一溫度的一第二溫度下,用氧原子和OH分子取代該氮原子和烷基;以及 經由一乾式退火製程形成該Si-O-Si鍵,其中該乾式退火製程係在高於該第一溫度的一第三溫度下進行。The method of manufacturing a semiconductor device according to claim 9, wherein the annealing of the dielectric layer comprises: driving H 2 O molecules into the dielectric layer at a first temperature; and at a second temperature higher than the first temperature At a temperature, replacing the nitrogen atom and alkyl group with oxygen atoms and OH molecules; and forming the Si-O-Si bond through a dry annealing process, wherein the dry annealing process is at a third temperature higher than the first temperature Under. 如請求項9之半導體裝置的製造方法,其中該介電層形成於一溝槽中,該半導體條位於該溝槽的一側,且該方法更包括: 形成一額外的介電區,其中該半導體條和該額外的介電區接觸該介電層的一部分的兩側壁; 回蝕刻該介電層的該部分,其中該半導體條的頂部形成一半導體鰭片,且該額外的介電區的頂部形成一虛設介電鰭片;以及 形成一閘極堆疊在該半導體鰭片和該額外的介電區上延伸。According to claim 9, the method of manufacturing a semiconductor device, wherein the dielectric layer is formed in a trench, the semiconductor strip is located on one side of the trench, and the method further includes: Forming an additional dielectric region, wherein the semiconductor strip and the additional dielectric region contact two sidewalls of a part of the dielectric layer; Etching back the portion of the dielectric layer, wherein a semiconductor fin is formed on the top of the semiconductor strip, and a dummy dielectric fin is formed on the top of the additional dielectric region; and A gate stack is formed to extend over the semiconductor fin and the additional dielectric region. 一種半導體裝置的製造方法,包括: 形成一第一半導體條; 沉積包括氧化矽的一介電層,碳摻雜於該氧化矽中,其中該介電層包括: 一水平部分;以及 一垂直部分連接到該水平部分的一端,其中該垂直部分接觸該第一半導體條的下部的側壁,其中該第一半導體條的頂部突出高於該垂直部分的頂表面以形成一半導體鰭片;以及 形成一閘極堆疊在該半導體鰭片的側壁和頂表面上延伸。A method for manufacturing a semiconductor device includes: Forming a first semiconductor strip; A dielectric layer including silicon oxide is deposited, and carbon is doped in the silicon oxide, wherein the dielectric layer includes: A horizontal part; and A vertical portion is connected to one end of the horizontal portion, wherein the vertical portion contacts the sidewall of the lower portion of the first semiconductor strip, wherein the top of the first semiconductor strip protrudes higher than the top surface of the vertical portion to form a semiconductor fin; as well as A gate stack is formed to extend on the sidewall and top surface of the semiconductor fin. 如請求項15之半導體裝置的製造方法,更包括: 形成與該水平部分重疊的一介電區,其中該介電區的頂部突出高於該垂直部分的該頂表面以形成一虛設介電鰭片,其中該閘極堆疊進一步在該虛設介電鰭片的側壁和頂表面上延伸。For example, the manufacturing method of the semiconductor device of claim 15, further including: Forming a dielectric region overlapping with the horizontal portion, wherein the top of the dielectric region protrudes higher than the top surface of the vertical portion to form a dummy dielectric fin, wherein the gate stack is further on the dummy dielectric fin The sidewalls and top surface of the sheet extend. 如請求項16之半導體裝置的製造方法,其中該介電區和該介電層係由不同的介電材料形成。The method of manufacturing a semiconductor device according to claim 16, wherein the dielectric region and the dielectric layer are formed of different dielectric materials. 如請求項16之半導體裝置的製造方法,更包括沉積與該虛設介電鰭片重疊的一層間介電質。According to claim 16, the method for manufacturing a semiconductor device further includes depositing an interlayer dielectric that overlaps the dummy dielectric fin. 如請求項15之半導體裝置的製造方法,其中該介電層的沉積使用順應性沉積製程。The method for manufacturing a semiconductor device according to claim 15, wherein the deposition of the dielectric layer uses a compliant deposition process. 如請求項15之半導體裝置的製造方法,更包括在沉積該介電層之後且在形成該閘極堆疊之前: 在一第一溫度下進行一低溫濕式退火製程; 在該低溫濕式退火製程之後,在高於該第一溫度的一第二溫度下進行一高溫濕式退火製程;以及 在該高溫濕式退火製程之後,在高於該第一溫度的一第三溫度下進行一乾式退火製程。According to claim 15, the method for manufacturing a semiconductor device further includes after depositing the dielectric layer and before forming the gate stack: Performing a low temperature wet annealing process at a first temperature; After the low temperature wet annealing process, performing a high temperature wet annealing process at a second temperature higher than the first temperature; and After the high temperature wet annealing process, a dry annealing process is performed at a third temperature higher than the first temperature.
TW108140243A 2018-11-21 2019-11-06 Methods of forming semiconductor devices TWI791920B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862770424P 2018-11-21 2018-11-21
US62/770,424 2018-11-21
US16/529,098 2019-08-01
US16/529,098 US11211243B2 (en) 2018-11-21 2019-08-01 Method of filling gaps with carbon and nitrogen doped film

Publications (2)

Publication Number Publication Date
TW202038379A true TW202038379A (en) 2020-10-16
TWI791920B TWI791920B (en) 2023-02-11

Family

ID=70727105

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140243A TWI791920B (en) 2018-11-21 2019-11-06 Methods of forming semiconductor devices

Country Status (3)

Country Link
KR (1) KR102288343B1 (en)
CN (1) CN111211088B (en)
TW (1) TWI791920B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022160139A1 (en) * 2021-01-27 2022-08-04 Yangtze Memory Technologies Co., Ltd. Method for forming barrier layer in semiconductor structure
US20220238697A1 (en) * 2021-01-28 2022-07-28 Taiwan Semiconductor Manfacturing Co., Ltd. Reducing K Values of Dielectric Films Through Anneal

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468729B1 (en) * 2002-04-25 2005-01-29 삼성전자주식회사 Method for Atomic Layer Deposition of silicon oxide film using HCD source
KR100505668B1 (en) * 2002-07-08 2005-08-03 삼성전자주식회사 Method for forming silicon dioxide layer by atomic layer deposition
KR100648859B1 (en) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
KR100732759B1 (en) * 2005-06-22 2007-06-27 주식회사 하이닉스반도체 Bit line in semiconductor device and method for fabricating the same
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
KR100866143B1 (en) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 Method for forming isolation layer of semiconductor device
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8865542B2 (en) * 2012-12-31 2014-10-21 Texas Instruments Incorporated Embedded polysilicon resistor in integrated circuits formed by a replacement gate process
US10312075B2 (en) * 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9777373B2 (en) * 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
KR102288343B1 (en) 2021-08-11
CN111211088B (en) 2023-04-25
TWI791920B (en) 2023-02-11
KR20200060679A (en) 2020-06-01
CN111211088A (en) 2020-05-29

Similar Documents

Publication Publication Date Title
US9209243B2 (en) Method of forming a shallow trench isolation structure
US8471307B2 (en) In-situ carbon doped e-SiGeCB stack for MOS transistor
US9536772B2 (en) Fin structure of semiconductor device
US11393711B2 (en) Silicon oxide layer for oxidation resistance and method forming same
US7713834B2 (en) Method of forming isolation regions for integrated circuits
TW201434108A (en) Fin deformation modulation
JP2007123875A (en) Method for forming germanium-on-insulator semiconductor structure using porous layer and semiconductor structure formed by the method
US7238588B2 (en) Silicon buffered shallow trench isolation
KR20080075411A (en) Semiconductor device and methods for manufacturing the same
CN106653751A (en) Semiconductor device and manufacturing method thereof
US20230360907A1 (en) Method of Filling Gaps with Carbon and Nitrogen Doped Film
TWI791920B (en) Methods of forming semiconductor devices
US6673696B1 (en) Post trench fill oxidation process for strained silicon processes
CN106571298A (en) Formation method of semiconductor structure
JP2007518273A (en) Shallow trench isolation process and structure
CN106847697A (en) The forming method of fin formula field effect transistor
KR20020088552A (en) Trench Isolation Method Using SiGe Selective Epitaxial Growth Technique
JP2006516362A (en) Process for forming shallow trench insulators for strained silicon processes.
CN105826234A (en) Formation method of semiconductor structure
JP2005057147A (en) Method for manufacturing semiconductor device
CN105097694B (en) Preparation method of semiconductor device
CN106847751A (en) The forming method of fin formula field effect transistor