TW202032728A - Semiconductor structure - Google Patents

Semiconductor structure Download PDF

Info

Publication number
TW202032728A
TW202032728A TW108105225A TW108105225A TW202032728A TW 202032728 A TW202032728 A TW 202032728A TW 108105225 A TW108105225 A TW 108105225A TW 108105225 A TW108105225 A TW 108105225A TW 202032728 A TW202032728 A TW 202032728A
Authority
TW
Taiwan
Prior art keywords
seal ring
ring
polysilicon
area
insulating layer
Prior art date
Application number
TW108105225A
Other languages
Chinese (zh)
Other versions
TWI686905B (en
Inventor
林庭佑
涂祈吏
林鑫成
胡鈺豪
吳政璁
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW108105225A priority Critical patent/TWI686905B/en
Application granted granted Critical
Publication of TWI686905B publication Critical patent/TWI686905B/en
Publication of TW202032728A publication Critical patent/TW202032728A/en

Links

Images

Abstract

A semiconductor structure includes a substrate, a first insulating layer, a second insulating layer, a first seal ring structure, a second seal ring structure, and a passivation layer. The substrate has a chip region and a seal ring region. The first insulating layer is on the substrate. The second insulating layer is on the first insulating layer. The first seal ring structure is in the seal ring region and embedded in the first insulating layer and the second insulating layer, wherein the first seal ring structure includes a stack of metal layers. The second seal ring structure is in the seal ring region and embedded in the first insulating layer, wherein the second seal ring structure includes a polysilicon ring structure. The passivation layer is on the second insulating layer and the first seal ring structure.

Description

半導體結構Semiconductor structure

本發明是關於半導體結構,特別是關於晶片密封環結構。The present invention relates to semiconductor structures, particularly to wafer seal ring structures.

在半導體製程中,可在半導體晶圓上同時製造複數個包含積體電路(integrated circuit,IC)的晶粒(die)。在每二個相鄰的晶粒之間可設置密封環(seal ring)結構來保護晶粒,使得晶粒在後續的切割製程(dicing process)中,可免於晶粒中的積體電路遭受破壞。In the semiconductor manufacturing process, multiple dies including integrated circuits (IC) can be manufactured on a semiconductor wafer at the same time. A seal ring structure can be arranged between every two adjacent dies to protect the dies, so that the die can be protected from the integrated circuits in the die during the subsequent dicing process. damage.

一般來說,在半導體晶圓上之包含積體電路的晶粒皆由密封環結構所包圍,而密封環結構可將這些晶粒分別隔離開來。密封環結構可防止在切割晶圓時造成晶粒內部之積體電路受到外應力影響而產生微裂縫(microcrack),可避免濕氣(moisture)或化學汙染物入侵,並可避免靜電放電(electrostatic discharge,ESD)對晶粒造成衝擊。Generally speaking, the dies containing integrated circuits on the semiconductor wafer are all surrounded by a seal ring structure, and the seal ring structure can isolate these dies respectively. The sealing ring structure can prevent the integrated circuit inside the die from being affected by external stress and produce microcrack when cutting the wafer, can prevent moisture or chemical pollutants from intruding, and can avoid electrostatic discharge (electrostatic discharge (ESD) impacts the die.

雖然現有的密封環結構大致符合需求,但並非各方面皆令人滿意,特別是密封環結構對於晶粒的保護效果仍需進一步改善。Although the existing seal ring structure generally meets the requirements, it is not satisfactory in all aspects. In particular, the protection effect of the seal ring structure on the crystal grains still needs to be further improved.

本發明的一些實施例提供一種半導體結構,包含:基底、 第一絕緣層、第二絕緣層、第一密封環結構、第二密封環結構、以及鈍化層。基底具有晶片區以及密封環區。第一絕緣層位於基底之上。第二絕緣層位於第一絕緣層之上。第一密封環結構埋置於第一絕緣層及此第二絕緣層中且位於密封環區內,其中第一密封環結構包含金屬層堆疊。第二密封環結構,埋置於第一絕緣層中且位於密封環區內,其中第二密封環結構包含環型多晶矽結構。鈍化層位於第二絕緣層及第一密封環結構之上。在上視圖中,密封環區圍繞晶片區,其中第二密封環結構圍繞晶片區,且第一密封環結構圍繞第二密封環結構。Some embodiments of the present invention provide a semiconductor structure including: a substrate, a first insulating layer, a second insulating layer, a first seal ring structure, a second seal ring structure, and a passivation layer. The substrate has a wafer area and a seal ring area. The first insulating layer is on the substrate. The second insulating layer is located on the first insulating layer. The first sealing ring structure is embedded in the first insulating layer and the second insulating layer and located in the sealing ring area, wherein the first sealing ring structure includes a stack of metal layers. The second sealing ring structure is embedded in the first insulating layer and located in the sealing ring area, wherein the second sealing ring structure includes a ring-shaped polysilicon structure. The passivation layer is located on the second insulating layer and the first sealing ring structure. In the upper view, the seal ring area surrounds the wafer area, wherein the second seal ring structure surrounds the wafer area, and the first seal ring structure surrounds the second seal ring structure.

本發明的一些實施例提供一種半導體結構,包含:基底、絕緣層、外側密封環結構、內側密封環結構、以及鈍化層。基底,具有晶片區以及密封環區。絕緣層位於基底之上。外側密封環結構埋置於絕緣層中且位於密封環區內,其中外部密封環結構包含第一金屬層堆疊。內側密封環結構埋置於絕緣層中且位於密封環區內,其中內部密封環結構包含第二金屬層堆疊。鈍化層位於外側密封環結構及內部密封環結構之上。在上視圖中,密封環區圍繞晶片區,其中內側密封環結構圍繞晶片區,外側密封環結構圍繞內側密封環結構,並且外側密封環結構與內部密封環結構藉由複數個塊狀連接部連接而形成H型環型結構。Some embodiments of the present invention provide a semiconductor structure including: a substrate, an insulating layer, an outer seal ring structure, an inner seal ring structure, and a passivation layer. The substrate has a wafer area and a seal ring area. The insulating layer is on the substrate. The outer seal ring structure is embedded in the insulating layer and located in the seal ring area, wherein the outer seal ring structure includes the first metal layer stack. The inner seal ring structure is embedded in the insulating layer and located in the seal ring area, wherein the inner seal ring structure includes the second metal layer stack. The passivation layer is located on the outer seal ring structure and the inner seal ring structure. In the above view, the seal ring area surrounds the wafer area, where the inner seal ring structure surrounds the wafer area, the outer seal ring structure surrounds the inner seal ring structure, and the outer seal ring structure and the inner seal ring structure are connected by a plurality of block connections And form an H-ring structure.

本發明的一些實施例提供一種半導體結構,包含:基底、第一絕緣層、第二絕緣層、外側密封環結構、內側密封環結構、環型多晶矽結構、以及鈍化層。基底具有晶片區以及密封環區。第一絕緣層位於基底之上。第二絕緣層位於第一絕緣層之上。外側密封環結構,埋置於第一絕緣層及第二絕緣層中且位於密封環區內,其中外部密封環結構包含第一金屬層堆疊。內側密封環結構埋置於第一絕緣層及第二絕緣層中且位於密封環區內,其中內部密封環結構包含第二金屬層堆疊,其中外側密封環結構與內部密封環結構藉由複數個塊狀連接部連接而形成H型環型結構。環型多晶矽結構埋置於第一絕緣層中且位於密封環區內。鈍化層位於第二絕緣層、外側密封環結構、以及內側密封環結構之上。在上視圖中,密封環區圍繞晶片區,其中環型多晶矽結構圍繞晶片區,且H型環型結構圍繞環型多晶矽結構。Some embodiments of the present invention provide a semiconductor structure including: a substrate, a first insulating layer, a second insulating layer, an outer seal ring structure, an inner seal ring structure, a ring-shaped polysilicon structure, and a passivation layer. The substrate has a wafer area and a seal ring area. The first insulating layer is on the substrate. The second insulating layer is located on the first insulating layer. The outer sealing ring structure is embedded in the first insulating layer and the second insulating layer and located in the sealing ring area, wherein the outer sealing ring structure includes the first metal layer stack. The inner seal ring structure is embedded in the first insulating layer and the second insulating layer and is located in the seal ring area. The inner seal ring structure includes a second metal layer stack, and the outer seal ring structure and the inner seal ring structure are formed by multiple The block-shaped connecting parts are connected to form an H-shaped ring structure. The ring-shaped polysilicon structure is embedded in the first insulating layer and located in the sealing ring area. The passivation layer is located on the second insulating layer, the outer sealing ring structure, and the inner sealing ring structure. In the upper view, the seal ring area surrounds the wafer area, wherein the ring-shaped polysilicon structure surrounds the wafer area, and the H-shaped ring structure surrounds the ring-type polysilicon structure.

以下揭露提供了許多的實施例或範例,用於實施所提供的半導體結構之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在不同的範例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例之間的關係。The following disclosure provides many embodiments or examples for implementing different elements of the provided semiconductor structure. Specific examples of each element and its configuration are described below to simplify the description of the embodiments of the present invention. Of course, these are only examples and are not intended to limit the embodiments of the present invention. For example, if the description mentions that the first element is formed on the second element, it may include an embodiment in which the first and second elements are in direct contact, or may include additional elements formed between the first and second elements. , So that they do not directly touch the embodiment. In addition, the embodiment of the present invention may repeat reference numbers and/or letters in different examples. Such repetition is for conciseness and clarity, and is not used to express the relationship between the different embodiments discussed.

此外,其中可能用到與空間相對用詞,例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,這些空間相對用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相對用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相對形容詞也將依轉向後的方位來解釋。In addition, words that are relative to space may be used, such as "below", "below", "lower", "above", "higher" and similar terms. These space-relative terms are In order to facilitate the description of the relationship between one element or feature(s) and another element(s) or feature in the figure, these spatially relative terms include the different orientations of the device in use or operation, and the description in the figure The orientation. When the device is turned in different directions (rotated by 90 degrees or other directions), the spatially relative adjectives used therein will also be interpreted according to the turned position.

在此,「約」、「大約」、「大抵」之用語通常表示在一給定值或範圍的20%之內,較佳是10%之內,且更佳是5%之內,或3%之內,或2%之內,或1%之內,或0.5%之內。應注意的是,說明書中所提供的數量為大約的數量,亦即在沒有特定說明「約」、「大約」、「大抵」的情況下,仍可隱含「約」、「大約」、「大抵」之含義。Here, the terms "about", "approximately", and "approximately" usually mean within 20% of a given value or range, preferably within 10%, and more preferably within 5%, or 3 Within %, or within 2%, or within 1%, or within 0.5%. It should be noted that the quantity provided in the manual is an approximate quantity, that is, without specific description of "about", "approximately", "approximately", "about", "approximately" and "approximately" can still be implied. The meaning of "probably".

雖然所述的一些實施例中的部件以特定順序描述,這些描述方式亦可以其他合邏輯的順序進行。本發明實施例中的半導體結構可加入其他的部件。在不同實施例中,可替換或省略一些部件。Although the components in some of the described embodiments are described in a specific order, these descriptions can also be performed in other logical orders. Other components can be added to the semiconductor structure in the embodiment of the present invention. In different embodiments, some components may be replaced or omitted.

本發明提供一種半導體結構,其包含設置於晶片區(chip region)與切割道區(scribe line region)之間的密封環區(seal ring region),其中此密封環區包含圍繞晶片區的密封環結構。在本發明一實施例中,係利用環型多晶矽結構作為密封環,以進一步防止在切割製程中造成晶粒的機械損傷(mechanical damage)並防止濕氣及化學汙染物的入侵,有效提升密封環結構對於晶粒的保護效果進而減少密封環區的面積。The present invention provides a semiconductor structure including a seal ring region (seal ring region) disposed between a chip region and a scribe line region, wherein the seal ring region includes a seal ring surrounding the chip region structure. In an embodiment of the present invention, a ring-shaped polysilicon structure is used as the sealing ring to further prevent mechanical damage to the die during the cutting process and prevent the invasion of moisture and chemical contaminants, thereby effectively improving the sealing ring The protective effect of the structure on the crystal grains reduces the area of the seal ring area.

首先,請參照第1圖,是根據本發明的一實施例,繪示出例示性半導體結構100的部分上視圖。根據本發明一些實施例,半導體結構100包含晶片區101、圍繞晶片區101的密封環區103、以及圍繞密封環區103的切割道區102。晶片區101可用來形成各種半導體元件。舉例來說,這些半導體元件可包含例如電晶體(transistor)、二極體(diode)、或其他主動元件(active component),或者可包含例如電阻器(resistor)、電容器(capacitor)、電感(inductor)、或其他被動元件(passive component)。密封環區103可形成用來保護晶粒內部結構的一或多個密封環結構。切割道區102可用來實施晶圓的切割製程。如第1圖所示,密封環區103包含第一密封環結構104及第二密封環結構105,其中第一密封環結構104包含金屬層堆疊,而第二密封環結構105包含環型多晶矽結構。在上視圖中,根據本發明一些實施例,第二密封環結構105圍繞晶片區101,且第一密封環結構104圍繞第二密封環結構105。First, please refer to FIG. 1, which is a partial top view of an exemplary semiconductor structure 100 according to an embodiment of the present invention. According to some embodiments of the present invention, the semiconductor structure 100 includes a wafer area 101, a seal ring area 103 surrounding the wafer area 101, and a scribe lane area 102 surrounding the seal ring area 103. The wafer area 101 can be used to form various semiconductor devices. For example, these semiconductor components may include transistors, diodes, or other active components, or may include resistors, capacitors, and inductors. ), or other passive components. The seal ring region 103 may form one or more seal ring structures for protecting the internal structure of the die. The dicing lane area 102 can be used to implement a wafer dicing process. As shown in Figure 1, the seal ring area 103 includes a first seal ring structure 104 and a second seal ring structure 105. The first seal ring structure 104 includes a stack of metal layers, and the second seal ring structure 105 includes a ring polysilicon structure. . In the upper view, according to some embodiments of the present invention, the second seal ring structure 105 surrounds the wafer area 101, and the first seal ring structure 104 surrounds the second seal ring structure 105.

第2-1圖是根據本發明的一些實施例,繪示出例示性半導體結構200的部分上視圖。在一些實施例中,第2-1圖與第1圖之差異在於第2-1圖中的密封環區103包含二個具有環型多晶矽結構的第二密封環結構105、106。值得注意的是,雖然第2-1圖中僅繪示出一個第一密封環結構104及二個第二密封環結構105、106,但是本發明實施例所包含之第一密封環結構104及第二密封環結構105的數量並不以此為限。FIG. 2-1 is a partial top view of an exemplary semiconductor structure 200 according to some embodiments of the present invention. In some embodiments, the difference between Fig. 2-1 and Fig. 1 is that the seal ring region 103 in Fig. 2-1 includes two second seal ring structures 105 and 106 having a ring-shaped polysilicon structure. It is worth noting that although only one first seal ring structure 104 and two second seal ring structures 105, 106 are shown in Figures 2-1, the first seal ring structure 104 and the two second seal ring structures included in the embodiment of the present invention The number of the second seal ring structure 105 is not limited to this.

接著,請參照第2-1圖並搭配參照第2-2、2A-1、2A-2、2A-3、以及2B圖。第2-2圖是半導體結構200之部分截面的上視圖。第2A-1、2A-2、及2A-3圖是沿著第2-1圖中所繪示之線段A-A’所繪示之根據本發明之不同實施例的剖面示意圖。第2B圖是沿著第2-1圖中所繪示之線段B-B’所繪示之剖面示意圖。應理解的是,為了簡明地描述本發明實施例,並未將半導體結構200的所有元件繪示於第2A-1、2A-2、2A-3、以及2B圖中。Next, please refer to Figure 2-1 and refer to Figures 2-2, 2A-1, 2A-2, 2A-3, and 2B together. 2-2 is a partial cross-sectional top view of the semiconductor structure 200. Figures 2A-1, 2A-2, and 2A-3 are schematic cross-sectional views of different embodiments of the present invention drawn along the line A-A' shown in Figure 2-1. Figure 2B is a schematic cross-sectional view along the line B-B' drawn in Figure 2-1. It should be understood that, in order to briefly describe the embodiments of the present invention, not all the elements of the semiconductor structure 200 are shown in Figures 2A-1, 2A-2, 2A-3, and 2B.

如第2A-1圖之剖面圖與第2-1圖之上視圖所示,根據本發明一些實施例,基底201可區分為晶片區101、密封環區103、以及切割道區102。在一些實施例中,基底可為半導體基板,例如:矽基板,但本發明實施例並非以此為限。舉例而言,基底亦可為元素半導體(elemental semiconductor),包含:鍺(germanium);化合物半導體(compound semiconductor),包含:氮化鎵(gallium nitride,GaN)、碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide);合金半導體(alloy semiconductor),包含:矽鍺合金(SiGe)、磷砷鎵合金(GaAsP)、砷鋁銦合金(AlInAs)、砷鋁鎵合金(AlGaAs)、砷銦鎵合金(GaInAs)、磷銦鎵合金(GaInP)、及/或磷砷銦鎵合金(GaInAsP)、或上述材料之組合。在其他實施例中,基底也可以是絕緣層上覆半導體(semiconductor on insulator)基板,上述絕緣層覆半導體基板可包含底板、設置於底板上之埋藏氧化層、及設置於埋藏氧化層上之半導體層。此外,基底可為N型或P型導電類型。As shown in the cross-sectional view of FIG. 2A-1 and the top view of FIG. 2-1, according to some embodiments of the present invention, the substrate 201 can be divided into a wafer area 101, a seal ring area 103, and a scribe lane area 102. In some embodiments, the base may be a semiconductor substrate, such as a silicon substrate, but the embodiment of the invention is not limited to this. For example, the substrate may also be an elemental semiconductor, including germanium; compound semiconductor, including gallium nitride (GaN), silicon carbide, arsenide Gallium (gallium arsenide), gallium phosphide (gallium phosphide), indium phosphide (indium phosphide), indium arsenide (indium arsenide) and/or indium antimonide (indium antimonide); alloy semiconductor (alloy semiconductor), including: silicon Germanium alloy (SiGe), gallium arsenide phosphorous (GaAsP), aluminum arsenic aluminum indium alloy (AlInAs), aluminum gallium arsenic alloy (AlGaAs), gallium indium arsenic alloy (GaInAs), gallium indium phosphate alloy (GaInP), and/or phosphorous Indium gallium arsenide alloy (GaInAsP), or a combination of the above materials. In other embodiments, the substrate may also be a semiconductor on insulator substrate. The semiconductor on insulator substrate may include a bottom plate, a buried oxide layer on the bottom plate, and a semiconductor on the buried oxide layer. Floor. In addition, the substrate may be of N-type or P-type conductivity.

在一些實施例中,基底201可包含隔離結構202用以定義晶片區101,並電性隔離基底201之晶片區101之中或之上的半導體元件(未繪示)。另外,基底201也可包含隔離結構203用以區隔密封環區103及切割道區102。在一些實施例中,隔離結構202、203可包含淺溝槽隔離(shallow trench isolation,STI)結構、局部矽氧化(local oxidation of silicon,LOCOS)結構、其他合適的隔離部件、或上述之組合。隔離結構202、203之材料可包含二氧化矽、摻氮氧化矽、氮化矽、氮氧化矽、或其他類似的材料。In some embodiments, the substrate 201 may include an isolation structure 202 to define the wafer area 101 and electrically isolate semiconductor devices (not shown) in or on the wafer area 101 of the substrate 201. In addition, the substrate 201 may also include an isolation structure 203 to separate the seal ring area 103 and the scribe track area 102. In some embodiments, the isolation structures 202 and 203 may include a shallow trench isolation (STI) structure, a local oxidation of silicon (LOCOS) structure, other suitable isolation features, or a combination thereof. The material of the isolation structures 202 and 203 may include silicon dioxide, silicon nitride-doped silicon oxide, silicon nitride, silicon oxynitride, or other similar materials.

在一些實施例中,在密封環區103之基底201可包含靠近基底201之上表面的摻雜區204,並且摻雜區204位於隔離結構202及隔離結構203之間。摻雜區204之導電類型可取決於晶片區101之內部的電路設計。在一些實施例中,摻雜區203可為P型,其摻質例如硼、鋁、鎵、銦、三氟化硼離子(BF3+)、或上述之組合。其他實施例中,摻雜區204可為n型,其摻質例如為氮、磷、砷、銻離子、或前述之組合。In some embodiments, the substrate 201 in the seal ring region 103 may include a doped region 204 near the upper surface of the substrate 201, and the doped region 204 is located between the isolation structure 202 and the isolation structure 203. The conductivity type of the doped region 204 may depend on the internal circuit design of the chip region 101. In some embodiments, the doped region 203 may be P-type, with dopants such as boron, aluminum, gallium, indium, boron trifluoride ion (BF3+), or a combination thereof. In other embodiments, the doped region 204 may be n-type, and its dopant is, for example, nitrogen, phosphorus, arsenic, antimony ions, or a combination of the foregoing.

如第2A-1圖所示,層間介電(interlayer dielectric,ILD)層211位於基底201之上並覆蓋隔離結構202、203與摻雜區204。層間介電層212之上則更設有一或多層的金屬間介電(inter-metal dielectric,IMD)層212。值得注意的是,為了簡明的目的,第2A-1圖僅繪示出單一層的金屬間介電層212,然而金屬間介電層212所包含之層數並不以此為限。As shown in FIG. 2A-1, an interlayer dielectric (ILD) layer 211 is located on the substrate 201 and covers the isolation structures 202, 203 and the doped region 204. One or more inter-metal dielectric (IMD) layers 212 are further provided on the inter-layer dielectric layer 212. It is worth noting that, for the purpose of brevity, FIG. 2A-1 only shows a single layer of the intermetal dielectric layer 212, but the number of layers included in the intermetal dielectric layer 212 is not limited to this.

在一些實施例中,層間介電層211與金屬間介電層212可由相同或不同的材料所形成。舉例來說,層間介電層211與金屬間介電層212之材料可分別包含一或多種單層或多層介電材料,例如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethoxysilane,TEOS)、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、低介電常數介電材料、及/或其他適合的介電材料。低介電常數介電材料可包含但不限於氟化石英玻璃(fluorinated silica glass,FSG)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、摻雜碳的氧化矽、非晶質氟化碳(fluorinated carbon)、聚對二甲苯(parylene)、苯並環丁烯(bis-benzocyclobutenes,BCB)、或聚醯亞胺(polyimide)。舉例而言,可使用旋轉塗佈製程(spin coating)、化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition,ALD)、高密度電漿化學氣相沉積(high density plasma CVD, HDPCVD)、其他合適的方法或前述之組合來形成層間介電層211以及一或多層金屬間介電層212。In some embodiments, the interlayer dielectric layer 211 and the intermetal dielectric layer 212 may be formed of the same or different materials. For example, the material of the interlayer dielectric layer 211 and the intermetal dielectric layer 212 may include one or more single-layer or multilayer dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, and tetraethoxysilane ( tetraethoxysilane (TEOS), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), low-k dielectric materials, and/or other suitable dielectric materials. Low-k dielectric materials may include, but are not limited to, fluorinated silica glass (FSG), hydrogen silsesquioxane (HSQ), carbon-doped silicon oxide, and amorphous carbon fluoride (fluorinated carbon), parylene, bis-benzocyclobutenes (BCB), or polyimide. For example, spin coating (spin coating), chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), High density plasma chemical vapor deposition (HDPCVD), other suitable methods, or a combination of the foregoing are used to form the interlayer dielectric layer 211 and one or more layers of the intermetal dielectric layer 212.

層間介電層211是用來隔離基底上的半導體元件與金屬層,而金屬間介電層212是用來隔離不同層的金屬層。根據本發明之實施例,雖然層間介電層211以及金屬間介電層212可能包含相同的材料,但層間介電層211與金屬間介電層212之分界可以最底層的金屬層208(即第一層金屬導線)之下表面為基準。在一些實施例中,在金屬層208之下表面以下為層間介電層211,而在金屬層208之下表面以上為金屬間介電層212。The interlayer dielectric layer 211 is used to isolate the semiconductor device and the metal layer on the substrate, and the intermetal dielectric layer 212 is used to isolate different metal layers. According to the embodiment of the present invention, although the interlayer dielectric layer 211 and the intermetal dielectric layer 212 may include the same material, the boundary between the interlayer dielectric layer 211 and the intermetal dielectric layer 212 may be the bottom metal layer 208 (ie The lower surface of the first layer of metal wire) is the reference. In some embodiments, below the lower surface of the metal layer 208 is the interlayer dielectric layer 211, and above the lower surface of the metal layer 208 is the intermetal dielectric layer 212.

在第2A-1圖所繪示之密封環區103中,第一密封環結構104、第二密封環結構105、以及第二密封環結構106位於密封環區103中,並且依序朝靠近晶片區101的方向排列。根據本發明之一些實施例,如第2A-1圖所示,第一密封環結構104可包含由複數個第一接觸件205、複數個導孔206、207、以及金屬層208、209、210所組成的金屬層堆疊。第二密封環結構105可包含環型多晶矽結構105B及位於其上的第二接觸件105A。第二密封環結構106可包含環型多晶矽結構106B及位於其上的第二接觸件106A。In the seal ring area 103 depicted in FIG. 2A-1, the first seal ring structure 104, the second seal ring structure 105, and the second seal ring structure 106 are located in the seal ring area 103, and are in sequence toward the chip The direction of the area 101 is arranged. According to some embodiments of the present invention, as shown in FIG. 2A-1, the first seal ring structure 104 may include a plurality of first contacts 205, a plurality of vias 206, 207, and metal layers 208, 209, 210 The composed metal layer stack. The second sealing ring structure 105 may include a ring-shaped polysilicon structure 105B and a second contact 105A located thereon. The second sealing ring structure 106 may include a ring-shaped polysilicon structure 106B and a second contact 106A located thereon.

接著,為了更明確描述上述導孔及接觸件的形狀,請參照第2A-1圖並搭配第2-2圖所繪示之半導體結構200的部分截面上視圖。應注意的是,第2-2圖主要繪示出導孔及接觸件之截面形狀以突顯本發明的技術特徵,因而並未繪示出半導體結構200的所有結構。根據本發明之一些實施例,第一密封環結構104所包含之複數個第一接觸件205及/或複數個導孔206、207的截面在上視圖中可為環型導孔。此環型導孔的輪廓可大抵相似於第2-1圖所繪示之第一密封環結構104之環型輪廓。為了簡明的目的,在第2-2圖中並未繪示出導孔206、207,然而導孔206、207的輪廓可大抵相同於第一接觸件205的環形輪廓。在一些實施例中,第二密封環結構105、106所包含的第二接觸件105A、106A為孔型導孔, 換句話說,此孔型導孔在第2-2圖之截面上視圖中具有不連續的環型輪廓。應注意的是,第2-2圖中所繪示之導孔及接觸件的形狀、數量、及排列方式僅為例示性的,本發明並不以此為限。Next, in order to more clearly describe the shape of the above-mentioned via and contact, please refer to FIG. 2A-1 in conjunction with the partial cross-sectional top view of the semiconductor structure 200 shown in FIG. 2-2. It should be noted that FIG. 2-2 mainly depicts the cross-sectional shapes of vias and contacts to highlight the technical features of the present invention, and therefore does not depict all the structures of the semiconductor structure 200. According to some embodiments of the present invention, the cross-sections of the plurality of first contacts 205 and/or the plurality of guide holes 206 and 207 included in the first seal ring structure 104 may be ring-shaped guide holes in the upper view. The contour of the ring-shaped guide hole can be substantially similar to the ring-shaped contour of the first sealing ring structure 104 shown in FIG. 2-1. For the purpose of brevity, the guide holes 206 and 207 are not shown in FIG. 2-2, but the contours of the guide holes 206 and 207 can be substantially the same as the annular contour of the first contact 205. In some embodiments, the second contact members 105A, 106A included in the second seal ring structure 105, 106 are hole-shaped guide holes. In other words, the hole-shaped guide hole is shown in the cross-sectional top view of Figure 2-2. Has a discontinuous ring profile. It should be noted that the shape, number, and arrangement of the vias and contacts shown in Figure 2-2 are only exemplary, and the present invention is not limited thereto.

在一些實施例中,第一密封環結構104所包含之第一接觸件205埋置在層間介電層211中且與基底201之摻雜區204接觸。第一密封環結構104所包含之導孔206、207以及金屬層208、209、210埋置在金屬間介電層212中,其中金屬層208、209、210藉由導孔206、207相互電性連接。在一些實施例中,最底層之金屬層208與第一接觸件205電性連接。根據本發明一些實施例,藉由設置摻雜區204與第一接觸件205接觸,可降低第一接觸件205與基底201之間的電阻。藉此,在切割晶圓時所產生的靜電可有效地經由第一密封環結構104接至基底201,進而降低靜電放電(ESD)對晶粒造成衝擊。In some embodiments, the first contact 205 included in the first seal ring structure 104 is embedded in the interlayer dielectric layer 211 and is in contact with the doped region 204 of the substrate 201. The vias 206, 207 and the metal layers 208, 209, 210 included in the first seal ring structure 104 are embedded in the intermetal dielectric layer 212, and the metal layers 208, 209, 210 are electrically connected to each other through the vias 206, 207. Sexual connection. In some embodiments, the bottom metal layer 208 is electrically connected to the first contact 205. According to some embodiments of the present invention, by providing the doped region 204 in contact with the first contact 205, the resistance between the first contact 205 and the substrate 201 can be reduced. Thereby, the static electricity generated during wafer cutting can be effectively connected to the substrate 201 via the first sealing ring structure 104, thereby reducing the impact of electrostatic discharge (ESD) on the die.

在一些實施例中,可使用微影製程、蝕刻製程、其他適當之製程或上述之組合在層間介電層211及金屬間介電層212中形成開口,然後在上述開口中填充導電材料以形成第一接觸件205及導孔206、207。在一些實施例中,第一接觸件205及導孔206、207之導電材料包含金屬材料(例如:鎢、鋁、或銅)、金屬合金、其他合適的導電材料或上述之組合。舉例而言,可使用物理氣相沉積(PVD)製程(例如:蒸鍍法(evaporation)或濺鍍法(sputtering))、電鍍法(plating)、原子層沉積(ALD)製程、其他合適的製程或上述之組合來沉積導電材料於上述開口中形成第一接觸件205及導孔206、207。In some embodiments, a photolithography process, an etching process, other appropriate processes, or a combination of the above may be used to form openings in the interlayer dielectric layer 211 and the intermetal dielectric layer 212, and then fill the openings with a conductive material to form The first contact 205 and the guide holes 206 and 207. In some embodiments, the conductive material of the first contact 205 and the vias 206 and 207 includes metal materials (for example, tungsten, aluminum, or copper), metal alloys, other suitable conductive materials, or a combination thereof. For example, physical vapor deposition (PVD) processes (such as evaporation or sputtering), plating, atomic layer deposition (ALD) processes, and other suitable processes can be used Or a combination of the above to deposit conductive material to form the first contact 205 and the vias 206 and 207 in the opening.

在一些實施例中,金屬層208、209、210可包含Cu、W、Ag、Ag、Sn、Ni、Co、Cr、Ti、Pb、Au、Bi、Sb、Zn、Zr、Mg、In、Te、Ga、其他適合的金屬材料、上述之合金、或上述之組合。在一些實施例中,可以物理氣相沉積(PVD)製程、電鍍(plating)製程、原子層沉積(ALD)製程、其他適合的製程或上述之組合形成毯覆金屬層於層間介電層211上以及在多層金屬間介電層212之中。另外,在一些實施例中,可使用金屬鑲嵌製程(damascene process)以形成圖案化的金屬層208、209、210。應注意的是,在第2A-1圖中所繪示之第一接觸件、導孔、以及金屬層之數量僅為例示性的,本發明實施例並不以此為限。In some embodiments, the metal layers 208, 209, 210 may include Cu, W, Ag, Ag, Sn, Ni, Co, Cr, Ti, Pb, Au, Bi, Sb, Zn, Zr, Mg, In, Te , Ga, other suitable metal materials, the above alloys, or a combination of the above. In some embodiments, a physical vapor deposition (PVD) process, an electroplating process, an atomic layer deposition (ALD) process, other suitable processes, or a combination of the above can be used to form a blanket metal layer on the interlayer dielectric layer 211 And in the multilayer intermetal dielectric layer 212. In addition, in some embodiments, a damascene process may be used to form the patterned metal layers 208, 209, and 210. It should be noted that the numbers of first contacts, vias, and metal layers shown in Figure 2A-1 are only exemplary, and the embodiments of the present invention are not limited thereto.

如第2A-1圖所示,在一些實施例中,第二密封環結構105、106僅埋置於層間介電層211中。換句話說,第二密封環結構105、106位於最底層之金屬層208與基底201之間。根據本發明之一些實施例,第二密封環結構105、106分別包含第二接觸件105A、106A及環型多晶矽結構105B、106B。在一些實施例中,環型多晶矽結構105B、106B設置於基底201之隔離結構202之上。第二接觸件105A、106A分別設置於環型多晶矽結構105B、106B之上且僅設置於層間介電層211中而未延伸至金屬間介電層212。在一些實施例中,第二接觸件105A、106A與第一接觸件205直接接觸最底層之金屬層208。根據本發明之其他實施例,第二密封環結構105、106不包含分別設置於環型多晶矽結構105B、106B之上的第二接觸件105A、106A(未繪示)。在此情況下,環型多晶矽結構105B、106B之頂面埋置在層間介電層211中而不接觸金屬層208。As shown in FIG. 2A-1, in some embodiments, the second seal ring structures 105 and 106 are only buried in the interlayer dielectric layer 211. In other words, the second seal ring structures 105 and 106 are located between the bottom metal layer 208 and the substrate 201. According to some embodiments of the present invention, the second seal ring structures 105 and 106 respectively include second contacts 105A and 106A and ring-type polysilicon structures 105B and 106B. In some embodiments, the ring-shaped polysilicon structures 105B and 106B are disposed on the isolation structure 202 of the substrate 201. The second contacts 105A and 106A are respectively disposed on the ring-type polysilicon structures 105B and 106B and are only disposed in the interlayer dielectric layer 211 without extending to the intermetal dielectric layer 212. In some embodiments, the second contacts 105A, 106A and the first contact 205 directly contact the bottom metal layer 208. According to other embodiments of the present invention, the second sealing ring structures 105 and 106 do not include second contacts 105A and 106A (not shown) respectively disposed on the ring-shaped polysilicon structures 105B and 106B. In this case, the top surfaces of the ring-type polysilicon structures 105B and 106B are buried in the interlayer dielectric layer 211 without contacting the metal layer 208.

在一些實施例中,第二接觸件105A、106A之材料及形成方法大抵相同於第一接觸件205及導孔206、207,故此處不再贅述。在一些實施例中,環型多晶矽結構105B、106B是由多晶矽所形成,例如可使用化學氣相沉積(CVD)製程來形成。In some embodiments, the materials and forming methods of the second contact members 105A and 106A are substantially the same as those of the first contact member 205 and the vias 206 and 207, so they will not be repeated here. In some embodiments, the ring-shaped polysilicon structures 105B and 106B are formed of polysilicon, for example, a chemical vapor deposition (CVD) process can be used.

如第2A-1圖所示,在一些實施例中,鈍化層(passivation layer)213位於金屬間介電層212之上並覆蓋第一密封環結構104。鈍化層213可保護下方的膜層並提供物理隔離及結構支撐。舉例而言,鈍化層213可包含SiO2 、SiN3 、SiON、Al2 O3 、AlN、聚亞醯胺(polyimide,PI)、苯環丁烯(benzocyclobutene,BCB)、聚苯并噁唑(polybenzoxazole,PBO)、其他適當之材料或上述之組合。在一些實施例中,可使用化學氣相沉積法(CVD)、旋轉塗佈法(spin-coating)、其他適當之方法或上述之組合形成鈍化層213。在一些實施例中,可經化學機械研磨(chemical mechanical polish,CMP)製程使鈍化層213具有平坦或大抵上平坦的上表面。在一些實施例中,鈍化層213可在密封環區103與切割道區102之間形成露出金屬間介電層212的開口O,開口O具有可減少在實施晶圓的切割製程於切割道區102時所產生的外應力傳遞至密封環區102等用途。As shown in FIG. 2A-1, in some embodiments, a passivation layer 213 is located on the intermetal dielectric layer 212 and covers the first seal ring structure 104. The passivation layer 213 can protect the underlying film layer and provide physical isolation and structural support. For example, the passivation layer 213 may include SiO 2 , SiN 3 , SiON, Al 2 O 3 , AlN, polyimide (PI), benzocyclobutene (BCB), polybenzoxazole ( polybenzoxazole, PBO), other suitable materials or a combination of the above. In some embodiments, the passivation layer 213 may be formed using chemical vapor deposition (CVD), spin-coating, other appropriate methods, or a combination of the foregoing. In some embodiments, the passivation layer 213 may have a flat or substantially flat upper surface through a chemical mechanical polish (CMP) process. In some embodiments, the passivation layer 213 may form an opening O exposing the intermetal dielectric layer 212 between the seal ring area 103 and the scribe track area 102. The opening O has the advantages of reducing the wafer dicing process in the scribe track area. The external stress generated at 102 is transmitted to the sealing ring area 102 and other purposes.

根據本發明實施例,如第2A-1圖所示,第二密封環結構105、106的寬度為第一寬度W1。在一些實施例中,第一寬度W1的範圍在約0.2微米(micrometer,um)至約10微米(um)的範圍。第二密封環結構105與第二密封環結構106的寬度可為相同或不同。第一密封環結構104與第二密封環結構105之間距為第一距離D1,第二密封環結構105與第二密封環結構106之間距為第二距離D2,以及晶片區101鄰接於密封環區103之邊緣與第一密封環結構104之金屬層209之靠近晶片區101之邊緣的間距為第三距離D3。在一些實施例中,第一距離D1在約0.2微米(um)至約10微米(um)的範圍,以及第二距離D2也在約0.2微米(micrometer,um)至約10微米(um)的範圍。在一些實施例中,第三距離D3不小於10微米(um),例如在約10微米(um)至約100微米(um)的範圍。在一些實施例中,第二密封環結構105、106所包含之環型多晶矽結構105B、106B之間距大抵相同於第二距離D2,例如在約0.2微米(um)至約10微米(um)的範圍。According to the embodiment of the present invention, as shown in Figure 2A-1, the width of the second seal ring structures 105 and 106 is the first width W1. In some embodiments, the first width W1 ranges from about 0.2 micrometer (um) to about 10 micrometer (um). The widths of the second seal ring structure 105 and the second seal ring structure 106 may be the same or different. The distance between the first seal ring structure 104 and the second seal ring structure 105 is a first distance D1, the distance between the second seal ring structure 105 and the second seal ring structure 106 is a second distance D2, and the wafer area 101 is adjacent to the seal ring The distance between the edge of the region 103 and the edge of the metal layer 209 of the first seal ring structure 104 close to the chip region 101 is a third distance D3. In some embodiments, the first distance D1 is in the range of about 0.2 micrometers (um) to about 10 micrometers (um), and the second distance D2 is also in the range of about 0.2 micrometers (micrometer, um) to about 10 micrometers (um). range. In some embodiments, the third distance D3 is not less than 10 micrometers (um), for example, in the range of about 10 micrometers (um) to about 100 micrometers (um). In some embodiments, the distance between the annular polysilicon structures 105B and 106B included in the second seal ring structures 105 and 106 is approximately the same as the second distance D2, for example, between about 0.2 micrometers (um) and about 10 micrometers (um). range.

在一些實施例中,沿著密封環區103往晶片區101的方向,由第一密封環結構104最為起始點,每經過0.2微米(um)至約10微米(um)可增加設置一個第二密封環結構,例如可設置三個以上的第二密封環結構(未繪示)。應注意的是,雖然第2A-1圖僅繪示二個第二密封環結構105、106,但第二密封環結構的數量並不以此為限。藉由密封環區103中之結構及配置,除了防止在切割製程中造成晶粒的機械損傷(mechanical damage)以及防止濕氣及化學汙染物的入侵外,亦可防止在製造過程中的內應力影響內部結構,有效提升密封環結構對於晶粒的保護效果進而增加密封環內的可規劃晶片區面積。In some embodiments, along the direction from the seal ring area 103 to the wafer area 101, from the first seal ring structure 104 to the starting point, an additional first seal ring structure may be added every time 0.2 micrometers (um) to about 10 micrometers (um) pass. For the second seal ring structure, for example, more than three second seal ring structures (not shown) can be provided. It should be noted that although Fig. 2A-1 only shows two second seal ring structures 105 and 106, the number of second seal ring structures is not limited thereto. With the structure and configuration of the seal ring area 103, in addition to preventing mechanical damage to the die during the cutting process and preventing the intrusion of moisture and chemical contaminants, it can also prevent internal stress during the manufacturing process Affect the internal structure, effectively improve the protection effect of the seal ring structure on the die, and increase the area of the programmable chip area in the seal ring.

請參照第2A-1圖並搭配參照第2B圖,第2B圖是根據本發明的一些實施例,繪示出對應於第2-1圖所示之晶片區與密封環區的B-B’線段剖面示意圖。第2B圖繪示出晶片區101之部分結構以及密封環區202的部分結構,其中關於密封環區202的部分結構的細節請參照關於第2A-1圖之描述。如第2B圖所示,晶片區101包含設置於基底201中的源極區214及汲極區215、埋置於層間介電層211中的閘極結構216、位於閘極結構216之相對兩側的閘極間隔物217、以及位於閘極結構216之頂面上的閘極接觸件218。在一些實施例中,閘極接觸件218直接接觸最底層之金屬層208。Please refer to Fig. 2A-1 in conjunction with Fig. 2B. Fig. 2B shows some embodiments of the present invention showing B-B' corresponding to the chip area and the seal ring area shown in Fig. 2-1 Schematic diagram of line section profile. FIG. 2B illustrates a partial structure of the wafer area 101 and a partial structure of the seal ring area 202. For details of the partial structure of the seal ring area 202, please refer to the description of FIG. 2A-1. As shown in FIG. 2B, the chip region 101 includes a source region 214 and a drain region 215 disposed in the substrate 201, a gate structure 216 buried in the interlayer dielectric layer 211, and two opposite gate structures 216. The gate spacer 217 on the side and the gate contact 218 on the top surface of the gate structure 216. In some embodiments, the gate contact 218 directly contacts the bottom metal layer 208.

根據本發明之一些實施例,閘極結構216與環型多晶矽結構105B、106B位於同一層級(level)。在一些實施例中,閘極結構216與環型多晶矽結構105B、106B由同一層多晶矽層圖案化而成。在一些實施例中,閘極接觸件218之材料及形成方法大抵相同於第二接觸件105A、106A,亦可於同一道製程中形成,故此處不再贅述。藉由在閘極製程中同時形成第二密封環結構105、106所包含之環型多晶矽結構105B、106B,可不需增加額外的製程成本而能提供晶片區101更完善的保護。在其他實施例中,閘極結構之材料及形成方法可相同於上述的金屬層208-210,故此處不再贅述。According to some embodiments of the present invention, the gate structure 216 and the ring polysilicon structures 105B, 106B are located at the same level. In some embodiments, the gate structure 216 and the ring polysilicon structures 105B, 106B are patterned from the same polysilicon layer. In some embodiments, the material and forming method of the gate contact 218 are substantially the same as those of the second contacts 105A and 106A, and they can also be formed in the same manufacturing process, so they will not be repeated here. By forming the ring-shaped polysilicon structures 105B and 106B included in the second sealing ring structures 105 and 106 at the same time during the gate process, it is possible to provide more complete protection of the chip area 101 without adding additional process costs. In other embodiments, the material and forming method of the gate structure can be the same as the metal layers 208-210 described above, so it will not be repeated here.

接著,請參照第2A-2圖,是根據本發明的其他實施例,繪示出對應於第2-1圖所示之密封環區的A-A’線段剖面示意圖。第2A-2圖所示之結構與第2A-1圖所示之結構之差異在於,環型多晶矽結構105B、106B與複數個第一接觸件205皆設置於基底201之摻雜區204之上。第2A-2圖所繪示之密封環區103中的結構大抵相同於第2A-1圖所繪示之結構。因此,在第2A-2圖中的第一密封環結構104、第二密封環結構105、106之材料、形成方法將不再贅述。Next, please refer to FIG. 2A-2, which is a schematic cross-sectional view of the line segment A-A' corresponding to the seal ring area shown in FIG. 2-1 according to other embodiments of the present invention. The difference between the structure shown in Fig. 2A-2 and the structure shown in Fig. 2A-1 is that the ring polysilicon structures 105B, 106B and the plurality of first contacts 205 are all disposed on the doped region 204 of the substrate 201 . The structure in the seal ring area 103 shown in FIG. 2A-2 is substantially the same as the structure shown in FIG. 2A-1. Therefore, the materials and forming methods of the first seal ring structure 104 and the second seal ring structures 105 and 106 in Figure 2A-2 will not be repeated.

接著,請參照第2A-3圖,是根據本發明的其他實施例,繪示出對應於第2-1圖所示之密封環區的A-A’線段剖面示意圖。第2A-3圖所示之結構與第2A-1圖所示之結構之差異在於,環型多晶矽結構105B包含二層多晶矽層105B’、105B’’及設置於多晶矽層105B’、105B’’間的介電層105C,以及環型多晶矽結構106B包含二層多晶矽層106B’、106B’’及設置於多晶矽層106B’、106B’’間的介電層106C。環型多晶矽結構105B、106B可依照主動區的元件製程而有不同結構,例如在主動區有多閘極製程的實施例中,環型多晶矽結構105B可配合多閘極製程依序形成105B’’、105C、105B’,以及環型多晶矽結構106B可配合多閘極製程依序形成106B’’、106C、106B’。在一些實施例中,相較於僅包含單一層多晶矽層的環型多晶矽結構,包含二層或二層以上(未繪示)多晶矽層的環型多晶矽結構可提供更佳的機械強度,增加晶片區周圍對內應力及外應力的隔離之防護效果。Next, please refer to Fig. 2A-3, which is a schematic cross-sectional view of the line segment A-A' corresponding to the seal ring area shown in Fig. 2-1 according to other embodiments of the present invention. The difference between the structure shown in Fig. 2A-3 and the structure shown in Fig. 2A-1 is that the ring-shaped polysilicon structure 105B includes two polysilicon layers 105B', 105B" and is disposed on the polysilicon layers 105B', 105B" The intermediate dielectric layer 105C and the ring-type polysilicon structure 106B include two polysilicon layers 106B′, 106B″ and a dielectric layer 106C disposed between the polysilicon layers 106B′, 106B″. The ring-type polysilicon structure 105B, 106B can have different structures according to the device manufacturing process in the active region. For example, in the embodiment where the active region has a multi-gate process, the ring-type polysilicon structure 105B can be sequentially formed with the multi-gate process to form 105B'' , 105C, 105B', and ring-shaped polysilicon structure 106B can be used in order to form 106B", 106C, 106B' with a multi-gate process. In some embodiments, compared to a ring polysilicon structure including only a single polysilicon layer, a ring polysilicon structure including two or more (not shown) polysilicon layers can provide better mechanical strength and increase the chip Protective effect of isolation of internal stress and external stress around the area.

在一些實施例中,介電層105C、106C之材料可包含例如氧化矽、氮化矽、氮氧化矽、高介電常數介電材料、其他任何適合之介電材料或上述之組合。介電層105C、106C可藉由例如化學氣相沉積法(CVD)、原子層沉積法(ALD)、其他適合的沉積製程、或上述之組合來形成。In some embodiments, the material of the dielectric layer 105C, 106C may include, for example, silicon oxide, silicon nitride, silicon oxynitride, high-k dielectric material, any other suitable dielectric material, or a combination of the foregoing. The dielectric layers 105C and 106C can be formed by, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), other suitable deposition processes, or a combination of the foregoing.

第3圖是根據本發明的其他實施例,繪示出例示性半導體結構300的部分上視圖。在一些實施例中,第3圖所繪示之半導體結構300大抵相同於第1圖所繪示之半導體結構100,其差異在於第二密封環結構105所包含之環型多晶矽結構105B具有複數個突出部301,此些突出部301突出於環型多晶矽結構105B之二側。在一些實施例中,此些突出部301由多晶矽所組成,並與環型多晶矽結構105B在同一道製程中形成。藉由第二密封環結構105所包含具有複數個突出部301之環型多晶矽結構105B的配置,可增加第二密封環結構105與層間介電層211的接觸面積,增加晶片區周圍對內應力及外應力的緩衝效果。FIG. 3 is a partial top view of an exemplary semiconductor structure 300 according to other embodiments of the present invention. In some embodiments, the semiconductor structure 300 shown in FIG. 3 is substantially the same as the semiconductor structure 100 shown in FIG. 1. The difference is that the ring polysilicon structure 105B included in the second seal ring structure 105 has a plurality of The protrusions 301, these protrusions 301 protrude from the two sides of the ring polysilicon structure 105B. In some embodiments, the protrusions 301 are made of polysilicon, and are formed in the same process as the ring polysilicon structure 105B. The configuration of the ring-shaped polysilicon structure 105B with a plurality of protrusions 301 included in the second seal ring structure 105 can increase the contact area between the second seal ring structure 105 and the interlayer dielectric layer 211 and increase the internal stress around the chip area. And the buffer effect of external stress.

第4圖是根據本發明的其他實施例,繪示出例示性半導體結構400的部分上視圖。在一些實施例中,第4圖所繪示之半導體結構400大抵相同於第2-1圖所繪示之半導體結構200,其差異在於第二密封環結構105、106所包含之環型多晶矽結構105B、106B藉由複數個塊狀連接部401連接而形成H型環型結構。在一些實施例中,此些塊狀連接部401由多晶矽所組成,並與環型多晶矽結構105B、106B在同一道製程中形成。藉由第二密封環結構105、106所包含之上述H型環型結構配置,可更加穩固第二密封環結構105、106,並提升第二密封環結構105、106與層間介電層211的接觸面積,從而提供更佳的機械強度及增加晶片區周圍對內應力及外應力的隔離與緩衝效果。FIG. 4 is a partial top view of an exemplary semiconductor structure 400 according to other embodiments of the present invention. In some embodiments, the semiconductor structure 400 depicted in FIG. 4 is substantially the same as the semiconductor structure 200 depicted in FIG. 2-1. The difference lies in the ring-shaped polysilicon structure contained in the second seal ring structures 105 and 106 105B and 106B are connected by a plurality of block-shaped connecting parts 401 to form an H-shaped ring structure. In some embodiments, the bulk connections 401 are made of polysilicon and are formed in the same process as the ring polysilicon structures 105B and 106B. With the above-mentioned H-ring structure configuration included in the second seal ring structures 105, 106, the second seal ring structures 105, 106 can be more stable, and the gap between the second seal ring structures 105, 106 and the interlayer dielectric layer 211 can be improved. The contact area provides better mechanical strength and increases the isolation and buffer effect of internal and external stress around the chip area.

第5圖是根據本發明的其他實施例,繪示出例示性半導體結構500的部分上視圖。在一些實施例中,第5圖所繪示之半導體結構500大抵相同於第2-1圖所繪示之半導體結構200,其差異在於第二密封環結構105所包含之環型多晶矽結構105B具有複數個突出部501A,以及第二密封環結構106所包含之環型多晶矽結構106B具有複數個突出部501B。此些突出部501A突出於環型多晶矽結構105B之二側,以及此些突出部501B突出於環型多晶矽結構106B之二側。此些突出部501A與此些突出部501B彼此交錯。在一些實施例中,此些突出部501A、501B由多晶矽所組成,並與環型多晶矽結構105B、106B在同一道製程中形成。藉由第二密封環結構105、106所包含具有複數個突出部501A、501B之環型多晶矽結構105B的配置,可增加第二密封環結構105、106與層間介電層211的接觸面積,提供更佳的機械強度及增加晶片區周圍對內應力及外應力的隔離與緩衝效果。FIG. 5 is a partial top view of an exemplary semiconductor structure 500 according to other embodiments of the present invention. In some embodiments, the semiconductor structure 500 depicted in FIG. 5 is substantially the same as the semiconductor structure 200 depicted in FIG. 2-1. The difference is that the ring polysilicon structure 105B included in the second seal ring structure 105 has The plurality of protrusions 501A and the annular polysilicon structure 106B included in the second sealing ring structure 106 have a plurality of protrusions 501B. The protrusions 501A protrude from the two sides of the ring polysilicon structure 105B, and the protrusions 501B protrude from the two sides of the ring polysilicon structure 106B. The protrusions 501A and the protrusions 501B are staggered with each other. In some embodiments, the protrusions 501A, 501B are made of polysilicon and are formed in the same process as the ring-type polysilicon structures 105B, 106B. The configuration of the ring-shaped polysilicon structure 105B with a plurality of protrusions 501A, 501B included in the second seal ring structures 105, 106 can increase the contact area between the second seal ring structures 105, 106 and the interlayer dielectric layer 211, providing Better mechanical strength and increase the isolation and buffer effect of internal and external stress around the chip area.

根據1-5圖所示,本發明實施例所提供之半導體結構100、200、300、400、500包含位於密封環區的第一密封環結構104與一或多個包含環型多晶矽結構的第二密封環結構。利用第二密封環結構之所包含之環型多晶矽結構的形狀、結構、及配置,可防止在切割製程中造成晶粒的機械損傷(mechanical damage)並防止濕氣及化學汙染物的入侵與滅少晶片製造製程中造成的結構變異,有效提升密封環結構對於晶粒的保護效果進而增加密封環內的可規劃晶片區面積。According to Figures 1-5, the semiconductor structure 100, 200, 300, 400, 500 provided by the embodiment of the present invention includes a first seal ring structure 104 located in the seal ring region and one or more second ring polysilicon structures. Two seal ring structure. Using the shape, structure, and configuration of the ring-shaped polysilicon structure included in the second sealing ring structure can prevent mechanical damage to the die during the cutting process and prevent the intrusion and extinction of moisture and chemical pollutants. The structure variation caused by the wafer manufacturing process is reduced, and the protective effect of the seal ring structure on the die is effectively improved, thereby increasing the area of the programmable wafer area in the seal ring.

請參照第6圖,是根據本發明的另一些實施例,繪示出例示性半導體結構600的部分上視圖。如第6圖所示,半導體結構600包含晶片區101、圍繞晶片區101的密封環區103、以及圍繞密封環區103的切割道區102。密封環區103包含外側密封環結構601及內側密封環結構602。在上視圖中,根據本發明一些實施例,內側密封環結構602圍繞晶片區101,外側密封環結構601圍繞內側密封環結構602,並且外側密封環結構601與內側密封環結構602藉由複數個塊狀連接部603連接而形成H型環型結構。Please refer to FIG. 6, which shows a partial top view of an exemplary semiconductor structure 600 according to other embodiments of the present invention. As shown in FIG. 6, the semiconductor structure 600 includes a wafer area 101, a seal ring area 103 surrounding the wafer area 101, and a scribe track area 102 surrounding the seal ring area 103. The seal ring area 103 includes an outer seal ring structure 601 and an inner seal ring structure 602. In the above view, according to some embodiments of the present invention, the inner seal ring structure 602 surrounds the wafer area 101, the outer seal ring structure 601 surrounds the inner seal ring structure 602, and the outer seal ring structure 601 and the inner seal ring structure 602 are formed by a plurality of The block-shaped connecting portion 603 is connected to form an H-shaped ring structure.

在一些實施例中,如第6圖所示,外側密封環結構601之寬度為第二寬度W2,內側密封環結構602之寬度為第四寬度W4,以及塊狀連接部603之寬度為第三寬度W3。在一些實施例中,第二寬度W2及第四寬度W4在約0.2微米(um)至約10微米(um)的範圍,例如可為2微米(um)。第三寬度W3在約0.2微米(um)至約10微米(um)的範圍,例如可為6微米(um)。In some embodiments, as shown in Figure 6, the width of the outer seal ring structure 601 is the second width W2, the width of the inner seal ring structure 602 is the fourth width W4, and the width of the block-shaped connecting portion 603 is the third Width W3. In some embodiments, the second width W2 and the fourth width W4 are in the range of about 0.2 micrometers (um) to about 10 micrometers (um), for example, may be 2 micrometers (um). The third width W3 ranges from about 0.2 micrometers (um) to about 10 micrometers (um), and may be, for example, 6 micrometers (um).

接著,請參照第6圖並搭配參照第6A及6B圖。第6A圖是沿著第6圖中所繪示之線段A-A’所繪示之剖面示意圖。第6B圖是沿著第6圖中所繪示之線段B-B’所繪示之剖面示意圖。應理解的是,為了簡明地描述本發明實施例,並未將半導體結構600的所有元件繪示於第6A及6B圖中。Next, please refer to Figure 6 and refer to Figures 6A and 6B together. Fig. 6A is a schematic cross-sectional view taken along the line A-A' shown in Fig. 6. Fig. 6B is a schematic cross-sectional view along the line B-B' shown in Fig. 6. It should be understood that, in order to briefly describe the embodiments of the present invention, not all the elements of the semiconductor structure 600 are shown in FIGS. 6A and 6B.

如第6A圖之剖面圖與第6圖之上視圖所示,根據本發明一些實施例,在此剖面示意圖中可將基底201區分為晶片區101、密封環區103、以及切割道區102。根據本發明之實施例,在第6A圖中所繪示之基底201、隔離結構202、203、摻雜區204、層間介電層211、金屬間介電層212、以及鈍化層213之材料及形成方法大抵相同於在第2A-1圖中所繪示之結構,故此處不再贅述。As shown in the cross-sectional view of FIG. 6A and the top view of FIG. 6, according to some embodiments of the present invention, the substrate 201 can be divided into a wafer area 101, a seal ring area 103, and a scribe lane area 102 in this cross-sectional schematic view. According to an embodiment of the present invention, the materials of the substrate 201, the isolation structures 202, 203, the doped region 204, the interlayer dielectric layer 211, the intermetal dielectric layer 212, and the passivation layer 213 shown in FIG. 6A and The forming method is basically the same as the structure shown in Figure 2A-1, so it will not be repeated here.

在第6A圖所繪示之密封環區103中,外側密封環結構601及內側密封環結構602位於密封環區103中,並且依序朝晶片區101的方向排列。如第6A圖所示,在一些實施例中,外側密封環結構601可包含由複數個第一接觸件605、複數個導孔606、607、以及第一金屬層608、609、610所組成的第一金屬層堆疊。內側密封環結構602可包含由複數個第一接觸件611、複數個導孔612、613、以及第二金屬層614、615、616所組成的第二金屬層堆疊。根據本發明之一些實施例,外側密封環結構601所包含之複數個第一接觸件605及/或複數個導孔606、607與內側密封環結構602所包含之複數個第一接觸件611及/或複數個導孔612、613的截面在上視圖中可為環型導孔。此環型導孔的輪廓大抵相似於如第2-2圖所示之第一接觸件205及/或導孔206、207之環型輪廓,故此處不再贅述。在一些實施例中,此環型導孔的輪廓也可大抵相似於第6圖所繪示之外側密封環結構601及內側密封環結構602之環型輪廓。In the seal ring area 103 depicted in FIG. 6A, the outer seal ring structure 601 and the inner seal ring structure 602 are located in the seal ring area 103 and are arranged in the direction of the chip area 101 in sequence. As shown in FIG. 6A, in some embodiments, the outer seal ring structure 601 may include a plurality of first contacts 605, a plurality of vias 606, 607, and first metal layers 608, 609, 610. The first metal layer is stacked. The inner sealing ring structure 602 may include a second metal layer stack composed of a plurality of first contacts 611, a plurality of vias 612, 613, and second metal layers 614, 615, and 616. According to some embodiments of the present invention, the plurality of first contacts 605 and/or the plurality of guide holes 606 and 607 included in the outer seal ring structure 601 and the plurality of first contacts 611 and the plurality of first contacts included in the inner seal ring structure 602 /Or the cross-sections of the plurality of guide holes 612 and 613 may be ring-shaped guide holes in the upper view. The contour of the ring-shaped guide hole is substantially similar to the ring-shaped contour of the first contact 205 and/or the guide holes 206 and 207 as shown in FIG. 2-2, so it will not be repeated here. In some embodiments, the contour of the ring-shaped guide hole may be substantially similar to the ring-shaped contours of the outer side seal ring structure 601 and the inner side seal ring structure 602 shown in FIG. 6.

在一些實施例中,外側密封環結構601所包含之第一接觸件605埋置在層間介電層211中且與基底201之摻雜區204接觸。外側密封環結構601所包含之導孔606、607以及第一金屬層608、609、610埋置在金屬間介電層212中,其中第一金屬層608、609、610藉由導孔606、607相互電性連接。在一些實施例中,最底層之第一金屬層608與第一接觸件605直接接觸。In some embodiments, the first contact 605 included in the outer seal ring structure 601 is embedded in the interlayer dielectric layer 211 and is in contact with the doped region 204 of the substrate 201. The via holes 606, 607 and the first metal layers 608, 609, and 610 included in the outer seal ring structure 601 are embedded in the intermetal dielectric layer 212, wherein the first metal layers 608, 609, and 610 are formed by the via holes 606, 607 are electrically connected to each other. In some embodiments, the bottommost first metal layer 608 is in direct contact with the first contact 605.

在一些實施例中,內側密封環結構602所包含之第一接觸件611埋置在層間介電層211中且與基底201之摻雜區204接觸。內側密封環結構602所包含之導孔612-613以及第二金屬層614、615、616埋置在金屬間介電層212中,其中第二金屬層614、615、616藉由導孔612、613相互電性連接。在一些實施例中,最底層之金屬層614與第一接觸件611直接接觸。再者,如第6A圖所示,第一金屬層608、609、610之其中一層與該些第二金屬層614、615、616之其中對應的一層(例如第一金屬層608與第二金屬層614對應)藉由該些塊狀連接部603之其中一者直接接觸。在一些實施例中,晶片區101鄰接於密封環區103之邊緣與內側密封環結構602所包含的第二金屬層614之靠近晶片區101之邊緣的間距為第三距離D3。在一些實施例中,第三距離D3不小於10微米(um),例如在約10微米(um)至約100微米(um)的範圍。In some embodiments, the first contact 611 included in the inner seal ring structure 602 is embedded in the interlayer dielectric layer 211 and is in contact with the doped region 204 of the substrate 201. The vias 612-613 and the second metal layers 614, 615, 616 included in the inner seal ring structure 602 are embedded in the intermetal dielectric layer 212, wherein the second metal layers 614, 615, 616 are formed by the vias 612, 613 are electrically connected to each other. In some embodiments, the bottom metal layer 614 is in direct contact with the first contact 611. Furthermore, as shown in FIG. 6A, one of the first metal layers 608, 609, 610 and the corresponding one of the second metal layers 614, 615, 616 (for example, the first metal layer 608 and the second metal layer Corresponding to layer 614) is directly contacted by one of the block-shaped connecting portions 603. In some embodiments, the distance between the edge of the chip area 101 adjacent to the seal ring area 103 and the edge of the second metal layer 614 included in the inner seal ring structure 602 close to the chip area 101 is the third distance D3. In some embodiments, the third distance D3 is not less than 10 micrometers (um), for example, in the range of about 10 micrometers (um) to about 100 micrometers (um).

在一些實施例中,第一接觸件605及611、導孔606、607及612、613之材料及形成方法大抵相同於第2A-1圖中所示之第一接觸件205、導孔206、207,故此處不再贅述。在一些實施例中,第一金屬層608、609、610與第二金屬層614、615、616之材料及形成方法大抵相同於第2A-1圖中所示之金屬層208、209、210,故此處不再贅述。在一些實施例中,塊狀連接部603之材料及形成方法大抵相同於第2A-1圖中所示之金屬層208、209、210,故此處不再贅述。In some embodiments, the materials and forming methods of the first contacts 605 and 611, the vias 606, 607, 612, and 613 are substantially the same as those of the first contact 205, vias 206, and 206 shown in Figure 2A-1. 207, so I won't repeat it here. In some embodiments, the materials and formation methods of the first metal layers 608, 609, and 610 and the second metal layers 614, 615, and 616 are substantially the same as the metal layers 208, 209, and 210 shown in Figure 2A-1. Therefore, I will not repeat them here. In some embodiments, the material and forming method of the bulk connecting portion 603 are substantially the same as the metal layers 208, 209, and 210 shown in Figure 2A-1, so they will not be repeated here.

在一些實施例中,第一金屬層608、609、610之其中一層與該些第二金屬層614、615、616之其中對應的一層(例如第一金屬層608與第二金屬層614對應、第一金屬層609與第二金屬層615對應,以此類推)可藉由同一道金屬層的製程所形成。連接所對應之第一金屬層與第二金屬層之塊狀連接部603亦可於同一道金屬層的製程所形成。In some embodiments, one of the first metal layers 608, 609, and 610 corresponds to one of the second metal layers 614, 615, and 616 (for example, the first metal layer 608 corresponds to the second metal layer 614, The first metal layer 609 corresponds to the second metal layer 615, and so on) can be formed by the same metal layer manufacturing process. The bulk connection portion 603 connecting the corresponding first metal layer and the second metal layer can also be formed in the same metal layer manufacturing process.

如第6A圖所示,在一些實施例中,鈍化層213位於金屬間介電層212之上並覆蓋外側密封環結構601及內側密封環結構602。鈍化層213可保護下方的膜層並提供物理隔離及結構支撐。在一些實施例中,鈍化層213可在外側密封環結構601及內側密封環結構602之間形成露出塊狀連接部603之一部分的開口O,開口O具有可減少在實施晶圓的切割製程於切割道區102時所產生的外應力傳遞至密封環區103等功效。As shown in FIG. 6A, in some embodiments, the passivation layer 213 is located on the intermetal dielectric layer 212 and covers the outer seal ring structure 601 and the inner seal ring structure 602. The passivation layer 213 can protect the underlying film layer and provide physical isolation and structural support. In some embodiments, the passivation layer 213 may form an opening O exposing a part of the block-shaped connecting portion 603 between the outer seal ring structure 601 and the inner seal ring structure 602. The opening O has the advantage of reducing the amount of time required to perform the wafer dicing process. The external stress generated when the bead area 102 is cut is transmitted to the sealing ring area 103 and other functions.

接著,請參照第6B圖,是沿著第6圖中所繪示之線段B-B’所繪示之剖面示意圖。第6B圖中所繪示之剖面結構大抵相同於在第6A圖中所繪示之剖面結構,差異在於在第6B圖中的剖面中不包含塊狀連接部603,因此鈍化層213之開口O會露出金屬間介電層212。Next, please refer to Fig. 6B, which is a schematic cross-sectional view along the line B-B' shown in Fig. 6. The cross-sectional structure shown in Figure 6B is almost the same as the cross-sectional structure shown in Figure 6A. The difference is that the cross section in Figure 6B does not include the bulk connection 603, so the opening O of the passivation layer 213 The intermetal dielectric layer 212 will be exposed.

根據第6、6A、6B圖所示,本發明實施例所提供之半導體結構600包含位於密封環區103的外側密封環結構601、內側密封環結構602、以及塊狀連接部603。利用塊狀連接部603連接外側密封環結構601與內側密封環結構602所形成的H型環型結構,除了可防止在切割製程中造成晶粒的機械損傷(mechanical damage)並防止濕氣及化學汙染物的入侵,H型環型結構具有一定的緩衝效果,能有效提升密封環結構之可承載之應力值,進而逹到對於晶粒的保護效果。As shown in FIGS. 6, 6A, and 6B, the semiconductor structure 600 provided by the embodiment of the present invention includes an outer seal ring structure 601, an inner seal ring structure 602, and a block-shaped connecting portion 603 located in the seal ring area 103. The H-shaped ring structure formed by connecting the outer seal ring structure 601 and the inner seal ring structure 602 with the block-shaped connecting portion 603 can not only prevent mechanical damage to the die during the cutting process, and prevent moisture and chemicals. The intrusion of pollutants, the H-shaped ring structure has a certain buffering effect, which can effectively increase the loadable stress value of the seal ring structure, thereby achieving a protective effect on the crystal grains.

請參照第7圖,是根據本發明的又另一些實施例,繪示出例示性半導體結構700的部分上視圖。如第7圖所示,半導體結構700包含晶片區101、圍繞晶片區101的密封環區103、以及圍繞密封環區103的切割道區102。密封環區103包含H型環型結構及第二密封環結構105、106。在一些實施例中,H型環型結構是由外側密封環結構601與內側密封環結構602藉由複數個塊狀連接部603連接而形成。在上視圖中,根據本發明一些實施例,第二密封環結構105、106圍繞晶片區101,H型環型結構圍繞環型多晶矽結構105、106。Please refer to FIG. 7, which shows a partial top view of an exemplary semiconductor structure 700 according to still other embodiments of the present invention. As shown in FIG. 7, the semiconductor structure 700 includes a wafer area 101, a seal ring area 103 surrounding the wafer area 101, and a scribe track area 102 surrounding the seal ring area 103. The seal ring area 103 includes an H-ring structure and second seal ring structures 105 and 106. In some embodiments, the H-shaped ring structure is formed by connecting the outer sealing ring structure 601 and the inner sealing ring structure 602 through a plurality of block-shaped connecting portions 603. In the upper view, according to some embodiments of the present invention, the second sealing ring structure 105, 106 surrounds the wafer region 101, and the H-shaped ring structure surrounds the ring polysilicon structure 105, 106.

接著,請參照第7圖並搭配參照第7A圖。第7A圖是沿著第7圖中所繪示之線段A-A’所繪示之剖面示意圖。應理解的是,為了簡明地描述本發明實施例,並未將半導體結構700的所有元件繪示於第7A圖中。第7A圖中所繪示之剖面結構大抵相同於在第6A圖中所繪示之剖面結構,差異在於第7A圖所繪示之剖面結構包含第二密封環結構105、106所包含之第二接觸件105A、106A及環型多晶矽結構105B、106B。在一些實施例中,第二接觸件105A、106A及環型多晶矽結構105B、106B的材料及形成方法可參照關於第2A-2圖中所繪示之結構的描述,故此處不再贅述。在一些實施例中,晶片區101鄰接於密封環區103之邊緣與內側密封環結構602所包含的第二金屬層615之靠近晶片區101之邊緣的間距為第三距離D3。在一些實施例中,第三距離D3不小於10微米(um),例如在約10微米(um)至約100微米(um)的範圍。Next, please refer to Figure 7 in conjunction with Figure 7A. FIG. 7A is a schematic cross-sectional view taken along the line A-A' shown in FIG. 7. It should be understood that, in order to briefly describe the embodiment of the present invention, not all the elements of the semiconductor structure 700 are shown in FIG. 7A. The cross-sectional structure shown in Figure 7A is substantially the same as the cross-sectional structure shown in Figure 6A. The difference is that the cross-sectional structure shown in Figure 7A includes the second seal ring structure 105, 106 included Contacts 105A, 106A and ring polysilicon structures 105B, 106B. In some embodiments, the materials and forming methods of the second contact members 105A, 106A and the ring-type polysilicon structures 105B, 106B can refer to the description of the structure shown in FIG. 2A-2, so it will not be repeated here. In some embodiments, the distance between the edge of the chip area 101 adjacent to the seal ring area 103 and the edge of the second metal layer 615 included in the inner seal ring structure 602 close to the chip area 101 is the third distance D3. In some embodiments, the third distance D3 is not less than 10 micrometers (um), for example, in the range of about 10 micrometers (um) to about 100 micrometers (um).

第8圖是根據本發明的其他實施例,繪示出例示性半導體結構800的部分上視圖。在一些實施例中,第8圖所繪示之半導體結構800大抵相同於第7圖所繪示之半導體結構700,其差異在於第二密封環結構105、106所包含之環型多晶矽結構105B、106B藉由複數個塊狀連接部401連接而形成H型環型多晶矽結構。在一些實施例中,此些塊狀連接部401由多晶矽所組成,並與環型多晶矽結構105B、106B在同一道製程中形成。藉由第二密封環結構105、106所包含之上述H型環型多晶矽結構配置,可更加穩固第二密封環結構105、106,並提升第二密封環結構105、106與層間介電層211的接觸面積,從而提供更佳的機械強度及增加晶片區周圍對內應力及外應力的隔離與緩衝效果。FIG. 8 is a partial top view of an exemplary semiconductor structure 800 according to other embodiments of the present invention. In some embodiments, the semiconductor structure 800 shown in FIG. 8 is substantially the same as the semiconductor structure 700 shown in FIG. 7. The difference lies in the ring-shaped polysilicon structures 105B, 105B, 106 included in the second seal ring structures 105, 106 106B is formed by connecting a plurality of block-shaped connecting parts 401 to form an H-shaped ring polysilicon structure. In some embodiments, the bulk connections 401 are made of polysilicon and are formed in the same process as the ring polysilicon structures 105B and 106B. With the above-mentioned H-ring polysilicon structure configuration included in the second seal ring structures 105, 106, the second seal ring structures 105, 106 can be more stabilized, and the second seal ring structures 105, 106 and the interlayer dielectric layer 211 can be improved The contact area provides better mechanical strength and increases the isolation and buffer effect of internal and external stress around the chip area.

第9圖是根據本發明的其他實施例,繪示出例示性半導體結構900的部分上視圖。在一些實施例中,第9圖所繪示之半導體結構900大抵相同於第7圖所繪示之半導體結構700,其差異在於第二密封環結構105所包含之環型多晶矽結構105B具有複數個突出部501A,以及第二密封環結構106所包含之環型多晶矽結構106B具有複數個突出部501B。此些突出部501A突出於環型多晶矽結構105B之二側,以及此些突出部501B突出於環型多晶矽結構106B之二側。此些突出部501A與此些突出部501B彼此交錯。在一些實施例中,此些突出部501A、501B由多晶矽所組成,並與環型多晶矽結構105B、106B在同一道製程中形成。藉由第二密封環結構105、106所包含具有複數個突出部501A、501B之環型多晶矽結構105B、106B的配置,可增加第二密封環結構105、106與層間介電層211的接觸面積,提供更佳的機械強度及增加晶片區周圍對內應力及外應力的隔離與緩衝效果。FIG. 9 is a partial top view of an exemplary semiconductor structure 900 according to other embodiments of the present invention. In some embodiments, the semiconductor structure 900 shown in FIG. 9 is substantially the same as the semiconductor structure 700 shown in FIG. 7. The difference is that the ring polysilicon structure 105B included in the second sealing ring structure 105 has a plurality of The protrusion 501A and the annular polysilicon structure 106B included in the second seal ring structure 106 have a plurality of protrusions 501B. The protrusions 501A protrude from the two sides of the ring polysilicon structure 105B, and the protrusions 501B protrude from the two sides of the ring polysilicon structure 106B. The protrusions 501A and the protrusions 501B are staggered with each other. In some embodiments, the protrusions 501A, 501B are made of polysilicon and are formed in the same process as the ring-type polysilicon structures 105B, 106B. The second seal ring structure 105, 106 includes the ring-shaped polysilicon structure 105B, 106B with a plurality of protrusions 501A, 501B, which can increase the contact area between the second seal ring structure 105, 106 and the interlayer dielectric layer 211 , Provide better mechanical strength and increase the isolation and buffer effect of internal stress and external stress around the chip area.

根據7-9圖所示,本發明實施例所提供之半導體結構700、800、900包含位於密封環區的H型環型結構與環型多晶矽結構105B、106B。利用塊狀連接部603連接外側密封環結構601與內側密封環結構602所形成的H型環型結構以及環型多晶矽結構的形狀、結構、及配置,可防止在切割製程中造成晶粒的機械損傷(mechanical damage)並防止濕氣及化學汙染物的入侵與滅少晶片製造製程中造成的結構變異,有效提升密封環結構對於晶粒的保護效果進而增加密封環內的可規劃晶片區面積。According to Figures 7-9, the semiconductor structures 700, 800, and 900 provided by the embodiments of the present invention include an H-shaped ring structure and a ring-shaped polysilicon structure 105B, 106B located in the seal ring area. The shape, structure, and arrangement of the H-shaped ring structure and the ring-shaped polysilicon structure formed by connecting the outer seal ring structure 601 and the inner seal ring structure 602 by using the block-shaped connecting portion 603 can prevent the machine from causing crystal grains during the cutting process Mechanical damage and prevent the intrusion of moisture and chemical contaminants and eliminate the structural variation caused by the chip manufacturing process, effectively improving the protection effect of the seal ring structure on the die, and increasing the area of the programmable chip area in the seal ring.

以上概述數個實施例,以便在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。The foregoing summarizes several embodiments so that those with ordinary knowledge in the technical field of the present invention can better understand the viewpoints of the embodiments of the present invention. Those with ordinary knowledge in the technical field of the present invention should understand that they can design or modify other processes and structures based on the embodiments of the present invention to achieve the same purpose and/or advantages as the embodiments described herein. Those with ordinary knowledge in the technical field to which the present invention pertains should also understand that such equivalent manufacturing processes and structures do not depart from the spirit and scope of the present invention, and they can, without departing from the spirit and scope of the present invention, Make all kinds of changes, substitutions and replacements.

100、200、300、400、500、600、700、800、900:半導體結構 101:晶片區 102:切割道區 103:密封環區 104:第一密封環結構 105、106:第二密封環結構 105A、106A:第二接觸件 105B、106B:環型多晶矽結構 105B’、105B’’、106B’、106B’’:多晶矽層 106C:介電層 201:基底 202、203:隔離結構 204:摻雜區 205、605、611:第一接觸件 206、207、606、607、612、613:導孔 208、209、210、608、609、610:金屬層 211:層間介電層 212:金屬間介電層 213:鈍化層 214:源極區 215:汲極區 216:閘極結構 217:閘極間隔物 218:閘極接觸件 301、501A、501B:突出部 401:塊狀連接部 601:外側密封環結構 602:內側密封環結構 603:塊狀連接部 A-A’、B-B’:剖面 D1:第一距離 D2:第二距離 D3:第三距離 O:開口 W1:第一寬度 W2:第二寬度 W3:第三寬度 W4:第四寬度 100, 200, 300, 400, 500, 600, 700, 800, 900: semiconductor structure 101: chip area 102: Cutting Road Area 103: Seal ring area 104: The first sealing ring structure 105, 106: second sealing ring structure 105A, 106A: second contact 105B, 106B: ring polysilicon structure 105B’, 105B’’, 106B’, 106B’’: Polysilicon layer 106C: Dielectric layer 201: Base 202, 203: isolation structure 204: doped area 205, 605, 611: first contact 206, 207, 606, 607, 612, 613: pilot hole 208, 209, 210, 608, 609, 610: metal layer 211: Interlayer dielectric layer 212: Intermetal dielectric layer 213: passivation layer 214: Source Region 215: Drain Area 216: gate structure 217: Gate spacer 218: gate contact 301, 501A, 501B: protrusions 401: Block connection 601: Outer seal ring structure 602: inner seal ring structure 603: Block connection A-A’, B-B’: Section D1: first distance D2: second distance D3: third distance O: opening W1: first width W2: second width W3: third width W4: Fourth width

以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1圖是根據本發明的一些實施例,繪示出例示性半導體結構的部分上視圖。 第2-1圖是根據本發明的一些實施例,繪示出例示性半導體結構的部分上視圖。 第2-2圖是根據本發明的一些實施例,繪示出例示性半導體結構的部分截面上視圖。 第2A-1圖是根據本發明的一些實施例,繪示出對應於第2-1圖所示之密封環區的A-A’線段剖面示意圖。 第2A-2圖是根據本發明的其他實施例,繪示出對應於第2-1圖所示之密封環區的A-A’線段剖面示意圖。 第2A-3圖是根據本發明的其他實施例,繪示出對應於第2-1圖所示之密封環區的A-A’線段剖面示意圖。 第2B圖是根據本發明的一些實施例,繪示出對應於第2-1圖所示之晶片區與密封環區的B-B’線段剖面示意圖。 第3圖是根據本發明的其他實施例,繪示出例示性半導體結構的部分上視圖。 第4圖是根據本發明的其他實施例,繪示出例示性半導體結構的部分上視圖。 第5圖是根據本發明的其他實施例,繪示出例示性半導體結構的部分上視圖。 第6圖是根據本發明的另一些實施例,繪示出例示性半導體結構的部分上視圖。 第6A圖是根據本發明的另一些實施例,繪示出對應於第6圖所示之密封環區的A-A’線段剖面示意圖。 第6B圖是根據本發明的另一些實施例,繪示出對應於第6圖所示之密封環區的B-B’線段剖面示意圖。 第7圖是根據本發明的又另一些實施例,繪示出例示性半導體結構的部分上視圖。 第7A圖是根據本發明的又另一些實施例,繪示出對應於第7圖所示之密封環區的A-A’線段剖面示意圖。 第8圖是根據本發明的其他實施例,繪示出例示性半導體結構的部分上視圖。 第9圖是根據本發明的其他實施例,繪示出例示性半導體結構的部分上視圖。The embodiments of the present invention will be described in detail below in conjunction with the accompanying drawings. It should be noted that, according to standard practices in the industry, various features are not drawn to scale and are only used for illustration and illustration. In fact, it is possible to arbitrarily enlarge or reduce the size of the element to clearly show the characteristics of the embodiment of the present invention. Figure 1 is a partial top view of an exemplary semiconductor structure according to some embodiments of the present invention. Figure 2-1 is a partial top view of an exemplary semiconductor structure according to some embodiments of the present invention. FIG. 2-2 is a partial cross-sectional top view of an exemplary semiconductor structure according to some embodiments of the present invention. Fig. 2A-1 is a schematic cross-sectional view of the A-A' line segment corresponding to the seal ring area shown in Fig. 2-1 according to some embodiments of the present invention. Fig. 2A-2 is a schematic cross-sectional view of line A-A' corresponding to the seal ring area shown in Fig. 2-1 according to other embodiments of the present invention. Figure 2A-3 is a schematic cross-sectional view of the A-A' line corresponding to the seal ring area shown in Figure 2-1 according to other embodiments of the present invention. FIG. 2B is a schematic cross-sectional view of the line B-B' corresponding to the wafer area and the seal ring area shown in FIG. 2-1 according to some embodiments of the present invention. FIG. 3 is a partial top view of an exemplary semiconductor structure according to other embodiments of the present invention. FIG. 4 is a partial top view of an exemplary semiconductor structure according to other embodiments of the present invention. FIG. 5 is a partial top view of an exemplary semiconductor structure according to other embodiments of the present invention. FIG. 6 is a partial top view of an exemplary semiconductor structure according to other embodiments of the present invention. Fig. 6A is a schematic cross-sectional view of the A-A' line corresponding to the seal ring region shown in Fig. 6 according to other embodiments of the present invention. Fig. 6B is a schematic cross-sectional view of the B-B' line corresponding to the seal ring area shown in Fig. 6 according to other embodiments of the present invention. FIG. 7 is a partial top view of an exemplary semiconductor structure according to still other embodiments of the present invention. Fig. 7A is a schematic cross-sectional view of the A-A' line segment corresponding to the seal ring area shown in Fig. 7 according to still other embodiments of the present invention. FIG. 8 is a partial top view of an exemplary semiconductor structure according to other embodiments of the present invention. FIG. 9 is a partial top view showing an exemplary semiconductor structure according to other embodiments of the present invention.

101:晶片區 101: chip area

102:切割道區 102: Cutting Road Area

103:密封環區 103: Seal ring area

104:第一密封環結構 104: The first sealing ring structure

105、106:第二密封環結構 105, 106: second sealing ring structure

200:半導體結構 200: semiconductor structure

A-A’、B-B’:剖面 A-A’, B-B’: Section

Claims (23)

一種半導體結構,包括: 一基底,具有一晶片區以及一密封環區; 一第一絕緣層,位於該基底之上; 一第二絕緣層,位於該第一絕緣層之上; 一第一密封環結構,埋置於該第一絕緣層及該第二絕緣層中且位於該密封環區內,其中該第一密封環結構包括一金屬層堆疊; 一第二密封環結構,埋置於該第一絕緣層中且位於該密封環區內,其中該第二密封環結構包括一環型多晶矽結構;以及 一鈍化層,位於該第二絕緣層及該第一密封環結構之上; 其中在上視圖中,該密封環區圍繞該晶片區,其中該第二密封環結構圍繞該晶片區,且該第一密封環結構圍繞該第二密封環結構。A semiconductor structure includes: a substrate with a wafer area and a sealing ring area; a first insulating layer on the substrate; a second insulating layer on the first insulating layer; a first seal The ring structure is embedded in the first insulating layer and the second insulating layer and is located in the sealing ring area, wherein the first sealing ring structure includes a stack of metal layers; a second sealing ring structure is embedded in the In the first insulating layer and located in the seal ring area, the second seal ring structure includes a ring-shaped polysilicon structure; and a passivation layer on the second insulating layer and the first seal ring structure; In the view, the seal ring area surrounds the wafer area, wherein the second seal ring structure surrounds the wafer area, and the first seal ring structure surrounds the second seal ring structure. 如申請專利範圍第1項所述之半導體結構,其中該第一絕緣層為一層間介電層,以及該第二絕緣層為一金屬間介電層。According to the semiconductor structure described in claim 1, wherein the first insulating layer is an interlayer dielectric layer, and the second insulating layer is an intermetal dielectric layer. 如申請專利範圍第1項所述之半導體結構,其中該金屬層堆疊包括: 複數個第一接觸件,埋置在該第一絕緣層中且與該基底中之一摻雜區接觸;以及 複數層金屬層,埋置在該第二絕緣層中,該些金屬層藉由複數個導孔相互電性連接,其中該些金屬層之最底層與該些第一接觸件電性連接。The semiconductor structure according to claim 1, wherein the metal layer stack includes: a plurality of first contacts buried in the first insulating layer and in contact with one of the doped regions in the substrate; and A metal layer is buried in the second insulating layer, the metal layers are electrically connected to each other through a plurality of via holes, and the bottom layer of the metal layers is electrically connected to the first contacts. 如申請專利範圍第1項所述之半導體結構,其中該環型多晶矽結構與晶片區之一閘極結構位於同一層級。In the semiconductor structure described in item 1 of the scope of patent application, the ring-shaped polysilicon structure and a gate structure of the chip area are located at the same level. 如申請專利範圍第1項所述之半導體結構,其中該環型多晶矽結構包括至少二層多晶矽層,以及設置於該些多晶矽層間之一介電層。According to the semiconductor structure described in claim 1, wherein the ring-shaped polysilicon structure includes at least two polysilicon layers, and a dielectric layer disposed between the polysilicon layers. 如申請專利範圍第1項所述之半導體結構,其中該環型多晶矽結構設置於該基底中之一隔離結構之上。According to the semiconductor structure described in claim 1, wherein the ring-shaped polysilicon structure is disposed on an isolation structure in the substrate. 如申請專利範圍第3項所述之半導體結構,其中該第二密封環結構更包括一第二接觸件,其中該第二接觸件設置於該環型多晶矽結構之上且僅設置於該第一絕緣層中,並且該第二接觸件與該些第一接觸件直接接觸至該些金屬層之最底層。According to the semiconductor structure described in claim 3, the second sealing ring structure further includes a second contact, wherein the second contact is disposed on the ring-shaped polysilicon structure and is only disposed on the first In the insulating layer, the second contact and the first contacts are in direct contact with the bottommost layer of the metal layers. 如申請專利範圍第7項所述之半導體結構,其中該些金屬層堆疊所包括之該些第一接觸件及/或該些導孔在上視圖中為環型,以及該第二接觸件在上視圖中為孔型。In the semiconductor structure described in claim 7, wherein the first contacts and/or the via holes included in the metal layer stacks are ring-shaped in the top view, and the second contact is The hole pattern in the top view. 如申請專利範圍第1項所述之半導體結構,其中該第二密封環結構更包括複數個環型多晶矽結構,該些環型多晶矽結構之間距在約0.2微米至約10微米的範圍。According to the semiconductor structure described in claim 1, wherein the second sealing ring structure further includes a plurality of ring-type polysilicon structures, and the distance between the ring-type polysilicon structures is in the range of about 0.2 microns to about 10 microns. 如申請專利範圍第9項所述之半導體結構,其中在上視圖中,該些環型多晶矽結構藉由複數個塊狀連接部連接而形成一H型環型結構。In the semiconductor structure described in item 9 of the scope of patent application, in the upper view, the ring-shaped polysilicon structures are connected by a plurality of block-shaped connecting parts to form an H-shaped ring-shaped structure. 如申請專利範圍第9項所述之半導體結構,其中在上視圖中,每一個所述環型多晶矽結構分別具有複數個突出部,該些突出部突出於所對應之環型多晶矽結構之二側,並且該些環型多晶矽結構之該些突出部彼此交錯。According to the semiconductor structure described in item 9 of the scope of patent application, in the top view, each of the ring-shaped polysilicon structures has a plurality of protrusions respectively, and these protrusions protrude from two sides of the corresponding ring-shaped polysilicon structure , And the protrusions of the ring-type polysilicon structures are interlaced with each other. 如申請專利範圍第1項所述之半導體結構,其中該晶片區之一邊緣與該第一密封環結構之間距不小於10微米。According to the semiconductor structure described in claim 1, wherein the distance between an edge of the wafer area and the first sealing ring structure is not less than 10 microns. 一種半導體結構,包括: 一基底,具有一晶片區以及一密封環區; 一絕緣層,位於該基底之上; 一外側密封環結構,埋置於該絕緣層中且位於該密封環區內,其中該外部密封環結構包括一第一金屬層堆疊; 一內側密封環結構,埋置於該絕緣層中且位於該密封環區內,其中該內部密封環結構包括一第二金屬層堆疊;以及 一鈍化層,位於該外側密封環結構及該內部密封環結構之上; 其中在上視圖中,該密封環區圍繞該晶片區,其中該內側密封環結構圍繞該晶片區,該外側密封環結構圍繞該內側密封環結構,並且該外側密封環結構與該內部密封環結構藉由複數個塊狀連接部連接而形成一H型環型結構。A semiconductor structure includes: a substrate having a wafer area and a sealing ring area; an insulating layer located on the substrate; an outer sealing ring structure buried in the insulating layer and located in the sealing ring area, Wherein the outer seal ring structure includes a first metal layer stack; an inner seal ring structure embedded in the insulating layer and located in the seal ring area, wherein the inner seal ring structure includes a second metal layer stack; and A passivation layer is located on the outer seal ring structure and the inner seal ring structure; wherein in the top view, the seal ring area surrounds the wafer area, wherein the inner seal ring structure surrounds the wafer area, and the outer seal ring structure Surround the inner seal ring structure, and the outer seal ring structure and the inner seal ring structure are connected by a plurality of block-shaped connecting parts to form an H-shaped ring structure. 如申請專利範圍第13項所述之半導體結構,其中該第一金屬層堆疊包括: 複數個第一接觸件,接觸該基底中之一摻雜區;以及 複數層第一金屬層,藉由複數個第一導孔相互電性連接,其中該些第一金屬層之最底層與該些第一接觸件直接接觸。The semiconductor structure described in claim 13, wherein the first metal layer stack includes: a plurality of first contacts contacting one of the doped regions in the substrate; and a plurality of first metal layers, by The first vias are electrically connected to each other, and the bottommost layer of the first metal layers is in direct contact with the first contacts. 如申請專利範圍第14項所述之半導體結構,其中該第二金屬層堆疊包括: 複數個第二接觸件,接觸該基底中之該摻雜區; 複數層第二金屬層,藉由複數個第二導孔相互電性連接,其中該些第二金屬層之最底層與該些第二接觸件直接接觸; 其中該些第一金屬層之其中一層與該些第二金屬層之其中對應的一層藉由該些塊狀連接部之其中一者電性連接。The semiconductor structure described in claim 14, wherein the second metal layer stack includes: a plurality of second contacts contacting the doped region in the substrate; a plurality of second metal layers, by a plurality of The second vias are electrically connected to each other, wherein the bottommost layer of the second metal layers is in direct contact with the second contacts; wherein one of the first metal layers corresponds to one of the second metal layers The first layer is electrically connected by one of the block-shaped connecting parts. 如申請專利範圍第13項所述之半導體結構,其中該鈍化層具有一開口,並且該開口露出該些塊狀連接部之一部分。According to the semiconductor structure described in item 13 of the scope of patent application, the passivation layer has an opening, and the opening exposes a part of the bulk connection portions. 如申請專利範圍第13項所述之半導體結構,其中在上視圖中,該外側密封環結構及該內側密封環結構之寬度在約0.2微米至約10微米的範圍。According to the semiconductor structure described in claim 13, wherein in the top view, the widths of the outer seal ring structure and the inner seal ring structure are in the range of about 0.2 microns to about 10 microns. 如申請專利範圍第13項所述之半導體結構,其中在上視圖中,該些塊狀連接部之寬度在約0.2微米至約10微米的範圍。According to the semiconductor structure described in the scope of the patent application, in the top view, the width of the bulk connection portions is in the range of about 0.2 micrometers to about 10 micrometers. 一種半導體結構,包括: 一基底,具有一晶片區以及一密封環區; 一第一絕緣層,位於該基底之上; 一第二絕緣層,位於該第一絕緣層之上; 一外側密封環結構,埋置於該第一絕緣層及該第二絕緣層中且位於該密封環區內,其中該外部密封環結構包括一第一金屬層堆疊; 一內側密封環結構,埋置於該第一絕緣層及該第二絕緣層中且位於該密封環區內,其中該內部密封環結構包括一第二金屬層堆疊,其中該外側密封環結構與該內部密封環結構藉由複數個塊狀連接部連接而形成一H型環型結構; 一環型多晶矽結構,埋置於該第一絕緣層中且位於該密封環區內;以及 一鈍化層,位於該第二絕緣層、該外側密封環結構、以及該內側密封環結構之上; 其中在上視圖中,該密封環區圍繞該晶片區,其中該環型多晶矽結構圍繞該晶片區,且該H型環型結構圍繞該環型多晶矽結構。A semiconductor structure includes: a substrate with a wafer area and a sealing ring area; a first insulating layer on the substrate; a second insulating layer on the first insulating layer; an outer sealing ring Structure, embedded in the first insulating layer and the second insulating layer and located in the seal ring area, wherein the outer seal ring structure includes a first metal layer stack; an inner seal ring structure buried in the second An insulating layer and the second insulating layer are located in the seal ring area, wherein the inner seal ring structure includes a second metal layer stack, wherein the outer seal ring structure and the inner seal ring structure are formed by a plurality of blocks The connecting portions are connected to form an H-shaped ring structure; a ring-shaped polysilicon structure embedded in the first insulating layer and located in the sealing ring area; and a passivation layer located in the second insulating layer and the outer sealing ring Structure, and on the inner seal ring structure; wherein in the top view, the seal ring area surrounds the wafer area, wherein the ring polysilicon structure surrounds the wafer area, and the H-shaped ring structure surrounds the ring polysilicon structure . 如申請專利範圍第19項所述之半導體結構,其中該環型多晶矽結構與晶片區之一閘極結構位於同一層級。In the semiconductor structure described in item 19 of the scope of patent application, the ring-shaped polysilicon structure and a gate structure of the chip area are located at the same level. 如申請專利範圍第19項所述之半導體結構,其中該環型多晶矽結構包括至少二層多晶矽層,以及設置於該些多晶矽層間之一介電層。According to the semiconductor structure described in claim 19, the ring-shaped polysilicon structure includes at least two polysilicon layers and a dielectric layer disposed between the polysilicon layers. 如申請專利範圍第19項所述之半導體結構,更包括一額外的環型多晶矽結構,其中在上視圖中該些環型多晶矽結構藉由複數個多晶矽連接部連接而形成一H型環型多晶矽結構。The semiconductor structure described in item 19 of the scope of patent application further includes an additional ring polysilicon structure, wherein in the top view, the ring polysilicon structures are connected by a plurality of polysilicon connections to form an H ring polysilicon structure. 如申請專利範圍第19項所述之半導體結構,更包括一額外的環型多晶矽結構,其中在上視圖中每一個所述環型多晶矽結構分別具有複數個多晶矽突出部,該些突出部突出於所對應之環型多晶矽結構之二側,並且該些環型多晶矽結構之該些多晶矽突出部彼此交錯。The semiconductor structure described in item 19 of the scope of patent application further includes an additional ring-type polysilicon structure, wherein in the upper view, each of the ring-type polysilicon structures has a plurality of polysilicon protrusions, and these protrusions protrude from The two sides of the corresponding ring-type polysilicon structure, and the polysilicon protrusions of the ring-type polysilicon structure are interlaced with each other.
TW108105225A 2019-02-18 2019-02-18 Semiconductor structure TWI686905B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW108105225A TWI686905B (en) 2019-02-18 2019-02-18 Semiconductor structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108105225A TWI686905B (en) 2019-02-18 2019-02-18 Semiconductor structure

Publications (2)

Publication Number Publication Date
TWI686905B TWI686905B (en) 2020-03-01
TW202032728A true TW202032728A (en) 2020-09-01

Family

ID=70767110

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108105225A TWI686905B (en) 2019-02-18 2019-02-18 Semiconductor structure

Country Status (1)

Country Link
TW (1) TWI686905B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817575B (en) * 2021-07-29 2023-10-01 台灣積體電路製造股份有限公司 Semiconductor structure and method for forming the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114512447A (en) * 2020-10-28 2022-05-17 长鑫存储技术有限公司 Semiconductor device and method for fabricating the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7053453B2 (en) * 2004-04-27 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate contact and method of forming the same
US8334582B2 (en) * 2008-06-26 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Protective seal ring for preventing die-saw induced stress

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817575B (en) * 2021-07-29 2023-10-01 台灣積體電路製造股份有限公司 Semiconductor structure and method for forming the same

Also Published As

Publication number Publication date
TWI686905B (en) 2020-03-01

Similar Documents

Publication Publication Date Title
US8373254B2 (en) Structure for reducing integrated circuit corner peeling
US9640489B2 (en) Seal ring structure with capacitor
US10692786B1 (en) Semiconductor structures
US8278737B2 (en) Structure for improving die saw quality
TWI717410B (en) Semiconductor structure and method of fabricatingthe same and method of fabricating seal ring structure
US10204843B2 (en) Interconnect arrangement with stress-reducing structure and method of fabricating the same
US20110266679A1 (en) Semiconductor device, and manufacturing method of semiconductor device
US10276505B2 (en) Integrated circuit device and method of manufacturing the same
CN113285022A (en) Method for preventing copper contamination in metal-insulator-metal (MIM) capacitors
US10790365B2 (en) Lateral diffused metal oxide semiconductor field effect transistor
US11143817B2 (en) Semiconductor structure and manufacturing method of the same
US10002933B1 (en) Semiconductor device structure with cap layer with top and bottom portions over gate electrode
TWI686905B (en) Semiconductor structure
CN115527987A (en) Grain and three-dimensional element structure
CN111668163B (en) Semiconductor structure
US10535576B2 (en) Semiconductor devices and methods of formation thereof
TWI762800B (en) Semiconductor device and fabrication method for the same
US20230268435A1 (en) Semiconductor structure and method of forming thereof
US11810973B2 (en) Semiconductor structure and method of forming thereof
US20230016154A1 (en) Semiconductor device structure with interconnect structure having air gap
US20240055493A1 (en) Semiconductor device
US20230068329A1 (en) Semiconductor device
US10121755B1 (en) Robust chamfer design for seal ring
CN117038590A (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips