TW202028386A - Chemical mechanical polishing composition and method for tungsten - Google Patents

Chemical mechanical polishing composition and method for tungsten Download PDF

Info

Publication number
TW202028386A
TW202028386A TW108137640A TW108137640A TW202028386A TW 202028386 A TW202028386 A TW 202028386A TW 108137640 A TW108137640 A TW 108137640A TW 108137640 A TW108137640 A TW 108137640A TW 202028386 A TW202028386 A TW 202028386A
Authority
TW
Taiwan
Prior art keywords
chemical mechanical
mechanical polishing
polishing composition
tungsten
substrate
Prior art date
Application number
TW108137640A
Other languages
Chinese (zh)
Inventor
彭嘉德
何藺蓁
紀柏享
Original Assignee
美商羅門哈斯電子材料Cmp控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料Cmp控股公司 filed Critical 美商羅門哈斯電子材料Cmp控股公司
Publication of TW202028386A publication Critical patent/TW202028386A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B9/00Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor
    • B24B9/02Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground
    • B24B9/04Machines or devices designed for grinding edges or bevels on work or for removing burrs; Accessories therefor characterised by a special design with respect to properties of materials specific to articles to be ground of metal, e.g. skate blades
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors

Abstract

A composition and method for chemical mechanical polishing a substrate containing tungsten to at least inhibit corrosion of the tungsten. The composition includes, as initial components: water; an oxidizing agent; a select polyethoxylated tallow amine; a dicarboxylic acid, a source of iron ions; a colloidal silica abrasive; and, optionally, a pH adjusting agent; and, optionally, a biocide. The chemical mechanical polishing method includes providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein some of the tungsten is polished away from the substrate and corrosion of the tungsten is inhibited.

Description

用於鎢之化學機械拋光組成物及方法Chemical mechanical polishing composition and method for tungsten

本發明涉及鎢的化學機械拋光以至少抑制鎢的腐蝕的領域。更具體地,本發明涉及用於鎢的化學機械拋光的組成物和方法,以至少抑制鎢的腐蝕,其係藉由提供含有鎢的襯底;提供拋光組成物,其含有以下項作為初始組分:水;氧化劑;足夠的量的選擇的聚乙氧基化的牛脂胺,以至少抑制鎢的腐蝕;二羧酸,鐵離子來源;膠體二氧化矽磨料;以及視需要,pH調節劑;以及視需要,殺生物劑;提供具有拋光表面的化學機械拋光墊;在拋光墊與襯底之間的介面處產生動態接觸;以及在拋光墊與襯底之間的介面處或介面附近將拋光組成物分配到拋光表面上,其中一些鎢被從襯底上拋光掉並且至少抑制鎢的腐蝕。The present invention relates to the field of chemical mechanical polishing of tungsten to at least inhibit the corrosion of tungsten. More specifically, the present invention relates to a composition and method for chemical mechanical polishing of tungsten to at least inhibit the corrosion of tungsten by providing a substrate containing tungsten; and providing a polishing composition containing the following items as an initial group Points: water; oxidizer; selected polyethoxylated tallow amine in sufficient amount to at least inhibit tungsten corrosion; dicarboxylic acid, a source of iron ions; colloidal silica abrasive; and, if necessary, pH adjuster; And if necessary, biocides; provide a chemical mechanical polishing pad with a polishing surface; generate dynamic contact at the interface between the polishing pad and the substrate; and polish the pad at or near the interface between the polishing pad and the substrate The composition is distributed on the polished surface, some of the tungsten is polished away from the substrate and at least the tungsten corrosion is inhibited.

在積體電路以及其他電子器件的製造中,將多層導電材料、半導電材料以及介電材料沈積在半導體晶圓的表面上或從半導體晶圓的表面上去除。可以藉由若干種沈積技術來沈積導電材料、半導電材料以及介電材料的薄層。在現代加工中常見的沈積技術包括物理氣相沈積(PVD)(也稱為濺射)、化學氣相沈積(CVD)、電漿增強的化學氣相沈積(PECVD)、以及電化學電鍍(ECP)。In the manufacture of integrated circuits and other electronic devices, multiple layers of conductive materials, semiconductive materials, and dielectric materials are deposited or removed from the surface of a semiconductor wafer. Several deposition techniques can be used to deposit thin layers of conductive, semiconductive, and dielectric materials. Common deposition techniques in modern processing include physical vapor deposition (PVD) (also known as sputtering), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), and electrochemical plating (ECP) ).

隨著材料層被依次地沈積和去除,晶圓的最上表面變成非平面的。因為後續的半導體加工(例如金屬化)要求晶圓具有平坦的表面,所以需要對晶圓進行平坦化。平坦化可用於去除不希望的表面形貌和表面缺陷,諸如粗糙表面、附聚的材料、晶格損傷、劃痕、以及被污染的層或材料。As material layers are sequentially deposited and removed, the uppermost surface of the wafer becomes non-planar. Because subsequent semiconductor processing (such as metallization) requires the wafer to have a flat surface, the wafer needs to be planarized. Planarization can be used to remove undesirable surface topography and surface defects, such as rough surfaces, agglomerated materials, lattice damage, scratches, and contaminated layers or materials.

化學機械平坦化、或化學機械拋光(CMP)係用於將襯底(諸如半導體晶圓)平坦化的常見技術。在常規的CMP中,晶圓被安裝在托架組件上並且被定位成與CMP設備中的拋光墊接觸。托架組件對晶圓提供可控的壓力,從而將晶圓抵靠在拋光墊上。該墊藉由外部驅動力相對於晶圓移動(例如旋轉)。與此同時,在晶圓與拋光墊之間提供拋光組成物(「漿料」)或其他拋光液。如此,藉由墊表面和漿料的化學和機械作用將晶圓表面拋光並且使其成為平面。然而,在CMP中包含極大的困難。每種類型的材料要求獨特的拋光組成物、適當設計的拋光墊、對於拋光和CMP後清潔兩者的經優化的製程設置以及必須為拋光特定材料的應用單獨定製的其他因素。Chemical mechanical planarization, or chemical mechanical polishing (CMP) is a common technique used to planarize substrates, such as semiconductor wafers. In conventional CMP, the wafer is mounted on a carrier assembly and positioned in contact with the polishing pad in the CMP equipment. The carrier assembly provides controllable pressure to the wafer, thereby pressing the wafer against the polishing pad. The pad is moved (for example, rotated) relative to the wafer by an external driving force. At the same time, a polishing composition ("slurry") or other polishing liquid is provided between the wafer and the polishing pad. In this way, the surface of the wafer is polished and flattened by the chemical and mechanical action of the pad surface and the slurry. However, there are great difficulties involved in CMP. Each type of material requires a unique polishing composition, a properly designed polishing pad, optimized process settings for both polishing and post-CMP cleaning, and other factors that must be individually tailored for the application of polishing specific materials.

化學機械拋光已經成為用於在積體電路設計中在形成鎢互連和接觸插塞期間拋光鎢的較佳的方法。鎢經常被用於接觸/通孔插塞的積體電路設計中。典型地,接觸孔或通孔通過在襯底上的介電層而形成,以暴露下面部件的區域,例如第一級金屬化或互連。鎢係硬金屬並且鎢CMP在相對劇烈的設置下進行,這給鎢CMP造成獨特的挑戰。不幸的是,許多用於拋光鎢的CMP漿料因它們的侵蝕性而造成鎢的腐蝕。鎢的腐蝕係CMP的常見副作用。在CMP製程期間,殘留在襯底表面上的金屬拋光漿料超出CMP的作用繼續腐蝕鎢。有時腐蝕係希望的;然而,在大多數半導體製程中會減少或較佳的是完全抑制腐蝕。Chemical mechanical polishing has become the preferred method for polishing tungsten during the formation of tungsten interconnects and contact plugs in integrated circuit design. Tungsten is often used in the integrated circuit design of contact/through-hole plugs. Typically, contact holes or vias are formed through a dielectric layer on the substrate to expose areas of the underlying components, such as first level metallization or interconnections. Tungsten is a hard metal and tungsten CMP is performed under relatively severe settings, which poses unique challenges for tungsten CMP. Unfortunately, many CMP slurries used to polish tungsten cause tungsten corrosion due to their aggressiveness. Corrosion of tungsten is a common side effect of CMP. During the CMP process, the metal polishing slurry remaining on the surface of the substrate continues to corrode tungsten beyond the effect of CMP. Sometimes corrosion is desirable; however, in most semiconductor manufacturing processes, it is reduced or preferably completely inhibited.

與CMP鎢相關聯的另一個問題係,不幸的是許多用於拋光鎢的CMP漿料會造成過度拋光和凹陷的問題,從而產生不均勻或非平面的表面。術語「凹陷」係指在CMP期間從半導體上的金屬互連先質以及其他特徵過度(不希望的)去除金屬,例如鎢,由此在鎢中導致不希望的空腔。凹陷係不希望的,因為除了導致非平面的表面之外,其還會不利地影響半導體的電性能。凹陷的嚴重程度可以變化,但是典型地其係足夠嚴重的,造成下面的介電材料例如二氧化矽(TEOS)的侵蝕。Another problem associated with CMP tungsten is that, unfortunately, many CMP slurries used to polish tungsten can cause over-polishing and pitting problems, resulting in uneven or non-planar surfaces. The term "recess" refers to excessive (undesirable) removal of metal, such as tungsten, from metal interconnect precursors and other features on the semiconductor during CMP, thereby causing undesirable cavities in the tungsten. The depression is undesirable because, in addition to causing a non-planar surface, it can adversely affect the electrical properties of the semiconductor. The severity of the depression can vary, but it is typically severe enough to cause erosion of the underlying dielectric material such as silicon dioxide (TEOS).

可能由此種凹陷造成的形貌上的缺陷可能會進一步導致附加的材料從襯底表面上不均勻地去除,例如佈置在導電材料或介電材料之下的阻擋層材料,並且產生具有不太理想的品質的襯底表面,這可能不利地影響半導體積體電路的性能。此外,隨著半導體表面上的特徵變得越來越小型化,成功拋光半導體表面變得越來越困難。The topographical defects that may be caused by such recesses may further cause additional materials to be unevenly removed from the substrate surface, such as barrier materials arranged under conductive or dielectric materials, and produce less Ideal quality substrate surface, which may adversely affect the performance of semiconductor integrated circuits. In addition, as features on the semiconductor surface become more and more miniaturized, it becomes increasingly difficult to successfully polish the semiconductor surface.

因此,存在對於用於鎢的CMP方法和組成物的需要,其至少抑制鎢的腐蝕,但是較佳的是,其進一步抑制凹陷。Therefore, there is a need for a CMP method and composition for tungsten, which at least suppress the corrosion of tungsten, but preferably, it further suppresses pitting.

本發明提供了一種用於化學機械拋光鎢之組成物,其包含以下項作為初始組分:水;氧化劑;具有以下通式之化合物:

Figure 02_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2至24;膠體二氧化矽磨料;二羧酸或其鹽;鐵(III)離子來源;以及視需要,pH調節劑;以及視需要,殺生物劑。The present invention provides a composition for chemical mechanical polishing of tungsten, which contains the following items as initial components: water; oxidizer; a compound having the following general formula:
Figure 02_image001
(I) where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2 to 24; colloidal silica abrasive; dicarboxylic acid or its salt; iron (III) Source of ions; and, if necessary, pH adjuster; and, if necessary, biocide.

本發明還涉及一種用於化學機械拋光鎢之組成物,其包含以下項作為初始組分:水;氧化劑;至少50 ppm的具有以下通式之化合物:

Figure 02_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2至24;膠體二氧化矽磨料;二羧酸或其鹽;鐵(III)離子來源;以及視需要,pH調節劑;以及視需要,殺生物劑;其中,該化學機械拋光組成物的pH為1-7。The present invention also relates to a composition for chemical mechanical polishing of tungsten, which contains the following as initial components: water; oxidizing agent; at least 50 ppm of a compound with the following general formula:
Figure 02_image001
(I) where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2 to 24; colloidal silica abrasive; dicarboxylic acid or its salt; iron (III) Ion source; and, if necessary, a pH adjusting agent; and, if necessary, a biocide; wherein the pH of the chemical mechanical polishing composition is 1-7.

本發明進一步涉及一種用於化學機械拋光鎢之組成物,其包含以下項作為初始組分:水;0.01至10 wt%的氧化劑;50至500 ppm的具有以下通式之化合物:

Figure 02_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2至24;0.01至15 wt%的膠體二氧化矽磨料;1至2,600 ppm的二羧酸或其鹽;175至700 ppm的鐵(III)離子來源;以及視需要,pH調節劑;以及視需要,殺生物劑;其中,該化學機械拋光組成物的pH為1.5-4.5。The present invention further relates to a composition for chemical mechanical polishing of tungsten, which contains the following as initial components: water; 0.01 to 10 wt% of oxidizing agent; 50 to 500 ppm of a compound having the following general formula:
Figure 02_image001
(I) Where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2 to 24; 0.01 to 15 wt% colloidal silica abrasive; 1 to 2,600 ppm A dicarboxylic acid or its salt; a source of iron (III) ions from 175 to 700 ppm; and, if necessary, a pH adjuster; and, if necessary, a biocide; wherein the chemical mechanical polishing composition has a pH of 1.5-4.5.

本發明涉及一種化學機械拋光鎢之方法,其包括: 提供包含鎢和電介質的襯底; 提供化學機械拋光組成物,其包含以下項作為初始組分:水;氧化劑;具有以下通式之化合物:

Figure 02_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2至24; 膠體二氧化矽磨料; 二羧酸或其鹽; 鐵(III)離子來源;視需要,pH調節劑;以及, 視需要,殺生物劑; 提供具有拋光表面的化學機械拋光墊; 在該化學機械拋光墊與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊的拋光表面上,以去除至少一些鎢。The present invention relates to a method for chemical mechanical polishing of tungsten, which includes: providing a substrate containing tungsten and a dielectric; providing a chemical mechanical polishing composition, which contains the following items as initial components: water; an oxidizer; a compound having the following general formula:
Figure 02_image001
(I) where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2 to 24; colloidal silica abrasive; dicarboxylic acid or its salt; iron (III) Ion source; if necessary, a pH adjusting agent; and, if necessary, a biocide; providing a chemical mechanical polishing pad with a polishing surface; creating dynamic contact at the interface between the chemical mechanical polishing pad and the substrate; and The chemical mechanical polishing composition is distributed on the polishing surface of the chemical mechanical polishing pad at or near the interface between the chemical mechanical polishing pad and the substrate to remove at least some tungsten.

本發明還涉及一種化學機械拋光鎢之方法,其包括: 提供包含鎢和電介質的襯底; 提供化學機械拋光組成物,其包含以下項作為初始組分:水;氧化劑;至少50 ppm的具有以下通式之化合物:

Figure 02_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2至24; 膠體二氧化矽磨料; 二羧酸或其鹽; 鐵(III)離子來源;視需要,pH調節劑;以及, 視需要,殺生物劑;其中,該化學機械拋光組成物的pH為1-7; 提供具有拋光表面的化學機械拋光墊; 在該化學機械拋光墊與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊的拋光表面上,以去除至少一些鎢;其中,使用200 mm的拋光機上的80轉/分鐘的壓板速度、81轉/分鐘的托架速度、125 mL/min的化學機械拋光組成物流速、21.4 kPa的標稱下壓力,所提供的化學機械拋光組成物具有≥ 1000 Å/min的鎢去除速率;並且其中,該化學機械拋光墊包含含有聚合物中空心微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。The present invention also relates to a method for chemical mechanical polishing of tungsten, which includes: providing a substrate containing tungsten and a dielectric; providing a chemical mechanical polishing composition, which contains the following items as initial components: water; oxidizer; at least 50 ppm with the following Compounds of general formula:
Figure 02_image001
(I) where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2 to 24; colloidal silica abrasive; dicarboxylic acid or its salt; iron (III) Ion source; if necessary, a pH adjusting agent; and, if necessary, a biocide; wherein the pH of the chemical mechanical polishing composition is 1-7; a chemical mechanical polishing pad with a polishing surface is provided; in the chemical mechanical polishing pad Dynamic contact is generated at the interface between the chemical mechanical polishing pad and the substrate; and the chemical mechanical polishing composition is distributed to the polishing surface of the chemical mechanical polishing pad at or near the interface between the chemical mechanical polishing pad and the substrate , To remove at least some tungsten; among them, use a 200 mm polishing machine with a platen speed of 80 revolutions per minute, a carriage speed of 81 revolutions per minute, a chemical mechanical polishing composition flow rate of 125 mL/min, and a standard of 21.4 kPa Weighing down pressure, the provided chemical mechanical polishing composition has a tungsten removal rate ≥ 1000 Å/min; and wherein, the chemical mechanical polishing pad includes a polyurethane polishing layer containing polymer hollow particles and a polyurethane impregnated non-woven pad .

本發明進一步涉及一種化學機械拋光鎢的方法,其包括: 提供包含鎢和電介質的襯底; 提供化學機械拋光組成物,其包含以下項作為初始組分:水;0.01至10 wt%的氧化劑;50至500 ppm的具有以下通式之化合物:

Figure 02_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2至24; 0.01至15 wt%的膠體二氧化矽磨料; 1至2,600 ppm的二羧酸或其鹽; 100至1100 ppm的鐵(III)離子來源;視需要,pH調節劑;以及, 視需要,殺生物劑;其中,該化學機械拋光組成物的pH為1.5-4.5; 提供具有拋光表面的化學機械拋光墊; 在該化學機械拋光墊與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊的拋光表面上,以去除至少一些鎢;其中,使用200 mm的拋光機上的80轉/分鐘的壓板速度、81轉/分鐘的托架速度、125 mL/min的化學機械拋光組成物流速、21.4 kPa的標稱下壓力,所提供的化學機械拋光組成物具有≥ 1000 Å/min的鎢去除速率;並且其中,該化學機械拋光墊包含含有聚合物中空心微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。The present invention further relates to a method for chemical mechanical polishing of tungsten, which includes: providing a substrate containing tungsten and a dielectric; providing a chemical mechanical polishing composition, which contains the following items as initial components: water; 0.01 to 10 wt% of an oxidant; 50 to 500 ppm of compounds with the following general formula:
Figure 02_image001
(I) where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2 to 24; 0.01 to 15 wt% colloidal silica abrasive; 1 to 2,600 ppm Dicarboxylic acid or its salt; 100 to 1100 ppm of iron (III) ion source; if necessary, a pH regulator; and, if necessary, a biocide; wherein the pH of the chemical mechanical polishing composition is 1.5-4.5; Provide a chemical mechanical polishing pad with a polishing surface; generate dynamic contact at the interface between the chemical mechanical polishing pad and the substrate; and place the chemical mechanical polishing pad at or near the interface between the chemical mechanical polishing pad and the substrate The chemical mechanical polishing composition is distributed on the polishing surface of the chemical mechanical polishing pad to remove at least some tungsten; among them, a platen speed of 80 revolutions per minute, a carriage speed of 81 revolutions per minute on a 200 mm polishing machine, The chemical mechanical polishing composition has a flow rate of 125 mL/min and a nominal down pressure of 21.4 kPa. The chemical mechanical polishing composition provided has a tungsten removal rate ≥ 1000 Å/min; and wherein the chemical mechanical polishing pad contains polymer Polyurethane polishing layer with hollow particles and non-woven pads impregnated with polyurethane.

本發明的前述化學機械拋光組成物和方法拋光鎢,並且至少抑制不希望的鎢腐蝕;然而,本發明的前述化學機械拋光組成物和方法可以進一步抑制凹陷。The foregoing chemical mechanical polishing composition and method of the present invention polishes tungsten and at least suppresses undesired tungsten corrosion; however, the foregoing chemical mechanical polishing composition and method of the present invention can further suppress sinking.

如本說明書通篇所使用的,除非上下文另有指示,否則以下縮寫具有以下含義:ºC = 攝氏度;g = 克;L = 升;mL = 毫升;µ = µm = 微米;kPa = 千帕;Å = 埃;mV = 毫伏;DI = 去離子的;ppm = 百萬分率 = mg/L;mm = 毫米;cm = 釐米;min = 分鐘;rpm = 每分鐘轉數;lbs = 磅;kg = 千克;W = 鎢;PO = 環氧丙烷;EO = 環氧乙烷;C = 四價元素碳;ICP-OES = 電感耦合電漿光發射光譜法;DLS = 動態光散射;wt% = 重量百分比;RR = 去除速率;「

Figure 02_image003
」 = 化學鍵 As used throughout this specification, unless the context dictates otherwise, the following abbreviations have the following meanings: ºC = degrees Celsius; g = grams; L = liters; mL = milliliters; µ = µm = micrometers; kPa = kilopascals; Å = Angstroms; mV = millivolts; DI = deionized; ppm = parts per million = mg/L; mm = millimeters; cm = centimeters; min = minutes; rpm = revolutions per minute; lbs = pounds; kg = Kilogram; W = tungsten; PO = propylene oxide; EO = ethylene oxide; C = tetravalent element carbon; ICP-OES = inductively coupled plasma optical emission spectroscopy; DLS = dynamic light scattering; wt% = weight percentage ; RR = removal rate; "
Figure 02_image003
"= Chemical bond .

術語「化學機械拋光」或「CMP」係指單獨地憑藉化學和機械力來拋光襯底的製程,並且其區別於其中向襯底施加電偏壓的電化學-機械拋光(ECMP) 術語「TEOS」意指由原矽酸四乙酯(Si(OC2 H5 )4 )分解而形成的二氧化矽 術語「平面」意指具有長度和寬度兩個尺寸的基本上平坦的表面或平坦的形貌 術語「尺寸」係指線寬 除非在說明書中另外描述為具有取代基,否則術語「烷基」意指僅由碳和氫組成(烴基)並具有以下通式的有機化學基團:Cn H2n+1 ,其中變數「n 」係整數 術語「烯基」意指其中從伸烷基(烷二基)中去除氫的有機化學基團,例如H2 C=CH-或HRC=CH-,其中R係有機烴(烴基)基團 術語「部分」意指分子的一部分或分子的官能基 術語「牛脂」意指提供游離脂肪酸的混合物的水解動物脂肪,該游離脂肪酸包括例如37%-43%的油酸、24%-32%的棕櫚酸、24%-32%的硬脂酸、3%-6%的肉豆蔻酸和2%-3%的亞油酸,然後在用環氧乙烷乙氧基化之前藉由腈過程轉化為脂肪胺 術語「一個/種(a/an)」係指單數和複數二者 除非另外指出,否則所有百分比均為重量百分比 所有數值範圍都是包含端值的,並且可以按任何順序組合,除了此數值範圍被限制為加起來最高達100%係合乎邏輯的情況之外 The term "chemical mechanical polishing" or "CMP" refers to a process of polishing a substrate solely by chemical and mechanical forces, and it is distinguished from electrochemical-mechanical polishing (ECMP) in which an electrical bias is applied to the substrate . The term "TEOS" means silicon dioxide formed by the decomposition of tetraethyl orthosilicate (Si(OC 2 H 5 ) 4 ) . The term "planar" means a substantially flat surface or flat topography having two dimensions of length and width . The term "size" refers to the line width . Unless otherwise described as having substituents in the specification, the term "alkyl" means an organic chemical group consisting only of carbon and hydrogen (hydrocarbyl) and having the following general formula: C n H 2n+1 , where the variable " n "Is an integer . The term "alkenyl" means an organic chemical group in which hydrogen is removed from an alkylene (alkanediyl) group, such as H 2 C=CH- or HRC=CH-, where R is an organic hydrocarbon (hydrocarbyl) group . The term "part" means a part of a molecule or a functional group of the molecule . The term "tallow" means a hydrolyzed animal fat that provides a mixture of free fatty acids, including, for example, 37%-43% oleic acid, 24%-32% palmitic acid, 24%-32% stearic acid, 3 %-6% myristic acid and 2%-3% linoleic acid are then converted into fatty amines by the nitrile process before ethoxylation with ethylene oxide . The term "a/an" refers to both the singular and the plural . Unless otherwise indicated, all percentages are percentages by weight . All numerical ranges are inclusive and can be combined in any order, except that this numerical range is limited to a logical case where it adds up to 100% .

本發明的拋光含有鎢的襯底的方法包括包含以下項(較佳的是由以下項組成)作為初始組分的化學機械拋光組成物:水;氧化劑;具有下式的聚乙氧基化的牛脂胺化合物:

Figure 02_image001
(I), 其中R係牛脂基或含牛脂胺的基團,其中牛脂基較佳的是選自衍生自牛脂的直鏈或支鏈(C8 -C24 )烷基或直鏈或支鏈(C8 -C24 )烯基,其中含牛脂胺的基團係具有下式的部分:
Figure 02_image005
(II), 其中R’係衍生自牛脂的直鏈或支鏈(C8 -C24 )烷基或直鏈或支鏈(C8 -C24 )烯基,mn 係整數,其中m +n 的總和為2-24,並且r 係2至5的整數,並且z 係1至24的整數;膠體二氧化矽磨料;二羧酸或其鹽;鐵(III)離子來源;以及視需要,pH調節劑;以及視需要,殺生物劑,以提供從襯底表面去除鎢,同時至少抑制鎢的腐蝕,但是進一步可以抑制凹陷。本發明的化學機械拋光組成物可以包含前述聚乙氧基化的牛脂胺中的兩種或更多種的混合物。本發明的前述聚乙氧基化的牛脂胺關於電荷係中性的。The method of polishing a substrate containing tungsten of the present invention includes a chemical mechanical polishing composition containing the following items (preferably consisting of the following items) as initial components: water; oxidizing agent; polyethoxylated having the following formula Tallow amine compounds:
Figure 02_image001
(I), wherein R is a tallow group or a tallow amine-containing group, wherein the tallow group is preferably selected from linear or branched (C 8 -C 24 ) alkyl or linear or branched chain derived from tallow (C 8 -C 24 )alkenyl, wherein the tallow amine-containing group has a part of the following formula:
Figure 02_image005
(II), where R'is a linear or branched (C 8 -C 24 ) alkyl or linear or branched (C 8 -C 24 ) alkenyl derived from tallow, m and n are integers, where m The sum of + n is 2-24, and r is an integer from 2 to 5, and z is an integer from 1 to 24; colloidal silica abrasive; dicarboxylic acid or its salt; iron (III) ion source; and if necessary , PH adjusting agent; and, if necessary, a biocide to provide removal of tungsten from the surface of the substrate while at least inhibiting tungsten corrosion, but further suppressing sinking. The chemical mechanical polishing composition of the present invention may include a mixture of two or more of the aforementioned polyethoxylated tallow amines. The aforementioned polyethoxylated tallow amine of the present invention is neutral with respect to the charge system.

更較佳的是,R係牛脂直鏈(C12 -C20 )烷基或牛脂直鏈(C12 -C20 )烯基,其中m + n的總和為3-15,進一步較佳的是,R係牛脂直鏈(C13 -C18 )烷基或牛脂直鏈(C13 -C18 )烯基,其中m + n的總和為3-5;甚至更較佳的是,R係飽和或不飽和的十六烷基(C16 )、飽和或不飽和的十七烷基(C17 )、或飽和或不飽和的十八烷基(C18 ),其中m + n為3-5,並且最較佳的是,R係具有以上式 (II) 之部分。More preferably, R is tallow linear (C 12 -C 20 ) alkyl or tallow linear (C 12 -C 20 ) alkenyl, wherein the sum of m + n is 3-15, and more preferably , R is tallow linear (C 13 -C 18 ) alkyl or tallow linear (C 13 -C 18 ) alkenyl, where the sum of m + n is 3-5; even more preferably, R is saturated Or unsaturated hexadecyl (C 16 ), saturated or unsaturated heptadecyl (C 17 ), or saturated or unsaturated octadecyl (C 18 ), where m + n is 3-5 And most preferably, R has a part of formula (II) above.

較佳的是,R’係直鏈(C12 -C20 )烷基或直鏈(C12 -C20 )烯基,其中r 係2至4的整數,並且z 係3至15的整數,更較佳的是,R’係直鏈(C13 -C18 )烷基或直鏈(C13 -C18 )烯基,其中m + n的總和為3-5並且r 係2至3的整數,並且z 係3至5的整數,最較佳的是,R’係飽和或不飽和的十六烷基(C16 )、飽和或不飽和的十七烷基(C17 )、或飽和或不飽和的十八烷基(C18 ),其中m + n為3-5,r 係2至3的整數並且z 係3至5的整數。本發明的化學機械拋光組成物可以包含具有式 (II) 之部分的前述聚乙氧基化的牛脂胺化合物中的兩種或更多種的混合物。本發明的前述聚乙氧基化的牛脂胺關於電荷係中性的。Preferably, R'is a linear (C 12 -C 20 ) alkyl group or a linear (C 12 -C 20 ) alkenyl group, wherein r is an integer from 2 to 4, and z is an integer from 3 to 15, More preferably, R'is linear (C 13 -C 18 ) alkyl or linear (C 13 -C 18 ) alkenyl, wherein the sum of m + n is 3-5 and r is 2 to 3 Integer, and z is an integer from 3 to 5. Most preferably, R'is saturated or unsaturated hexadecyl (C 16 ), saturated or unsaturated heptadecyl (C 17 ), or saturated Or an unsaturated octadecyl group (C 18 ), where m + n is 3-5, r is an integer from 2 to 3, and z is an integer from 3 to 5. The chemical mechanical polishing composition of the present invention may contain a mixture of two or more of the aforementioned polyethoxylated tallow amine compounds having a part of formula (II). The aforementioned polyethoxylated tallow amine of the present invention is neutral with respect to the charge system.

本發明的較佳的聚乙氧基化的牛脂胺的實例係具有以下通式的聚乙氧基化的牛脂二胺:

Figure 02_image007
(III) 其中R’、mnz 如上所定義。較佳的是,R’係飽和或不飽和的十六烷基(C16 )、飽和或不飽和的十七烷基(C17 )、或飽和或不飽和的十八烷基(C18 ),其中m + n為3-5,並且z 係3至5的整數,最較佳的是,m +n = 5,並且z = 5。本發明的化學機械拋光組成物可以包含式 (III) 之前述聚乙氧基化的牛脂二胺中的兩種或更多種的混合物。具有通式 (III) 之本發明的特別較佳的聚乙氧基化的牛脂二胺係從SIGMA-ALDRICH® 化工公司(SIGMA-ALDRICH® Chemicals Company)(美國威斯康辛州密爾沃基(Milwaukee, WI, USA))可商購的N,N’,N’-聚氧乙烯 (10)-N-1,3-二胺基丙烷。An example of a preferred polyethoxylated tallow amine of the present invention is a polyethoxylated tallow diamine having the following general formula:
Figure 02_image007
(III) wherein R', m , n and z are as defined above. Preferably, R'is a saturated or unsaturated hexadecyl group (C 16 ), a saturated or unsaturated heptadecyl group (C 17 ), or a saturated or unsaturated octadecyl group (C 18 ) , Where m + n is 3-5, and z is an integer from 3 to 5. Most preferably, m + n = 5, and z = 5. The chemical mechanical polishing composition of the present invention may contain a mixture of two or more of the aforementioned polyethoxylated tallow diamines of formula (III). Particularly preferred polyethoxylated tallow-based diamines having formula (III) of the present invention from SIGMA-ALDRICH® Chemical Company (SIGMA-ALDRICH® Chemicals Company) (Milwaukee, Wisconsin (Milwaukee, WI, USA )) Commercially available N,N',N'-polyoxyethylene (10)-N-1,3-diaminopropane.

較佳的是,在本發明的化學機械拋光鎢之方法中,本發明的化學機械拋光組成物包含至少50 ppm、較佳的是50 ppm至500 ppm、更較佳的是50 ppm至300 ppm、甚至更較佳的是50 ppm至200 ppm、最較佳的是50至100 ppm的本發明的聚乙氧基化的牛脂胺作為初始組分 Preferably, in the method for chemical mechanical polishing of tungsten of the present invention, the chemical mechanical polishing composition of the present invention contains at least 50 ppm, preferably 50 ppm to 500 ppm, more preferably 50 ppm to 300 ppm , Even more preferably 50 ppm to 200 ppm, most preferably 50 to 100 ppm of the polyethoxylated tallow amine of the present invention as the initial component .

較佳的是,在本發明的化學機械拋光包含鎢的襯底的方法中,作為初始組分包含於所提供的化學機械拋光組成物中的水係去離子水和蒸餾水中的至少一種,以限制附帶的雜質 Preferably, in the method for chemical mechanical polishing of a substrate containing tungsten of the present invention, at least one of aqueous deionized water and distilled water contained in the provided chemical mechanical polishing composition as an initial component is used to Limit incidental impurities .

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有氧化劑作為初始組分,其中該氧化劑選自由以下各項組成之群組:過氧化氫(H2 O2 )、單過硫酸鹽、碘酸鹽、過鄰苯二甲酸鎂、過乙酸和其他過酸、過硫酸鹽、溴酸鹽、過溴酸鹽、過硫酸鹽、過乙酸、過碘酸鹽、硝酸鹽、鐵鹽、鈰鹽、Mn(III)鹽、Mn(IV)鹽和Mn(VI)鹽、銀鹽、銅鹽、鉻鹽、鈷鹽、鹵素、次氯酸鹽以及其混合物。更較佳的是,氧化劑選自由以下各項組成之群組:過氧化氫、過氯酸鹽、過溴酸鹽;過碘酸鹽、過硫酸鹽以及過乙酸。最較佳的是,氧化劑係過氧化氫。Preferably, in the method for polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains an oxidizing agent as an initial component, wherein the oxidizing agent is selected from the group consisting of: hydrogen peroxide (H 2 O 2 ), monopersulfate, iodate, magnesium perphthalate, peracetic acid and other peracids, persulfate, bromate, perbromate, persulfate, peracetic acid, periodic acid Salt, nitrate, iron salt, cerium salt, Mn(III) salt, Mn(IV) salt and Mn(VI) salt, silver salt, copper salt, chromium salt, cobalt salt, halogen, hypochlorite and mixtures thereof . More preferably, the oxidizing agent is selected from the group consisting of hydrogen peroxide, perchlorate, perbromide; periodate, persulfate, and peracetic acid. Most preferably, the oxidizing agent is hydrogen peroxide.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有0.01至10 wt%、更較佳的是0.1至5 wt%、最較佳的是1至3 wt%的氧化劑作為初始組分。Preferably, in the method for polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 0.01 to 10 wt%, more preferably 0.1 to 5 wt%, and most preferably 1 to 3. The wt% oxidant is used as the initial component.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物包含鐵(III)離子來源作為初始組分。更較佳的是,在本發明的方法中,所提供的化學機械拋光組成物含有鐵(III)離子來源作為初始組分,其中該鐵(III)離子來源選自由鐵(III)鹽組成的組。最較佳的是,在本發明的方法中,所提供的化學機械拋光組成物含有鐵(III)離子來源作為初始組分,其中該鐵(III)離子來源係硝酸鐵(Fe(NO3 )3 )。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a source of iron (III) ions as an initial component. More preferably, in the method of the present invention, the chemical mechanical polishing composition provided contains a source of iron (III) ions as an initial component, wherein the source of iron (III) ions is selected from iron (III) salts. group. Most preferably, in the method of the present invention, the chemical mechanical polishing composition provided contains a source of iron (III) ions as an initial component, wherein the source of iron (III) ions is iron nitrate (Fe(NO 3 ) 3 ).

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有足夠給該化學機械拋光組成物中引入1至250 ppm、較佳的是5至200 ppm、更較佳的是7.5至150 ppm、最較佳的是10至100 ppm的鐵(III)離子的鐵(III)離子來源作為初始組分。Preferably, in the method for polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains enough to introduce 1 to 250 ppm, preferably 5 to 200 ppm, and more into the chemical mechanical polishing composition. Preferably, an iron (III) ion source of 7.5 to 150 ppm, and most preferably 10 to 100 ppm of iron (III) ion is used as the initial component.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物包含鐵(III)離子來源作為初始組分。更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有100至1,100 ppm、較佳的是125至1000 ppm、更較佳的是150至850 ppm、並且最較佳的是175至700 ppm的鐵(III)離子來源作為初始組分。最較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有100至1,100 ppm、較佳的是150至1000 ppm、更較佳的是150至850 ppm、最較佳的是175至700 ppm的鐵(III)離子來源作為初始組分,其中該鐵(III)離子來源係硝酸鐵(Fe(NO3 )3 )。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a source of iron (III) ions as an initial component. More preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 100 to 1,100 ppm, preferably 125 to 1000 ppm, more preferably 150 to 850 ppm, And it is most preferable to use a source of iron (III) ions of 175 to 700 ppm as the initial component. Most preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 100 to 1,100 ppm, preferably 150 to 1000 ppm, more preferably 150 to 850 ppm, The most preferred is the source of iron (III) ions of 175 to 700 ppm as the initial component, wherein the source of iron (III) ions is iron nitrate (Fe(NO 3 ) 3 ).

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有具有負ζ電勢的膠體二氧化矽磨料。更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有具有永久負ζ電勢的膠體二氧化矽磨料,其中,該化學機械拋光組成物具有1至7、較佳的是1.5至4.5、更較佳的是1.5至3.5、還更較佳的是2至3、最較佳的是2至2.5的pH。還更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有具有永久負ζ電勢的膠體二氧化矽磨料,其中,該化學機械拋光組成物具有1至7、較佳的是1.5至4.5、更較佳的是1.5至3.5、還更較佳的是2至3、最較佳的是2至2.5的pH,如由-0.1 mV至-20 mV的ζ電勢所指示的。Preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a colloidal silica abrasive with a negative zeta potential. More preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a colloidal silica abrasive with a permanent negative zeta potential, wherein the chemical mechanical polishing composition has a thickness of 1 to 7 , Preferably 1.5 to 4.5, more preferably 1.5 to 3.5, still more preferably 2 to 3, most preferably 2 to 2.5 pH. Even more preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a colloidal silica abrasive with a permanent negative zeta potential, wherein the chemical mechanical polishing composition has 1 to 7. The pH is preferably from 1.5 to 4.5, more preferably from 1.5 to 3.5, still more preferably from 2 to 3, most preferably from 2 to 2.5, such as from -0.1 mV to -20 mV ζ potential indicated.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有膠體二氧化矽磨料作為初始組分,其中該膠體二氧化矽磨料具有如藉由動態光散射技術(DLS)測量的≤ 100 nm、較佳的是5至100 nm、更較佳的是10至90 nm、最較佳的是20至80 nm的平均粒度。Preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains colloidal silica abrasive as an initial component, wherein the colloidal silica abrasive has a method such as dynamic light scattering technology (DLS) The measured average particle size is ≤ 100 nm, preferably 5 to 100 nm, more preferably 10 to 90 nm, and most preferably 20 to 80 nm.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有0.01至15 wt%、較佳的是0.05至10 wt%、更較佳的是0.1至7.5 wt%、還更較佳的是0.2至5 wt%、最較佳的是0.2至2 wt%的膠體二氧化矽磨料。較佳的是,膠體二氧化矽磨料具有負ζ電勢。Preferably, in the method for polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 0.01 to 15 wt%, preferably 0.05 to 10 wt%, more preferably 0.1 to 7.5 wt% %, even more preferably 0.2 to 5 wt%, most preferably 0.2 to 2 wt% colloidal silica abrasive. Preferably, the colloidal silica abrasive has a negative zeta potential.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有二羧酸作為初始組分,其中該二羧酸包括但不限於丙二酸、草酸、琥珀酸、己二酸、馬來酸、蘋果酸、戊二酸、酒石酸、其鹽或其混合物。更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有二羧酸作為初始組分,其中該二羧酸選自由以下各項組成之群組:丙二酸、草酸、琥珀酸、酒石酸、其鹽以及其混合物。還更較佳的是,所提供的化學機械拋光組成物含有二羧酸作為初始組分,其中該二羧酸選自由以下各項組成之群組:丙二酸、草酸、琥珀酸、其鹽以及其混合物。最較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有二羧酸丙二酸或其鹽作為初始組分。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains dicarboxylic acid as an initial component, wherein the dicarboxylic acid includes but is not limited to malonic acid, oxalic acid, and succinic acid. , Adipic acid, maleic acid, malic acid, glutaric acid, tartaric acid, their salts or mixtures thereof. More preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a dicarboxylic acid as an initial component, wherein the dicarboxylic acid is selected from the group consisting of: C Diacid, oxalic acid, succinic acid, tartaric acid, its salts and mixtures thereof. More preferably, the provided chemical mechanical polishing composition contains dicarboxylic acid as an initial component, wherein the dicarboxylic acid is selected from the group consisting of: malonic acid, oxalic acid, succinic acid, and salts thereof And its mixture. Most preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains dicarboxylic acid malonic acid or its salt as an initial component.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有1至2,600 ppm、較佳的是100至1,400 ppm、更較佳的是120至1,350 ppm、還更較佳的是130至1,100 ppm的二羧酸作為初始組分,其中該二羧酸包括但不限於丙二酸、草酸、琥珀酸、己二酸、馬來酸、蘋果酸、戊二酸、酒石酸、其鹽或其混合物。較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有1至2,600 ppm的丙二酸、其鹽或其混合物作為初始組分。更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有100至1,400 ppm、甚至更較佳的是120至1,350 ppm、還更較佳的是130至1,350 ppm的二羧酸丙二酸或其鹽作為初始組分。Preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 1 to 2,600 ppm, preferably 100 to 1,400 ppm, more preferably 120 to 1,350 ppm, and also More preferably, 130 to 1,100 ppm of dicarboxylic acid as an initial component, wherein the dicarboxylic acid includes but not limited to malonic acid, oxalic acid, succinic acid, adipic acid, maleic acid, malic acid, glutaric acid , Tartaric acid, its salts or mixtures thereof. Preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 1 to 2,600 ppm of malonic acid, its salt or a mixture thereof as an initial component. More preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains 100 to 1,400 ppm, even more preferably 120 to 1,350 ppm, and still more preferably 130 to 1,400 ppm. 1,350 ppm of dicarboxylic acid malonic acid or its salt was used as the initial component.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物具有1至7的pH。更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物具有1.5至4.5的pH。還更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物具有1.5至3.5的pH。甚至還更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物具有2至3的pH;並且最較佳的是2至2.5的pH。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided has a pH of 1 to 7. More preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing composition provided has a pH of 1.5 to 4.5. More preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided has a pH of 1.5 to 3.5. Even more preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing composition provided has a pH of 2 to 3; and most preferably a pH of 2 to 2.5.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物視需要含有pH調節劑。較佳的是,該pH調節劑選自由以下各項組成之群組:無機pH調節劑以及有機pH調節劑。較佳的是,該pH調節劑選自由以下各項組成之群組:無機酸以及無機鹼。更較佳的是,該pH調節劑選自由以下各項組成之群組:硝酸和氫氧化鉀。最較佳的是,該pH調節劑係氫氧化鉀。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a pH adjusting agent as needed. Preferably, the pH adjuster is selected from the group consisting of inorganic pH adjusters and organic pH adjusters. Preferably, the pH adjusting agent is selected from the group consisting of inorganic acids and inorganic bases. More preferably, the pH adjusting agent is selected from the group consisting of nitric acid and potassium hydroxide. Most preferably, the pH adjusting agent is potassium hydroxide.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物不包括季化合物。此類季化合物包括但不限於季銨化合物、季鏻化合物以及季銻化合物。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided does not include a quaternary compound. Such quaternary compounds include, but are not limited to, quaternary ammonium compounds, quaternary phosphonium compounds, and quaternary antimony compounds.

視需要,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有表面活性劑。較佳的是,在本發明的拋光襯底之方法中,該表面活性劑係含有PO或EO或PO/EO的表面活性劑。更較佳的是,在本發明的拋光襯底之方法中,該表面活性劑係含有陰離子官能基的PO或EO或PO/EO表面活性劑。甚至更較佳的是,在本發明的拋光襯底之方法中,該表面活性劑係具有式 (IV) 之陰離子醚硫酸鹽: C a H 2a+1 O-PO b -EO d -SO3 - 其中a 可以是12、15、18、20、22、25、28、30、35、38、40、42或44;b 可以是0、2、5、8、10、12、14、16、18、20、30、40或50;並且d 可以是0、5、10、15、20、25、30、35、40、45、50、55、60、65、70、80、90或100,其前提係bd 不能同時為0,並且抗衡離子可以是較佳的是鹼金屬離子,例如鈉陽離子或鉀陽離子;或銨陽離子。較佳的是,在本發明的拋光襯底之方法中,該陰離子醚硫酸鹽係月桂基醚硫酸鈉(SLES)。If necessary, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided contains a surfactant. Preferably, in the method of polishing a substrate of the present invention, the surfactant contains PO or EO or PO/EO surfactant. More preferably, in the method of polishing a substrate of the present invention, the surfactant is a PO or EO or PO/EO surfactant containing an anionic functional group. Even more preferably, in the method of polishing a substrate of the present invention, the surfactant is an anionic ether sulfate having the formula (IV): C a H 2a+1 O-PO b -EO d -SO 3 - wherein a may be 12,15,18,20,22,25,28,30,35,38,40,42 or 44; b may be 0,2,5,8,10,12,14,16, 18, 20, 30, 40, or 50; and d can be 0, 5, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65, 70, 80, 90, or 100, The premise is that b and d cannot be both 0, and the counter ion may preferably be an alkali metal ion, such as sodium cation or potassium cation; or ammonium cation. Preferably, in the method for polishing a substrate of the present invention, the anionic ether sulfate is sodium lauryl ether sulfate (SLES).

在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物可以含有50 ppm至1000 ppm、較佳的是100 ppm至900 ppm、更較佳的是120 ppm至600 ppm、還更較佳的是140 ppm至250 ppm的陰離子醚硫酸鹽作為初始組分。更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有50至1000 ppm、更較佳的是100 ppm至900 ppm、甚至更較佳的是120 ppm至600 ppm、還更較佳的是140 ppm至250 ppm的陰離子醚硫酸鹽的鹼金屬鹽表面活性劑作為初始組分。還更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物含有50 ppm至1000 ppm、較佳的是100 ppm至900 ppm、更較佳的是120 ppm至600 ppm、還更較佳的是140 ppm至250 ppm的月桂基醚硫酸鈉作為初始組分。In the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition may contain 50 ppm to 1000 ppm, preferably 100 ppm to 900 ppm, more preferably 120 ppm to 600 ppm, and more. It is preferable that 140 ppm to 250 ppm of anionic ether sulfate is used as the initial component. More preferably, in the method for polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 50 to 1000 ppm, more preferably 100 ppm to 900 ppm, even more preferably 120 ppm Up to 600 ppm, and more preferably 140 ppm to 250 ppm, an alkali metal salt surfactant of anionic ether sulfate as an initial component. More preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition contains 50 ppm to 1000 ppm, preferably 100 ppm to 900 ppm, more preferably 120 ppm To 600 ppm, and more preferably 140 ppm to 250 ppm sodium laureth sulfate as an initial component.

視需要,拋光組成物可以含有殺生物劑,例如KORDEX™ MLX(9.5% - 9.9%的甲基-4-異噻唑啉-3-酮、89.1% - 89.5%的水以及≤1.0%的相關反應產物)或含有活性成分2-甲基-4-異噻唑啉-3-酮和5-氯-2-甲基-4-異噻唑啉-3-酮的KATHON™ ICP III,每個均由陶氏化學公司(Dow Chemical Company)(KATHON™和KORDEX™係陶氏化學公司的商標)製造。此類殺生物劑可以以熟悉該項技術者已知的常規量包含在本發明的化學機械拋光組成物中。If necessary, the polishing composition can contain biocides, such as KORDEX™ MLX (9.5%-9.9% methyl-4-isothiazolin-3-one, 89.1%-89.5% water, and ≤1.0% related reactions Product) or KATHON™ ICP III containing the active ingredients 2-methyl-4-isothiazolin-3-one and 5-chloro-2-methyl-4-isothiazolin-3-one, each made of ceramic Manufactured by Dow Chemical Company (KATHON™ and KORDEX™ are trademarks of The Dow Chemical Company). Such biocides may be included in the chemical mechanical polishing composition of the present invention in conventional amounts known to those skilled in the art.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物不包括唑類化合物。此類唑類化合物包括但不限於苯并三唑、巰基苯并噻唑、甲苯基三唑以及咪唑。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided does not include an azole compound. Such azole compounds include but are not limited to benzotriazole, mercaptobenzothiazole, tolyltriazole and imidazole.

較佳的是,所提供的襯底係包含鎢和電介質(例如TEOS)的半導體襯底。Preferably, the provided substrate is a semiconductor substrate containing tungsten and a dielectric (for example, TEOS).

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光墊可以是本領域已知的任何合適的拋光墊。熟悉該項技術者知道選擇用在本發明方法中適當的化學機械拋光墊。更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光墊選自織造拋光墊和非織造拋光墊。還更較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光墊包括聚胺酯拋光層。最較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光墊包括含有聚合物中空心微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。較佳的是,所提供的化學機械拋光墊在拋光表面上具有至少一個凹槽。Preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing pad provided may be any suitable polishing pad known in the art. Those skilled in the art know to select the appropriate chemical mechanical polishing pad for use in the method of the present invention. More preferably, in the method of polishing a substrate of the present invention, the chemical mechanical polishing pad provided is selected from a woven polishing pad and a non-woven polishing pad. More preferably, in the method for polishing a substrate of the present invention, the provided chemical mechanical polishing pad includes a polyurethane polishing layer. Most preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing pad includes a polyurethane polishing layer containing hollow polymer particles and a nonwoven subpad impregnated with polyurethane. Preferably, the provided chemical mechanical polishing pad has at least one groove on the polishing surface.

較佳的是,在本發明的拋光襯底之方法中,在化學機械拋光墊與襯底之間的介面處或介面附近將所提供的化學機械拋光組成物分配到所提供的化學機械拋光墊的拋光表面上。Preferably, in the method of polishing a substrate of the present invention, the provided chemical mechanical polishing composition is distributed to the provided chemical mechanical polishing pad at or near the interface between the chemical mechanical polishing pad and the substrate On the polished surface.

較佳的是,在本發明的拋光襯底之方法中,使用0.69至34.5 kPa的垂直於被拋光襯底的表面的下壓力,在所提供的化學機械拋光墊與襯底之間的介面處產生動態接觸。Preferably, in the method of polishing a substrate of the present invention, a downward pressure of 0.69 to 34.5 kPa perpendicular to the surface of the substrate to be polished is used, at the interface between the provided chemical mechanical polishing pad and the substrate Generate dynamic contact.

較佳的是,在本發明的拋光襯底之方法中,所提供的化學機械拋光組成物具有≥ 1,000 Å/min;較佳的是≥ 1,500 Å/min;更較佳的是≥ 1,700 Å/min的鎢去除速率;並且使用在200 mm的拋光機上的80轉/分鐘的壓板速度、81轉/分鐘的托架速度、125 mL/min的化學機械拋光組成物流速、21.4 kPa的標稱下壓力;並且其中,化學機械拋光墊包含含有聚合物中空心微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。Preferably, in the method for polishing a substrate of the present invention, the chemical mechanical polishing composition provided has a chemical mechanical polishing composition of ≥ 1,000 Å/min; preferably ≥ 1,500 Å/min; more preferably ≥ 1,700 Å/min min tungsten removal rate; and use a platen speed of 80 revolutions per minute on a 200 mm polishing machine, a carriage speed of 81 revolutions per minute, a flow rate of the chemical mechanical polishing composition of 125 mL/min, and a nominal 21.4 kPa Down pressure; and wherein, the chemical mechanical polishing pad includes a polyurethane polishing layer containing hollow polymer particles and a nonwoven subpad impregnated with polyurethane.

以下實例旨在說明本發明的化學機械拋光組成物對鎢的腐蝕抑制性能、以及對鎢凹陷的抑制,但是以下實例並不旨在限制本發明的範圍。 實例1拋光漿料配製物 The following examples are intended to illustrate the corrosion inhibition performance of the chemical mechanical polishing composition of the present invention on tungsten and the suppression of tungsten pitting, but the following examples are not intended to limit the scope of the present invention. Example 1 Polishing slurry formulation

此實例的化學機械拋光組成物係藉由以下方式製備的:將組分以表1中列出的量組合,餘量為DI水,並且用45 wt%氫氧化鉀調整組成物的pH至表1中列出的最終pH。The chemical mechanical polishing composition of this example was prepared by combining the components in the amounts listed in Table 1, the balance being DI water, and adjusting the pH of the composition to the table with 45 wt% potassium hydroxide. The final pH listed in 1.

表1 拋光漿料 # 磨料 1 wt% 聚乙氧基化的牛脂二胺 2 5 EO ppm Fe(NO3 )3 ppm 丙二酸( ppm H2 O2 wt% pH 對照 1 2 ----------- 362 1320 2 2.5 對照 2 2 ----------- 637 1320 2 2.5 PS-1 2 50 362 1320 2 2.5 PS-2 2 500 362 1320 2 2.5 PS-3 2 50 637 1320 2 2.5 Table 1 Polishing slurry # Abrasive 1 ( wt% ) Polyethoxylated tallow diamine 2 ( 5 EO ) ( ppm ) Fe(NO 3 ) 3 ( ppm ) Malonic acid ( ppm ) H 2 O 2 ( wt% ) pH Control 1 2 ----------- 362 1320 2 2.5 Control 2 2 ----------- 637 1320 2 2.5 PS-1 2 50 362 1320 2 2.5 PS-2 2 500 362 1320 2 2.5 PS-3 2 50 637 1320 2 2.5

1 KLEBOSOL™ 1598-B25 (-)ζ電勢磨料漿料,由AZ電子材料公司(AZ Electronics Materials)製造,從陶氏化學公司可獲得。 1 KLEBOSOL™ 1598-B25 (-)ζ potential abrasive slurry, manufactured by AZ Electronics Materials, available from The Dow Chemical Company.

2 N,N’,N’-聚氧乙烯 (10)-N-牛脂基-1,3-二胺基丙烷(從SIGMA-ALDRICH® 化工公司可獲得) 實例2聚乙氧基化的牛脂二胺 CMP 漿料的腐蝕速率抑制性能 2 N,N',N'-polyoxyethylene (10)-N-tallow-1,3 -diaminopropane (available from SIGMA-ALDRICH® Chemical Company) Example 2 Polyethoxylated tallow two Corrosion rate inhibition performance of amine CMP slurry

藉由將W毯覆式(blanket)晶圓(1 cm x 4 cm)浸入15 g漿料樣品中進行腐蝕測試。10 min後將W晶圓從被測試的漿料中移除。隨後將溶液在9,000 rpm下離心20 min,以去除漿料顆粒。藉由ICP-OES分析上清液,以確定鎢的按重量計的量。由W質量(假設蝕刻晶圓表面積為4 cm2 )轉化得出腐蝕速率(Å/min)。腐蝕測試的結果在表2中。The corrosion test was performed by immersing a W blanket wafer (1 cm x 4 cm) in a 15 g slurry sample. After 10 minutes, the W wafer was removed from the tested slurry. The solution was then centrifuged at 9,000 rpm for 20 minutes to remove slurry particles. The supernatant was analyzed by ICP-OES to determine the amount of tungsten by weight. The corrosion rate (Å/min) is converted from W mass (assuming the surface area of the etched wafer is 4 cm 2 ). The results of the corrosion test are in Table 2.

表2 拋光漿料 # W 腐蝕速率( Å/min 對照 1 35 對照 2 25 PS-1 26.5 PS-2 0.2 PS-3 0.1 實例3聚乙氧基化的牛脂二胺 CMP 漿料的化學機械拋光 凹陷性能 Table 2 Polishing slurry # W corrosion rate ( Å/min ) Control 1 35 Control 2 25 PS-1 26.5 PS-2 0.2 PS-3 0.1 Example 3 Chemical Mechanical Polishing of Polyethoxylated Tallow Diamine CMP Slurry - Depression Performance

在安裝在應用材料公司(Applied Materials)200 mm MIRRA®拋光機上的200 mm毯覆式晶圓上進行拋光實驗。拋光去除速率實驗在來自諾發公司(Novellus)的200 mm毯覆式15kÅ厚的TEOS片狀晶圓以及從WaferNet公司、矽谷微電子公司(Silicon Valley Microelectronics)或SKW聯合公司(SKW Associates, Inc.)可獲得的W、Ti、和TiN毯覆式晶圓上進行。除非另外說明,否則所有拋光實驗均使用與SP2310子墊配對的IC1010™聚胺酯拋光墊(從羅門哈斯電子材料CMP公司(Rohm and Haas Electronic Materials CMP Inc.)可商購),用21.4 kPa(3.1 psi)的典型下壓力、125 mL/min的化學機械拋光組成物流速、80 rpm的台旋轉速度、以及81 rpm的托架旋轉速度進行。使用Kinik PDA33A-3金剛石墊調節器(從中國砂輪企業股份有限公司(Kinik Company)可商購)來修整拋光墊。在80 rpm(壓板)/36 rpm(調節器)下,使用9.0 lbs(4.1 kg)的下壓力持續15分鐘以及7.0 lbs(3.2 kg)的下壓力持續15分鐘將拋光墊用調節器打磨。在拋光之前使用7 lbs(3.2 kg)的下壓力持續24秒對拋光墊進行進一步非原位調節。使用KLA-Tencor RS100C度量工具確定W凹陷率。如在表3中示出的,晶圓具有不同的標準線寬特徵。The polishing experiment was performed on a 200 mm blanket wafer mounted on an Applied Materials 200 mm MIRRA® polisher. The polishing removal rate experiment was performed on 200 mm blanket-covered 15kÅ thick TEOS wafers from Novellus and from WaferNet, Silicon Valley Microelectronics, or SKW Associates, Inc. ) Available on W, Ti, and TiN blanket wafers. Unless otherwise specified, all polishing experiments used IC1010™ polyurethane polishing pads paired with SP2310 subpads (commercially available from Rohm and Haas Electronic Materials CMP Inc.), using 21.4 kPa (3.1 psi), a chemical mechanical polishing composition flow rate of 125 mL/min, a table rotation speed of 80 rpm, and a carriage rotation speed of 81 rpm. A Kinik PDA33A-3 diamond pad conditioner (commercially available from Kinik Company) was used to condition the polishing pad. Under 80 rpm (platen)/36 rpm (regulator), use a down force of 9.0 lbs (4.1 kg) for 15 minutes and a down force of 7.0 lbs (3.2 kg) for 15 minutes to polish the polishing pad with the regulator. Use 7 lbs (3.2 kg) of down force for 24 seconds to further adjust the polishing pad ex-situ before polishing. The KLA-Tencor RS100C measurement tool was used to determine the W depression rate. As shown in Table 3, the wafers have different standard line width characteristics.

表3 拋光 漿料 # 100 µm / 100 µm 凹陷 Å 9 µm / 1 µm 凹陷 Å 25 µm / 25 µm 凹陷 Å 對照 2 1250 351 201 PS-3 1237 146 43 table 3 Polishing slurry # 100 µm / 100 µm recess ( Å ) 9 µm / 1 µm recess ( Å ) 25 µm / 25 µm recess ( Å ) Control 2 1250 351 201 PS-3 1237 146 43

與不含聚乙氧基化的牛脂二胺的對照相比,含有本發明的聚乙氧基化的牛脂二胺的漿料展示出顯著的凹陷抑制。 實例4漿料配製物 Compared to the control without polyethoxylated tallow diamine, the slurry containing the polyethoxylated tallow diamine of the present invention exhibited significant depression inhibition. Example 4 Slurry formulation

表4 拋光漿料 # 磨料 1 wt% 聚乙氧基化的牛脂二胺 2 5 EO ppm Fe(NO3 )3 ppm 丙二酸( ppm H2 O2 wt% pH 3 對照 3 2 ------------ 637 1320 2 2.5 PS-4 2 100 637 1320 2 2.5 PS-5 2 200 637 1320 2 2.5 PS-6 2 300 637 1320 2 2.5 Table 4 Polishing slurry # Abrasive 1 ( wt% ) Polyethoxylated tallow diamine 2 ( 5 EO ) ( ppm ) Fe(NO 3 ) 3 ( ppm ) Malonic acid ( ppm ) H 2 O 2 ( wt% ) pH 3 Control 3 2 ------------ 637 1320 2 2.5 PS-4 2 100 637 1320 2 2.5 PS-5 2 200 637 1320 2 2.5 PS-6 2 300 637 1320 2 2.5

1 KLEBOSOL™ 1598-B25 (-)ζ電勢磨料漿料,由AZ電子材料公司(AZ Electronics Materials)製造,從陶氏化學公司可獲得。 1 KLEBOSOL™ 1598-B25 (-)ζ potential abrasive slurry, manufactured by AZ Electronics Materials, available from The Dow Chemical Company.

2 N,N’,N’-聚氧乙烯 (10)-N-牛脂基-1,3-二胺基丙烷。 2 N,N',N'-polyoxyethylene (10)-N-tallowyl-1,3-diaminopropane.

3 pH用45 wt%氫氧化鉀溶液調節。 實例5聚乙氧基化的牛脂二胺 CMP 漿料的腐蝕速率抑制性能 3 pH is adjusted with 45 wt% potassium hydroxide solution. Example 5 Corrosion rate inhibition performance of polyethoxylated tallow diamine CMP slurry

藉由將W毯覆式晶圓(1 cm x 4 cm)浸入15 g漿料樣品中進行腐蝕測試。10 min後將W晶圓從被測試的漿料中移除。隨後將溶液在9,000 rpm下離心20 min,以去除漿料顆粒。藉由ICP-OES分析上清液,以確定鎢的按重量計的量。由W質量(假設蝕刻晶圓表面積為4 cm2 )轉化得出腐蝕速率(Å/min)。腐蝕測試的結果在表5中。The corrosion test was performed by immersing a W blanket wafer (1 cm x 4 cm) into a 15 g slurry sample. After 10 minutes, the W wafer was removed from the tested slurry. The solution was then centrifuged at 9,000 rpm for 20 minutes to remove slurry particles. The supernatant was analyzed by ICP-OES to determine the amount of tungsten by weight. The corrosion rate (Å/min) is converted from W mass (assuming the surface area of the etched wafer is 4 cm 2 ). The results of the corrosion test are in Table 5.

表5 拋光漿料 # W 腐蝕速率( Å/min 對照 23 PS-4 > 0.1 PS-5 > 0.1 PS-6 > 0.1 table 5 Polishing slurry # W corrosion rate ( Å/min ) Contrast twenty three PS-4 > 0.1 PS-5 > 0.1 PS-6 > 0.1

腐蝕速率測試的結果示出,對比不含乙氧基化物的對照,含有乙氧基化度(m +n )為5的聚乙氧基化的牛脂二胺的化學機械拋光漿料顯著減少了晶圓上的W腐蝕。 實例6聚乙氧基化的牛脂二胺 CMP 漿料的化學機械拋光 凹陷性能 The results of the corrosion rate test showed that the chemical mechanical polishing slurry containing polyethoxylated tallow diamine with a degree of ethoxylation ( m + n ) of 5 was significantly reduced compared to the control without ethoxylate W corrosion on the wafer. Example 6 Chemical Mechanical Polishing of Polyethoxylated Tallow Diamine CMP Slurry - Concavity Performance

對於此實例的化學機械設置如下: 工具:帶有Titan SP頭的AMAT Mirra。The chemical mechanical settings for this example are as follows: Tool: AMAT Mirra with Titan SP head.

漿料:PS-4。Slurry: PS-4.

墊:帶有SP2310子墊的IC1000、1010凹槽。Pad: IC1000, 1010 groove with SP2310 sub-pad.

盤:Kinik PDA33A-3(AD3CI-171040-3)。Disk: Kinik PDA33A-3 (AD3CI-171040-3).

方法: 墊打磨:80 rpm / 36 rpm、9.0 lbf CDF-15 min + 7.0 lbf CDF-15 min。method: Pad sanding: 80 rpm / 36 rpm, 9.0 lbf CDF-15 min + 7.0 lbf CDF-15 min.

拋光:80 rpm / 81 rpm、3.1 psi、60 sec、125 ml/min。Polishing: 80 rpm / 81 rpm, 3.1 psi, 60 sec, 125 ml/min.

調節:非原位:80 rpm / 36 rpm、7.5 lbf CDF、24 sec。Adjustment: non-in-situ: 80 rpm / 36 rpm, 7.5 lbf CDF, 24 sec.

方法/拋光程序: 墊打磨30 min。Method/polishing procedure: The pad is polished for 30 min.

表6 拋光 漿料 # 100 µm / 100 µm 凹陷 Å 9 µm / 1 µm 凹陷 Å 25 µm / 25 µm 凹陷 Å 對照 3 1303 347 265 PS-4 819 74 57 Table 6 Polishing slurry # 100 µm / 100 µm recess ( Å ) 9 µm / 1 µm recess ( Å ) 25 µm / 25 µm recess ( Å ) Control 3 1303 347 265 PS-4 819 74 57

包含聚乙氧基化的牛脂二胺(5 EO)的本發明的化學機械拋光漿料在所有特徵尺寸上具有比對照顯著改進的凹陷性能。The chemical mechanical polishing slurry of the present invention containing polyethoxylated tallow diamine (5 EO) has significantly improved depression performance over the control in all feature sizes.

no

no

no

Claims (10)

一種化學機械拋光組成物,其包含以下項作為初始組分:水;氧化劑; 具有以下通式之化合物:
Figure 03_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2-24; 膠體二氧化矽磨料; 二羧酸; 鐵(III)離子來源; 視需要,pH調節劑;以及, 視需要,殺生物劑。
A chemical mechanical polishing composition comprising the following items as initial components: water; oxidizing agent; a compound having the following general formula:
Figure 03_image001
(I) Where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2-24; colloidal silica abrasive; dicarboxylic acid; iron (III) ion source; If necessary, a pH adjusting agent; and, if necessary, a biocide.
如申請專利範圍第1項所述之化學機械拋光組成物,其中,該具有式 (I) 之化合物的量為至少50 ppm。The chemical mechanical polishing composition described in item 1 of the scope of patent application, wherein the amount of the compound of formula (I) is at least 50 ppm. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,m +n 的總和為3至15。The chemical mechanical polishing composition described in item 1 of the scope of patent application, wherein the sum of m + n is 3-15. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,該含牛脂胺的基團具有下式:
Figure 03_image005
(II) 其中R’係衍生自牛脂的直鏈或支鏈(C8 -C24 )烷基或直鏈或支鏈(C8 -C24 )烯基,r 係2至5的整數,並且z 係1至24的整數。
The chemical mechanical polishing composition described in item 1 of the scope of patent application, wherein the tallow amine-containing group has the following formula:
Figure 03_image005
(II) wherein R'is a linear or branched (C 8 -C 24 ) alkyl or linear or branched (C 8 -C 24 ) alkenyl derived from tallow, r is an integer from 2 to 5, and z is an integer from 1 to 24.
一種化學機械拋光鎢之方法,其包括: 提供包含鎢和電介質的襯底; 提供化學機械拋光組成物,其包含以下項作為初始組分: 水; 氧化劑; 具有下式之化合物:
Figure 03_image001
(I) 其中R係牛脂基或含牛脂胺的基團並且mn 係整數,其中m +n 的總和為2-24; 膠體二氧化矽磨料; 二羧酸; 鐵(III)離子來源;以及, 視需要,pH調節劑; 視需要,殺生物劑; 提供具有拋光表面的化學機械拋光墊; 在該化學機械拋光墊與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊的拋光表面上,以去除至少一些鎢。
A method for chemical mechanical polishing of tungsten, comprising: providing a substrate containing tungsten and a dielectric; providing a chemical mechanical polishing composition, which contains the following items as initial components: water; an oxidizing agent; a compound having the following formula:
Figure 03_image001
(I) Where R is a tallow group or a tallow amine-containing group and m and n are integers, where the sum of m + n is 2-24; colloidal silica abrasive; dicarboxylic acid; iron (III) ion source; And, if necessary, a pH adjusting agent; if necessary, a biocide; providing a chemical mechanical polishing pad with a polishing surface; generating dynamic contact at the interface between the chemical mechanical polishing pad and the substrate; and in the chemical mechanical The chemical mechanical polishing composition is distributed on the polishing surface of the chemical mechanical polishing pad at or near the interface between the polishing pad and the substrate to remove at least some tungsten.
如申請專利範圍第5項所述之方法,其中,m + n的總和為3至15。The method described in item 5 of the scope of patent application, wherein the sum of m + n is 3-15. 如申請專利範圍第5項所述之方法,其中,該含牛脂胺的基團具有下式:
Figure 03_image005
(II) 其中R’係衍生自牛脂的直鏈或支鏈(C8 -C24 )烷基或直鏈或支鏈(C8 -C24 )烯基,r 係2至5的整數,並且z 係1至24的整數。
The method described in item 5 of the scope of patent application, wherein the tallow amine-containing group has the following formula:
Figure 03_image005
(II) wherein R'is a linear or branched (C 8 -C 24 ) alkyl or linear or branched (C 8 -C 24 ) alkenyl derived from tallow, r is an integer from 2 to 5, and z is an integer from 1 to 24.
如申請專利範圍第5項所述之方法,其中,使用200 mm的拋光機上的80轉/分鐘的壓板速度、81轉/分鐘的托架速度、125 mL/min的化學機械拋光組成物流速、21.4 kPa的標稱下壓力,所提供的化學機械拋光組成物具有≥ 1000 Å/min的鎢去除速率;並且其中,該化學機械拋光墊包含含有聚合物中空心微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。The method described in item 5 of the scope of the patent application, wherein a 200 mm polishing machine with a platen speed of 80 revolutions per minute, a carriage speed of 81 revolutions per minute, and a chemical mechanical polishing composition flow rate of 125 mL/min are used , 21.4 kPa nominal down pressure, the provided chemical mechanical polishing composition has a tungsten removal rate ≥ 1000 Å/min; and wherein, the chemical mechanical polishing pad contains a polyurethane polishing layer containing polymer hollow particles and polyurethane impregnation Of non-woven sub-mats. 如申請專利範圍第5項所述之方法,其中,所提供的化學機械拋光組成物包含以下項作為初始組分: 該水; 0.01至10 wt%的該氧化劑; 50至500 ppm的該式 (I) 之化合物; 0.01至15 wt%的該膠體二氧化矽磨料; 1至2,600 ppm的該二羧酸; 100至1,100 ppm的該鐵(III)離子來源,其中該鐵(III)離子來源係硝酸鐵;以及, 視需要,該pH調節劑; 視需要,該殺生物劑;以及, 其中該化學機械拋光組成物具有1至7的pH。The method described in item 5 of the scope of patent application, wherein the provided chemical mechanical polishing composition contains the following items as initial components: The water 0.01 to 10 wt% of the oxidant; 50 to 500 ppm of the compound of formula (I); 0.01 to 15 wt% of the colloidal silica abrasive; 1 to 2,600 ppm of the dicarboxylic acid; 100 to 1,100 ppm of the iron (III) ion source, wherein the iron (III) ion source is iron nitrate; and, If necessary, the pH regulator; If necessary, the biocide; and, The chemical mechanical polishing composition has a pH of 1 to 7. 如申請專利範圍第9項所述之方法,其中,使用200 mm的拋光機上的80轉/分鐘的壓板速度、81轉/分鐘的托架速度、125 mL/min的化學機械拋光組成物流速、21.4 kPa的標稱下壓力,所提供的化學機械拋光組成物具有≥ 1500 Å/min的鎢去除速率;並且其中,該化學機械拋光墊包含含有聚合物中空心微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。The method as described in item 9 of the scope of the patent application, wherein a platen speed of 80 revolutions per minute on a 200 mm polishing machine, a carriage speed of 81 revolutions per minute, and a chemical mechanical polishing composition flow rate of 125 mL/min are used , 21.4 kPa nominal down pressure, the chemical mechanical polishing composition provided has a tungsten removal rate ≥ 1500 Å/min; and wherein, the chemical mechanical polishing pad contains a polyurethane polishing layer containing polymer hollow particles and polyurethane impregnation Of non-woven sub-mats.
TW108137640A 2018-10-20 2019-10-18 Chemical mechanical polishing composition and method for tungsten TW202028386A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/166,087 US10640681B1 (en) 2018-10-20 2018-10-20 Chemical mechanical polishing composition and method for tungsten
US16/166,087 2018-10-20

Publications (1)

Publication Number Publication Date
TW202028386A true TW202028386A (en) 2020-08-01

Family

ID=70279119

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137640A TW202028386A (en) 2018-10-20 2019-10-18 Chemical mechanical polishing composition and method for tungsten

Country Status (5)

Country Link
US (1) US10640681B1 (en)
JP (1) JP7391595B2 (en)
KR (1) KR20200045420A (en)
CN (1) CN111073517B (en)
TW (1) TW202028386A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11254839B2 (en) * 2019-12-12 2022-02-22 Versum Materials Us, Llc Low oxide trench dishing shallow trench isolation chemical mechanical planarization polishing

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52140992A (en) * 1976-05-19 1977-11-24 Daido Kagaku Kogyo Grinding fluid
US4528023A (en) * 1983-07-25 1985-07-09 Stauffer Chemical Company Enhancement of herbicidal activity of tetraaluminum salts of N-phosphonomethylglycine
US6083838A (en) 1998-05-20 2000-07-04 Lucent Technologies Inc. Method of planarizing a surface on a semiconductor wafer
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US7229486B2 (en) * 2003-04-17 2007-06-12 Saralee/De N.V. Shoe and leather care product
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
WO2007147866A1 (en) 2006-06-23 2007-12-27 Akzo Nobel N.V. Process for preparation of alkoxylated alkylamines / alkyl ether amines with peaked distribution
US20080148649A1 (en) * 2006-12-21 2008-06-26 Zhendong Liu Ruthenium-barrier polishing slurry
US8337716B2 (en) 2008-01-23 2012-12-25 Uwiz Technology Co., Ltd. Sarcosine compound used as corrosion inhibitor
JP5361306B2 (en) 2008-09-19 2013-12-04 Jsr株式会社 Chemical mechanical polishing aqueous dispersion and chemical mechanical polishing method
US8071479B2 (en) 2008-12-11 2011-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
WO2010068460A2 (en) * 2008-12-12 2010-06-17 3M Innovative Properties Company Particle reflow etching
US8987032B2 (en) * 2009-03-03 2015-03-24 Akrion Systems, Llc Method for selective under-etching of porous silicon
CN103619982B (en) * 2011-06-29 2015-09-30 三洋化成工业株式会社 With the manufacture method of salt, electronic material lapping liquid, Ginding process and electronic material in lapping liquid use
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing
US20150021513A1 (en) * 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
US9238754B2 (en) 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US10570313B2 (en) 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
JP6669331B2 (en) 2015-05-19 2020-03-18 昭和電工株式会社 Polishing composition and polishing method using the polishing composition
US10144850B2 (en) * 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive
US9771496B2 (en) 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
US10253216B2 (en) * 2016-07-01 2019-04-09 Versum Materials Us, Llc Additives for barrier chemical mechanical planarization
US10286518B2 (en) * 2017-01-31 2019-05-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for tungsten
US20180244955A1 (en) * 2017-02-28 2018-08-30 Versum Materials Us, Llc Chemical Mechanical Planarization of Films Comprising Elemental Silicon

Also Published As

Publication number Publication date
CN111073517A (en) 2020-04-28
JP2020077860A (en) 2020-05-21
US10640681B1 (en) 2020-05-05
CN111073517B (en) 2021-08-31
JP7391595B2 (en) 2023-12-05
US20200123412A1 (en) 2020-04-23
KR20200045420A (en) 2020-05-04

Similar Documents

Publication Publication Date Title
KR102491258B1 (en) Chemical mechanical polishing method for tungsten
KR102459037B1 (en) Chemical mechanical polishing method for tungsten
KR102459546B1 (en) Chemical mechanical polishing method for cobalt
KR102525310B1 (en) Chemical mechanical polishing method for cobalt
KR102486165B1 (en) Chemical mechanical polishing method for tungsten
TWI819019B (en) Neutral to alkaline chemical mechanical polishing compositions and methods for tungsten
KR102459544B1 (en) Chemical mechanical polishing method for tungsten using polyglycols and polyglycol derivatives
TW202028386A (en) Chemical mechanical polishing composition and method for tungsten
US10815392B2 (en) Chemical mechanical polishing method for tungsten
US10640682B2 (en) Chemical mechanical polishing method for tungsten
TWI826554B (en) Chemical mechanical polishing composition and method for tungsten
TWI837097B (en) Chemical mechanical polishing method for tungsten
TWI838343B (en) Chemical mechanical polishing method for cobalt