TW202002188A - Three dimensional integrated circuit (3DIC) structure - Google Patents

Three dimensional integrated circuit (3DIC) structure Download PDF

Info

Publication number
TW202002188A
TW202002188A TW107132252A TW107132252A TW202002188A TW 202002188 A TW202002188 A TW 202002188A TW 107132252 A TW107132252 A TW 107132252A TW 107132252 A TW107132252 A TW 107132252A TW 202002188 A TW202002188 A TW 202002188A
Authority
TW
Taiwan
Prior art keywords
layer
die
metal
bonding
integrated circuit
Prior art date
Application number
TW107132252A
Other languages
Chinese (zh)
Other versions
TWI760561B (en
Inventor
陳憲偉
楊慶榮
陳明發
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202002188A publication Critical patent/TW202002188A/en
Application granted granted Critical
Publication of TWI760561B publication Critical patent/TWI760561B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0236Shape of the insulating layers therebetween
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08235Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/802Applying energy for connecting
    • H01L2224/80201Compression bonding
    • H01L2224/80203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking

Abstract

Provided is a three-dimensional integrated circuit (3DIC) structure including a die stack structure, a metal circuit structure, and a protective structure. The die stack structure includes a first die and a second die face-to-face bonded together. The metal circuit structure is disposed over a back side of the second die. The protective structure is disposed within the back side of the second die and separates one of a plurality of through-substrate vias (TSVs) of the second die from the metal circuit structure.

Description

三維積體電路結構Three-dimensional integrated circuit structure

本發明實施例是有關於一種三維積體電路結構。The embodiment of the invention relates to a three-dimensional integrated circuit structure.

近年來,由於各種電子元件(即,電晶體、二極體、電阻器、電容器等)的積體密度的持續改進,半導體行業已經歷了快速成長。積集密度的此種改進來自於最小特徵尺寸(minimum feature size)的一再減小,以允許更多的較小的元件能夠整合在一定的面積中。In recent years, the semiconductor industry has experienced rapid growth due to the continuous improvement in the bulk density of various electronic components (ie, transistors, diodes, resistors, capacitors, etc.). This improvement in accumulation density comes from repeated reductions in minimum feature size to allow more smaller components to be integrated into a certain area.

與先前的封裝體相比,這些較小的電子元件也需要利用較小面積的較小的封裝體。半導體封裝體的示例性類型包括四面扁平封裝(quad flat package,QFP)、針格陣列(pin grid array,PGA)、球格陣列(ball grid array,BGA)、覆晶技術(flip chip,FC)、三維積體電路(three dimensional integrated circuit,3DIC)、晶圓級封裝體(wafer level package,WLP)及疊層封裝體(package on package,PoP)裝置。一些三維積體電路是通過將晶片(chip)放置在半導體晶圓級上的晶片上方製備而成。由於堆疊晶片之間的內連線的長度減小,因此三維積體電路提供更高的積體密度及其他優點,例如更快的速度及更高的頻寬。然而,對於三維積體電路技術來說仍存在很多待處理的挑戰。Compared with previous packages, these smaller electronic components also require smaller packages with smaller areas. Exemplary types of semiconductor packages include quad flat package (QFP), pin grid array (PGA), ball grid array (BGA), flip chip (FC) , Three-dimensional integrated circuit (three dimensional integrated circuit, 3DIC), wafer level package (wafer level package, WLP) and stacked package (package on package, PoP) device. Some three-dimensional integrated circuits are prepared by placing chips on top of wafers on the semiconductor wafer level. As the length of interconnects between stacked wafers is reduced, three-dimensional integrated circuits provide higher integrated density and other advantages, such as faster speed and higher bandwidth. However, there are still many challenges to be solved for the three-dimensional integrated circuit technology.

本發明實施例提供一種三維積體電路結構,包括晶粒堆疊結構、金屬電路結構及保護結構。晶粒堆疊結構包括面對面接合在一起的第一晶粒與第二晶粒。金屬電路結構設置在第二晶粒的後側之上。保護結構設置在第二晶粒的後側內且分隔第二晶粒的多個基底穿孔中的一者與金屬電路結構。An embodiment of the present invention provides a three-dimensional integrated circuit structure, including a die stack structure, a metal circuit structure, and a protection structure. The die stack structure includes a first die and a second die bonded together face to face. The metal circuit structure is disposed on the rear side of the second die. The protective structure is disposed in the rear side of the second die and separates one of the plurality of substrate vias and the metal circuit structure that separates the second die.

以下揭露內容提供用於實施所提供的目標的不同特徵的許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本揭露為目的。當然,這些僅僅為實例而非用以限制。舉例來說,在以下描述中,在第二特徵上方或在第二特徵上形成第一特徵可包括第一特徵與第二特徵形成為直接接觸的實施例,且也可包括第一特徵與第二特徵之間可形成有額外特徵,使得第一特徵與第二特徵可不直接接觸的實施例。此外,本揭露在各種實例中可重複使用元件符號及/或字母。元件符號的重複使用是為了簡單及清楚起見,且並不表示所欲討論的各個實施例及/或配置本身之間的關係。The following disclosure provides many different embodiments or examples for implementing different features of the provided goals. Specific examples of components and configurations described below are for the purpose of conveying the present disclosure in a simplified manner. Of course, these are only examples and not limiting. For example, in the following description, forming the first feature above or on the second feature may include an embodiment where the first feature and the second feature are formed in direct contact, and may also include the first feature and the second feature An additional feature may be formed between the two features so that the first feature and the second feature may not directly contact the embodiment. In addition, the present disclosure may reuse element symbols and/or letters in various examples. The repeated use of element symbols is for simplicity and clarity, and does not represent the relationship between the various embodiments and/or configurations to be discussed.

此外,為易於說明,本文中可能使用例如「在...下方(beneath)」、「在...下面(below)」、「下部的(lower)」、「上方(above)」、「上部的(upper)」等空間相對術語來闡述圖中所示的一個元件或特徵與另一(些)元件或特徵的關係。所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。In addition, for ease of explanation, this article may use, for example, "beneath", "below", "lower", "above", "upper" "Upper" and other spatial relative terms to illustrate the relationship between one element or feature and another element or features shown in the figure. The spatially relative terms are intended to cover different orientations of elements in use or operation. The device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatial relative terms used herein are interpreted accordingly.

還可包括其他特徵及製程。舉例來說,可包括測試結構以說明進行三維(3D)封裝體或三維積體電路裝置的驗證測試。測試結構可包括例如形成於重佈線層中或基底上的測試墊,所述測試墊使得能夠測試3D封裝體或3DIC、使用探針(probe)及/或探針卡(probe card)等。可對中間結構及最終結構執行驗證測試。另外,本文中所公開的結構及方法可接合包括對已知良好晶粒(known good dies)的中間驗證的測試方法一起使用,以提高良率(yield)及降低成本。Other features and processes can also be included. For example, a test structure may be included to illustrate the verification test of a three-dimensional (3D) package or a three-dimensional integrated circuit device. The test structure may include, for example, test pads formed in the redistribution layer or on the substrate, the test pads enable testing of 3D packages or 3DICs, use of probes and/or probe cards, and the like. Verification tests can be performed on the intermediate structure and the final structure. In addition, the structures and methods disclosed herein can be used in conjunction with test methods that include intermediate verification of known good dies to improve yield and reduce costs.

圖1A到圖1E是根據第一實施例的形成三維積體電路結構的方法的剖視圖。1A to 1E are cross-sectional views of a method of forming a three-dimensional integrated circuit structure according to the first embodiment.

參照圖1A,形成晶粒堆疊結構10。具體來說,晶粒堆疊結構10包括第一晶粒100、第二晶粒200及混合接合結構250。第一晶粒100與第二晶粒200藉由混合接合結構250混合接合在一起。晶粒堆疊結構10根據例如以下步驟形成。Referring to FIG. 1A, a die stack structure 10 is formed. Specifically, the die stack structure 10 includes a first die 100, a second die 200, and a hybrid bonding structure 250. The first die 100 and the second die 200 are mixed and joined together by a hybrid bonding structure 250. The die stack structure 10 is formed according to, for example, the following steps.

如圖1A所示,提供包括第一半導體基底102、第一元件層103、第一內連結構104及第一鈍化層110的第一晶粒100。As shown in FIG. 1A, a first die 100 including a first semiconductor substrate 102, a first element layer 103, a first interconnect structure 104 and a first passivation layer 110 is provided.

在一些實施例中,半導體基底102可包括矽或其他半導體材料。另外或額外的,第一半導體基底102可包括其他元素半導體材料,例如鍺。在一些實施例中,第一半導體基底102是由例如碳化矽、砷化鎵、砷化銦及磷化銦等化合物半導體製成。在一些實施例中,第一半導體基底102是由例如矽鍺、碳化矽鍺、磷化鎵砷或磷化鎵銦等合金半導體製成。在一些實施例中,第一半導體基底102包括外延層。舉例來說,第一半導體基底102具有上覆在塊狀半導體上的外延層。In some embodiments, the semiconductor substrate 102 may include silicon or other semiconductor materials. Additionally or additionally, the first semiconductor substrate 102 may include other element semiconductor materials, such as germanium. In some embodiments, the first semiconductor substrate 102 is made of compound semiconductors such as silicon carbide, gallium arsenide, indium arsenide, and indium phosphide. In some embodiments, the first semiconductor substrate 102 is made of an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenide phosphide, or gallium indium phosphide. In some embodiments, the first semiconductor substrate 102 includes an epitaxial layer. For example, the first semiconductor substrate 102 has an epitaxial layer overlying the bulk semiconductor.

在一些實施例中,第一元件層103是在前段(front-end-of-line,FEOL)製程中形成在第一半導體基底102之上。第一元件層103包括各種各樣的元件。在一些實施例中,所述元件包括主動元件、被動元件或它們的組合。在一些實施例中,所述元件可包括積體電路元件。所述元件是例如電晶體、電容器、電阻器、二極體、光電二極體、熔絲元件或其他類似元件。在一些實施例中,第一元件層103包括閘極結構、源極區及汲極區、以及隔離結構,例如淺溝渠隔離(shallow trench isolation,STI)結構(圖中未示出)。在第一元件層103中,可形成且內連各種N型金屬氧化物半導體(NMOS)和/或P型金屬氧化物半導體(PMOS)元件(例如電晶體或記憶體等)來進行一個或多個功能。也可在第一半導體基底102之上形成其他元件,例如電容器、電阻器、二極體、光電二極體、熔絲等。所述元件的功能可包括記憶體、處理器、感測器、放大器、功率分配、輸入/輸出電路等。In some embodiments, the first element layer 103 is formed on the first semiconductor substrate 102 in a front-end-of-line (FEOL) process. The first element layer 103 includes various elements. In some embodiments, the elements include active elements, passive elements, or a combination thereof. In some embodiments, the element may include an integrated circuit element. The element is, for example, a transistor, a capacitor, a resistor, a diode, a photodiode, a fuse element, or other similar elements. In some embodiments, the first device layer 103 includes a gate structure, a source region and a drain region, and an isolation structure, such as a shallow trench isolation (STI) structure (not shown in the figure). In the first element layer 103, various N-type metal oxide semiconductor (NMOS) and/or P-type metal oxide semiconductor (PMOS) elements (such as transistors or memories) can be formed and interconnected to perform one or more Function. Other elements such as capacitors, resistors, diodes, photodiodes, fuses, etc. can also be formed on the first semiconductor substrate 102. The functions of the components may include memory, processor, sensor, amplifier, power distribution, input/output circuit, and the like.

參照圖1A,第一內連結構104形成在第一元件層103之上。詳細來說,第一內連結構104包括第一絕緣材料106及多個第一金屬特徵108。第一金屬特徵108形成在第一絕緣材料106中且電連接到第一元件層103。第一金屬特徵108的一部分(例如頂部金屬特徵108a及108b)外露於第一絕緣材料106。在一些實施例中,第一絕緣材料106包括位於第一元件層103上的層間介電(inner-layer dielectric,ILD)層以及位於層間介電層之上的至少一個金屬間介電(inter-metal dielectric,IMD)層。在一些實施例中,第一絕緣材料106包括氧化矽、氮氧化矽、氮化矽、低介電常數(低k)材料或它們的組合。在一些替代實施例中,第一絕緣材料106可以是單層或多層。在一些實施例中,第一金屬特徵108包括插塞及金屬線。所述插塞可包括形成在層間介電層中的接觸窗(contacts)以及形成在金屬間介電層中的通孔(vias)。所述接觸窗形成在第一元件層103與底部金屬線之間且與第一元件層103及底部金屬線連接。所述通孔形成在兩條金屬線之間且與所述兩條金屬線連接。第一金屬特徵108可由鎢(W)、銅(Cu)、銅合金、鋁(Al)、鋁合金或它們的組合製成。在一些替代實施例中,可在第一金屬特徵108與第一絕緣材料106之間形成障壁層(圖中未示出),以防止第一金屬特徵108的材料遷移或擴散到第一元件層103。障壁層的材料包括例如鉭、氮化鉭、鈦、氮化鈦、鈷-鎢(CoW)或它們的組合。Referring to FIG. 1A, a first interconnect structure 104 is formed on the first element layer 103. In detail, the first interconnect structure 104 includes a first insulating material 106 and a plurality of first metal features 108. The first metal feature 108 is formed in the first insulating material 106 and is electrically connected to the first element layer 103. A portion of the first metal feature 108 (eg, top metal features 108a and 108b) is exposed to the first insulating material 106. In some embodiments, the first insulating material 106 includes an inner-layer dielectric (ILD) layer on the first element layer 103 and at least one inter-metal dielectric (inter-metal dielectric) on the interlayer dielectric layer metal dielectric (IMD) layer. In some embodiments, the first insulating material 106 includes silicon oxide, silicon oxynitride, silicon nitride, a low dielectric constant (low-k) material, or a combination thereof. In some alternative embodiments, the first insulating material 106 may be a single layer or multiple layers. In some embodiments, the first metal feature 108 includes a plug and a metal wire. The plug may include contacts formed in the interlayer dielectric layer and vias formed in the intermetal dielectric layer. The contact window is formed between the first element layer 103 and the bottom metal line and connected to the first element layer 103 and the bottom metal line. The through hole is formed between two metal wires and connected to the two metal wires. The first metal feature 108 may be made of tungsten (W), copper (Cu), copper alloy, aluminum (Al), aluminum alloy, or a combination thereof. In some alternative embodiments, a barrier layer (not shown) may be formed between the first metal feature 108 and the first insulating material 106 to prevent the material of the first metal feature 108 from migrating or diffusing to the first element layer 103. The material of the barrier layer includes, for example, tantalum, tantalum nitride, titanium, titanium nitride, cobalt-tungsten (CoW), or a combination thereof.

參照圖1A,第一鈍化層110形成在第一內連結構104之上。第一鈍化層110覆蓋第一絕緣材料106和頂部金屬特徵108a及108b的一些部分。在一些實施例中,第一鈍化層110包括氧化矽、氮化矽、苯並環丁烯(benzocyclobutene,BCB)聚合物、聚醯亞胺(polyimide,PI)、聚苯並惡唑(polybenzoxazole,PBO)或它們的組合,且藉由例如旋塗、化學氣相沉積等合適的製程形成。將第一鈍化層110形成在第一內連結構104之上之後,第一晶粒100便已完成。如圖1A所示,第一晶粒100具有彼此相對的前側100a與後側100b。本文中,第一晶粒100的前側100a面朝上,而第一晶粒100的後側100b面朝下。在一些實施例中,第一晶粒100的前側100a被稱為主動表面。Referring to FIG. 1A, the first passivation layer 110 is formed on the first interconnection structure 104. The first passivation layer 110 covers the first insulating material 106 and some portions of the top metal features 108a and 108b. In some embodiments, the first passivation layer 110 includes silicon oxide, silicon nitride, benzocyclobutene (BCB) polymer, polyimide (PI), polybenzoxazole, PBO) or a combination thereof and formed by a suitable process such as spin coating, chemical vapor deposition, etc. After the first passivation layer 110 is formed on the first interconnect structure 104, the first die 100 is completed. As shown in FIG. 1A, the first die 100 has a front side 100a and a rear side 100b opposite to each other. Here, the front side 100a of the first die 100 faces upward, and the rear side 100b of the first die 100 faces downward. In some embodiments, the front side 100a of the first die 100 is referred to as the active surface.

參照圖1A,在第一晶粒100的前側100a之上形成第一接合結構114。詳細來說,第一接合結構114包括第一接合介電層116和多個第一接合金屬層118及120。在一些實施例中,第一接合金屬層118及120形成在第一接合介電層116中。第一接合金屬層118包括通孔插塞118a及設置在通孔插塞118a之上的金屬特徵118b,而第一接合金屬層120包括通孔插塞120a及設置在通孔插塞120a之上的金屬特徵120b。如圖1A所示,通孔插塞118a貫穿第一鈍化層110且與第一金屬特徵108a連接,而通孔插塞120a貫穿第一鈍化層110且與第一金屬特徵108b連接。Referring to FIG. 1A, a first bonding structure 114 is formed on the front side 100 a of the first die 100. In detail, the first bonding structure 114 includes a first bonding dielectric layer 116 and a plurality of first bonding metal layers 118 and 120. In some embodiments, the first bonding metal layers 118 and 120 are formed in the first bonding dielectric layer 116. The first bonding metal layer 118 includes a via plug 118a and a metal feature 118b disposed above the via plug 118a, and the first bonding metal layer 120 includes a via plug 120a and disposed above the via plug 120a Of the metal feature 120b. As shown in FIG. 1A, the via plug 118a penetrates the first passivation layer 110 and is connected to the first metal feature 108a, and the via plug 120a penetrates the first passivation layer 110 and is connected to the first metal feature 108b.

在一些實施例中,第一接合金屬層118及120可包括銅、銅合金、鎳、鋁、鎢、它們的組合。在一些實施例中,第一接合金屬層118及120可使用相同的材料且同時形成。在一些其他實施例中,第一接合金屬層118及120可使用不同的材料且依次形成。第一接合金屬層118及120可藉由下述方式形成:在第一接合介電層116中的溝渠及通孔開口(圖中未示出)中沉積導電材料,然後藉由平坦化製程(例如化學機械拋光製程)移除第一接合介電層116的頂表面之上的導電材料。在平坦化製程之後,第一接合介電層116的頂表面與第一接合金屬層118及120的頂表面實質上共面。In some embodiments, the first bonding metal layers 118 and 120 may include copper, copper alloys, nickel, aluminum, tungsten, and combinations thereof. In some embodiments, the first bonding metal layers 118 and 120 may use the same material and be formed at the same time. In some other embodiments, the first bonding metal layers 118 and 120 may use different materials and be formed in sequence. The first bonding metal layers 118 and 120 can be formed by depositing conductive materials in the trenches and via openings (not shown) in the first bonding dielectric layer 116, and then by a planarization process ( For example, a chemical mechanical polishing process) removes the conductive material above the top surface of the first bonding dielectric layer 116. After the planarization process, the top surface of the first bonding dielectric layer 116 and the top surfaces of the first bonding metal layers 118 and 120 are substantially coplanar.

參照圖1A,第二晶粒200與第二晶粒100類似。即,第二晶粒200包括第二半導體基底202、第二元件層203、第二內連結構204、第二絕緣材料206及第二鈍化層210。第二晶粒200的配置、材料及形成方法與第一晶粒100的配置、材料及形成方法類似。因此,這裡省略其細節。在一些實施例中,第二晶粒200的尺寸小於第一晶粒的100的尺寸。本文中,用語「尺寸(size)」是指長度、寬度或面積。舉例來說,如圖1A所示,第二晶粒200的長度小於第一晶粒100的長度。Referring to FIG. 1A, the second die 200 is similar to the second die 100. That is, the second die 200 includes the second semiconductor substrate 202, the second element layer 203, the second interconnection structure 204, the second insulating material 206, and the second passivation layer 210. The configuration, material and forming method of the second die 200 are similar to the configuration, material and forming method of the first die 100. Therefore, the details are omitted here. In some embodiments, the size of the second die 200 is smaller than the size of 100 of the first die. In this article, the term "size" refers to length, width, or area. For example, as shown in FIG. 1A, the length of the second die 200 is shorter than the length of the first die 100.

在一些實施例中,第一晶粒100及第二晶粒200中的一者可以是例如特殊應用積體電路(application-specific integrated circuit,ASIC)晶片、類比晶片、感測器晶片、無線及射頻晶片、調壓器晶片或記憶體晶片。在一些替代實施例中,第一晶粒100及第二晶粒200可包括相同的功能或不同的功能。圖1A所示的晶粒堆疊結構10是晶圓上晶片(chip-on-wafer,CoW)結構。舉例來說,第二晶粒200可以是晶粒(die),第一晶粒100可以是晶圓(wafer),且晶粒200設置在晶圓100之上。然而,本發明的實施例並不僅限於此。在其他實施例中,晶粒堆疊結構10包括晶片上晶片結構(chip-on-chip structure)、晶粒上晶粒結構(die-on-die structure)或它們的組合。In some embodiments, one of the first die 100 and the second die 200 may be, for example, an application-specific integrated circuit (ASIC) chip, an analog chip, a sensor chip, a wireless and RF chip, voltage regulator chip or memory chip. In some alternative embodiments, the first die 100 and the second die 200 may include the same function or different functions. The die stack structure 10 shown in FIG. 1A is a chip-on-wafer (CoW) structure. For example, the second die 200 may be a die, the first die 100 may be a wafer, and the die 200 is disposed on the wafer 100. However, the embodiments of the present invention are not limited to this. In other embodiments, the die stack structure 10 includes a chip-on-chip structure, a die-on-die structure, or a combination thereof.

參照圖1A,在第二晶粒200的前側200a之上形成第二接合結構214。詳細來說,第二接合結構214包括第二接合介電層216及第二接合金屬層218。在一些實施例中,第二接合金屬層218形成在第二接合介電層216中。第二接合金屬層218包括通孔插塞218a及金屬特徵218b。如圖1A所示,通孔插塞218a貫穿第二鈍化層210且與第二內連結構204的第二金屬特徵208連接。金屬特徵218b藉由通孔插塞218a電連接到第二金屬特徵208。Referring to FIG. 1A, a second bonding structure 214 is formed on the front side 200 a of the second die 200. In detail, the second bonding structure 214 includes a second bonding dielectric layer 216 and a second bonding metal layer 218. In some embodiments, the second bonding metal layer 218 is formed in the second bonding dielectric layer 216. The second bonding metal layer 218 includes a via plug 218a and a metal feature 218b. As shown in FIG. 1A, the via plug 218 a penetrates the second passivation layer 210 and is connected to the second metal feature 208 of the second interconnection structure 204. The metal feature 218b is electrically connected to the second metal feature 208 through the via plug 218a.

在一些實施例中,第二接合金屬層218可包括銅、銅合金、鎳、鋁、鎢、它們的組合。第二接合金屬層218可藉由下述方式形成:在第二接合介電層216中的溝渠及通孔開口(圖中未示出)中沉積導電材料,然後藉由平坦化製程(例如化學機械拋光製程)移除第二接合介電層216的頂表面之上的導電材料。在平坦化製程之後,第二接合介電層216的頂表面與第二接合金屬層218的頂表面實質上共面。In some embodiments, the second bonding metal layer 218 may include copper, copper alloy, nickel, aluminum, tungsten, and combinations thereof. The second bonding metal layer 218 can be formed by depositing a conductive material in the trenches and via openings (not shown) in the second bonding dielectric layer 216, and then through a planarization process (eg, chemical Mechanical polishing process) removing the conductive material above the top surface of the second bonding dielectric layer 216. After the planarization process, the top surface of the second bonding dielectric layer 216 and the top surface of the second bonding metal layer 218 are substantially coplanar.

參照圖1A,將第二晶粒200進一步上下顛倒且安裝到第一晶粒100上。詳細來說,第一晶粒100與第二晶粒200藉由第一接合結構114及第二接合結構214面對面(face-to-face)接合在一起。在一些實施例中,在將第二晶粒200接合到第一晶粒100之前,將第一接合結構114與第二接合結構214對齊,以使第二接合金屬層218可接合到第一接合金屬層118,且第一接合介電層116可接合到第二接合介電層216。在一些實施例中,第一接合結構114與第二接合結構214的對齊可藉由使用光學感測方法來實現。在實現對齊之後,第一接合結構114與第二接合結構214藉由混合接合法(hybrid bonding)接合在一起,以形成混合接合結構250。Referring to FIG. 1A, the second die 200 is further upside down and mounted on the first die 100. In detail, the first die 100 and the second die 200 are face-to-face bonded together by the first bonding structure 114 and the second bonding structure 214. In some embodiments, before bonding the second die 200 to the first die 100, the first bonding structure 114 and the second bonding structure 214 are aligned so that the second bonding metal layer 218 can be bonded to the first bonding The metal layer 118, and the first bonding dielectric layer 116 may be bonded to the second bonding dielectric layer 216. In some embodiments, the alignment of the first bonding structure 114 and the second bonding structure 214 may be achieved by using an optical sensing method. After the alignment is achieved, the first bonding structure 114 and the second bonding structure 214 are bonded together by hybrid bonding to form a hybrid bonding structure 250.

第一接合結構114與第二接合結構214藉由施加壓力及熱而混合接合在一起。應注意,混合接合法有關於至少兩種類型的接合法,包括金屬對金屬接合法及非金屬對非金屬接合法(例如介電質對介電質接合法或熔融接合法)。如圖1A所示,混合接合結構250包括藉由金屬對金屬接合法接合在一起的第一接合金屬層118與第二接合金屬層218以及藉由非金屬對非金屬接合法接合在一起的第一接合介電層116與第二接合介電層216。然而,本發明的實施例並不僅限於此。在其他實施例中,第一接合結構114與第二接合結構214可藉由其他接合法(例如熔融接合法(fusion bonding))接合在一起。The first bonding structure 114 and the second bonding structure 214 are mixed and bonded together by applying pressure and heat. It should be noted that the hybrid bonding method is related to at least two types of bonding methods, including a metal-to-metal bonding method and a non-metal-to-metal bonding method (for example, a dielectric-to-dielectric bonding method or a fusion bonding method). As shown in FIG. 1A, the hybrid bonding structure 250 includes a first bonding metal layer 118 and a second bonding metal layer 218 that are bonded together by a metal-to-metal bonding method and a first bonding metal layer that is bonded together by a non-metal-to-metal bonding method. A bonding dielectric layer 116 and a second bonding dielectric layer 216. However, the embodiments of the present invention are not limited to this. In other embodiments, the first bonding structure 114 and the second bonding structure 214 may be bonded together by other bonding methods (for example, fusion bonding).

另外,如圖1A所示,第二晶粒200還包括多個基底穿孔(through-substrate vias,TSV)205。在一些實施例中,基底穿孔205形成在第二半導體基底202中以電連接到第二內連結構204。在一些實施例中,基底穿孔205中的一者包括導電通孔及環繞導電通孔的側壁及底表面的襯層(圖中未示出)。導電通孔可包括銅、銅合金、鋁、鋁合金、Ta、TaN、Ti、TiN、CoW或它們的組合。襯層可包括介電材料,例如氧化矽。在一些實施例中,基底穿孔205在開始時不會穿透過第二半導體基底202,且基底穿孔205的底表面仍然被第二半導體基底202覆蓋。在後續的製程中,對第二半導體基底202的後表面202b進行薄化製程,以暴露出基底穿孔205的頂表面205s,且基底穿孔205可連接到其他元件。在一些實施例中,薄化製程可包括研磨製程或化學機械拋光(CMP)製程。In addition, as shown in FIG. 1A, the second die 200 further includes a plurality of through-substrate vias (TSV) 205. In some embodiments, a substrate via 205 is formed in the second semiconductor substrate 202 to be electrically connected to the second interconnect structure 204. In some embodiments, one of the substrate through holes 205 includes a conductive via and a liner (not shown) surrounding the sidewalls and bottom surface of the conductive via. The conductive via may include copper, copper alloy, aluminum, aluminum alloy, Ta, TaN, Ti, TiN, CoW, or a combination thereof. The liner layer may include a dielectric material, such as silicon oxide. In some embodiments, the substrate via 205 does not penetrate the second semiconductor substrate 202 at the beginning, and the bottom surface of the substrate via 205 is still covered by the second semiconductor substrate 202. In a subsequent process, a thinning process is performed on the rear surface 202b of the second semiconductor substrate 202 to expose the top surface 205s of the substrate via 205, and the substrate via 205 can be connected to other devices. In some embodiments, the thinning process may include a grinding process or a chemical mechanical polishing (CMP) process.

在進行薄化製程之後,第二半導體基底202的後表面202b低於基底穿孔205的頂表面205s,以確保基底穿孔205能夠連接到待形成的金屬電路結構400(如圖1E所示)。在暴露出基底穿孔205的頂表面205s之後,在第二晶粒200之上形成氮化物層220(例如,氮化矽層)。氮化物層220共形地覆蓋被第二半導體基底202暴露出的基底穿孔205的表面、第二半導體基底202的後表面202b、第二晶粒200的側壁及未接合到第二接合結構214的第一接合結構114的頂表面。氧化物層222(例如,氧化矽層)共形地形成在氮化物層220之上。氮化物層224(例如,氮化矽層)共形地形成在氧化物層222之上。介電層226(例如,間隙填充介電層(gap-fill dielectric layer))形成在第一晶粒100之上且包封第二晶粒200。即,介電層226覆蓋第二晶粒200的側壁及第二半導體基底202的底表面202a。在一些實施例中,介電層226可包括氧化物(例如氧化矽)、氮化物(例如氮化矽)、氮氧化物(例如氮氧化矽)、模塑化合物、模塑底部填充膠(molding underfill)、樹脂(例如環氧樹脂)、它們的組合等。After the thinning process is performed, the rear surface 202b of the second semiconductor substrate 202 is lower than the top surface 205s of the substrate via 205 to ensure that the substrate via 205 can be connected to the metal circuit structure 400 to be formed (as shown in FIG. 1E). After exposing the top surface 205s of the substrate via 205, a nitride layer 220 (eg, a silicon nitride layer) is formed over the second die 200. The nitride layer 220 conformally covers the surface of the substrate perforation 205 exposed by the second semiconductor substrate 202, the rear surface 202b of the second semiconductor substrate 202, the sidewalls of the second die 200, and those not bonded to the second bonding structure 214 The top surface of the first joint structure 114. An oxide layer 222 (for example, a silicon oxide layer) is conformally formed on the nitride layer 220. A nitride layer 224 (for example, a silicon nitride layer) is conformally formed on the oxide layer 222. A dielectric layer 226 (for example, a gap-fill dielectric layer) is formed on the first die 100 and encapsulates the second die 200. That is, the dielectric layer 226 covers the sidewall of the second die 200 and the bottom surface 202a of the second semiconductor substrate 202. In some embodiments, the dielectric layer 226 may include oxide (such as silicon oxide), nitride (such as silicon nitride), oxynitride (such as silicon oxynitride), molding compound, molding underfill (molding) underfill), resin (such as epoxy resin), their combination, etc.

然後進行平坦化製程(或稱為第一平坦化製程)。在一些實施例中,平坦化製程是化學機械拋光製程。在進行平坦製程之後,移除過量的介電層226、過量的氮化物層224、過量的氧化物層222及過量的氮化物層220,以暴露出基底穿孔205的頂表面205s及剩餘氧化物層222的頂表面222t,如圖1A所示。剩餘氧化物層222在側向上包封基底穿孔205的一部分。剩餘介電層226則在側向上包封第二晶粒200,以分隔第二晶粒200與接合在第一晶粒100之上的另一晶粒(圖中未示出)。在進行平坦化製程之後,完成晶粒堆疊結構10。在這種情況下,剩餘氧化物層222的頂表面222t可稱為第二晶粒200的後側200b。在進行平坦化製程之後,第二晶粒200的後側200b、基底穿孔205的頂表面205s及剩餘介電層226的頂表面226t處於實質上相同的水平高度。本文中,當元件被闡述為「處於實質上相同的水平高度(at substantially the same level)」時,這些元件是在相同的層中形成實質上相同的高度,或者嵌置在相同的層中的相同的位置。在一些實施例中,處於實質上相同的水平高度的元件是使用相同的製程步驟且由相同的材料形成。在一些實施例中,處於實質上相同的水平高度的元件的頂部實質上共面。舉例來說,如圖1A所示,第二晶粒200的後側200b、基底穿孔205的頂表面205s以及剩餘介電層226的頂表面226t實質上共面。Then, a planarization process (or a first planarization process) is performed. In some embodiments, the planarization process is a chemical mechanical polishing process. After the planarization process, the excess dielectric layer 226, the excess nitride layer 224, the excess oxide layer 222, and the excess nitride layer 220 are removed to expose the top surface 205s of the substrate via 205 and the remaining oxide The top surface 222t of layer 222 is shown in FIG. 1A. The remaining oxide layer 222 laterally encapsulates a portion of the base via 205. The remaining dielectric layer 226 laterally encapsulates the second die 200 to separate the second die 200 from another die bonded to the first die 100 (not shown). After the planarization process is performed, the die stack structure 10 is completed. In this case, the top surface 222t of the remaining oxide layer 222 may be referred to as the back side 200b of the second die 200. After the planarization process is performed, the back side 200b of the second die 200, the top surface 205s of the base via 205, and the top surface 226t of the remaining dielectric layer 226 are at substantially the same level. In this article, when elements are described as "at substantially the same level", these elements are formed in the same layer at substantially the same height, or embedded in the same layer The same location. In some embodiments, elements at substantially the same level are formed using the same material and using the same process steps. In some embodiments, the tops of the elements at substantially the same level are substantially coplanar. For example, as shown in FIG. 1A, the back side 200b of the second die 200, the top surface 205s of the base via 205, and the top surface 226t of the remaining dielectric layer 226 are substantially coplanar.

應注意,在進行平坦化製程(或稱為第一平坦化製程)或薄化製程之後,在第二晶粒200的後側200b中形成凹槽R1。凹槽R1可以是各種缺陷,例如裂縫(cracks)、尖銳形態(sharp morphology)、隆起(bulge)等。形成凹槽R1是因為一些不期望的顆粒可能會落在待研磨表面上,然後進行平坦化製程或薄化製程會損壞第二晶粒200的後側200b。如圖1A所示,凹槽R1沿著從第二晶粒200的後側200b朝混合接合結構250的方向D1延伸。凹槽R1使第二晶粒200的基底穿孔205b凹陷,以使得基底穿孔205b的頂表面205s’低於基底穿孔205a的頂表面205s。另外,基底穿孔205b的頂表面205s’具有不平坦表面或尖銳形態。相比之下,沒有受到缺陷的損壞的基底穿孔205a的頂表面205s具有光滑的表面或平整的表面。It should be noted that after a planarization process (or referred to as a first planarization process) or a thinning process, a groove R1 is formed in the back side 200b of the second die 200. The groove R1 may be various defects, such as cracks, sharp morphology, bulge, and the like. The groove R1 is formed because some undesired particles may fall on the surface to be polished, and then performing a planarization process or a thinning process may damage the back side 200b of the second die 200. As shown in FIG. 1A, the groove R1 extends in the direction D1 from the rear side 200 b of the second die 200 toward the hybrid bonding structure 250. The groove R1 recesses the base through hole 205b of the second die 200 so that the top surface 205s' of the base through hole 205b is lower than the top surface 205s of the base through hole 205a. In addition, the top surface 205s' of the base perforation 205b has an uneven surface or a sharp shape. In contrast, the top surface 205s of the substrate perforation 205a that is not damaged by defects has a smooth surface or a flat surface.

參照圖1B,在進行平坦化製程之後,在晶粒堆疊結構10之上形成共形層305。共形層305共形地覆蓋第二晶粒200的後側200b、基底穿孔205的頂表面205s及剩餘介電層226的頂表面226t。另外,共形層305也共形地且完全地覆蓋凹槽R1的表面(或基底穿孔205b的頂表面205s’),以防止在後續的圖案化期間出現電漿體蝕刻電弧放電(plasma etching arcing)。在一些實施例中,當檢測到凹槽R1時,可形成共形層305來覆蓋凹槽R1的表面。在一些替代實施例中,當凹槽R1太輕微而不能被檢測到時,仍可形成共形層305來覆蓋凹槽R1的表面。共形層305藉由例如原子層沉積(atomic layer deposition,ALD)製程形成。在一些實施例中,共形層305可包括氧化矽、氮化矽、氮氧化矽或它們的組合。在替代實施例中,共形層305可以是單層結構、雙層結構或多層結構。在其他實施例中,共形層305的厚度為500 Å到3500 Å。在本文中,所謂共形層可視為具有均勻厚度的層,且所述層具有小於50 Å(舉例來說,30 Å~ 50 Å)的厚度差。Referring to FIG. 1B, after the planarization process is performed, a conformal layer 305 is formed on the die stack structure 10. The conformal layer 305 conformally covers the back side 200b of the second die 200, the top surface 205s of the base via 205, and the top surface 226t of the remaining dielectric layer 226. In addition, the conformal layer 305 also conformally and completely covers the surface of the groove R1 (or the top surface 205s' of the substrate perforation 205b) to prevent plasma etching arcing during subsequent patterning ). In some embodiments, when the groove R1 is detected, a conformal layer 305 may be formed to cover the surface of the groove R1. In some alternative embodiments, when the groove R1 is too slight to be detected, a conformal layer 305 may still be formed to cover the surface of the groove R1. The conformal layer 305 is formed by, for example, an atomic layer deposition (ALD) process. In some embodiments, the conformal layer 305 may include silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. In alternative embodiments, the conformal layer 305 may be a single-layer structure, a double-layer structure, or a multi-layer structure. In other embodiments, the thickness of the conformal layer 305 is 500 Å to 3500 Å. Herein, the so-called conformal layer can be regarded as a layer with a uniform thickness, and the layer has a thickness difference of less than 50 Å (for example, 30 Å~50 Å).

另外,在一些實施例中,在形成共形層305之前,在第二晶粒200上形成氮化物層304(例如,氮化矽層)。在一些實施例中,氮化物層304藉由合適的沉積製程(例如化學氣相沉積製程或原子層沉積製程)形成,且氮化物層304的厚度為300 Å到1000 Å。在替代實施例中,共形層305的厚度大於氮化物層304的厚度。在其他實施例中,氮化物層304與共形層305包括相同的材料或不同的材料。In addition, in some embodiments, before the conformal layer 305 is formed, a nitride layer 304 (eg, a silicon nitride layer) is formed on the second die 200. In some embodiments, the nitride layer 304 is formed by a suitable deposition process (eg, chemical vapor deposition process or atomic layer deposition process), and the thickness of the nitride layer 304 is 300 Å to 1000 Å. In alternative embodiments, the thickness of the conformal layer 305 is greater than the thickness of the nitride layer 304. In other embodiments, the nitride layer 304 and the conformal layer 305 include the same material or different materials.

參照圖1C,進行化學氣相沉積製程以在共形層305之上形成填充層306。在一些實施例中,填充層306可包括氧化矽、氮化矽、氮氧化矽、或它們的組合。在其他實施例中,填充層306的厚度為5KÅ到30KÅ。由於共形層305具有比填充層306更好的階梯覆蓋性(step coverage),因此共形層305能夠完全覆蓋具有尖銳形態的凹槽R1的表面。在一些實施例中,共形層305與填充層306具有相同的材料或不同的材料。在一些替代實施例中,填充層306的厚度大於或等於共形層305的厚度。然而,本發明的實施例並不僅限於此。1C, a chemical vapor deposition process is performed to form a filling layer 306 on the conformal layer 305. In some embodiments, the filling layer 306 may include silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. In other embodiments, the thickness of the filling layer 306 is 5KÅ to 30KÅ. Since the conformal layer 305 has better step coverage than the filling layer 306, the conformal layer 305 can completely cover the surface of the groove R1 having a sharp shape. In some embodiments, the conformal layer 305 and the filling layer 306 have the same material or different materials. In some alternative embodiments, the thickness of the filling layer 306 is greater than or equal to the thickness of the conformal layer 305. However, the embodiments of the present invention are not limited to this.

在形成填充層306之後,在填充層306之上形成罩幕圖案307。在一些實施例中,罩幕圖案307包括光阻且藉由合適的製程(例如旋塗及微影製程)形成。在形成罩幕圖案307之後,藉由使用罩幕圖案307作為蝕刻罩幕來進行蝕刻製程,以移除填充層306、共形層305、氮化物層304、介電層226、氮化物層224、氧化物層222及氮化物層220的一些部分,從而形成開口308。如圖1C所示,開口308暴露出第一接合金屬層120。在形成開口308之後,移除罩幕圖案307。After the filling layer 306 is formed, a mask pattern 307 is formed on the filling layer 306. In some embodiments, the mask pattern 307 includes photoresist and is formed by a suitable process (for example, spin coating and lithography process). After the mask pattern 307 is formed, an etching process is performed by using the mask pattern 307 as an etching mask to remove the filling layer 306, the conformal layer 305, the nitride layer 304, the dielectric layer 226, and the nitride layer 224 , Some portions of the oxide layer 222 and the nitride layer 220, thereby forming the opening 308. As shown in FIG. 1C, the opening 308 exposes the first bonding metal layer 120. After the opening 308 is formed, the mask pattern 307 is removed.

參照圖1C及圖1D,形成導電材料(圖中未示出)以填充在開口308中,並使所述導電材料延伸以覆蓋填充層306。進行平坦化製程(或稱為第二平坦化製程),以移除導電材料、填充層306、共形層305及氮化物層304的一些部分並暴露出基底穿孔205的頂表面205s。在進行平坦化製程之後,介電層穿孔(through dielectric via,TDV)310形成在介電層226中,且保護結構300形成在第二晶粒200的後側200b中,如圖1D所示。介電層穿孔310形成在介電層226中,以電連接到第一接合金屬層120及待形成的金屬電路結構400(如圖1E所示)。1C and 1D, a conductive material (not shown) is formed to fill in the opening 308, and the conductive material is extended to cover the filling layer 306. A planarization process (or referred to as a second planarization process) is performed to remove portions of the conductive material, the filling layer 306, the conformal layer 305, and the nitride layer 304 and expose the top surface 205s of the substrate through hole 205. After the planarization process, a through dielectric via (TDV) 310 is formed in the dielectric layer 226, and the protective structure 300 is formed in the back side 200b of the second die 200, as shown in FIG. 1D. A dielectric layer through hole 310 is formed in the dielectric layer 226 to be electrically connected to the first bonding metal layer 120 and the metal circuit structure 400 to be formed (as shown in FIG. 1E ).

參照圖1D,在一些實施例中,保護結構300包括填充在凹槽R1中的氮化物層304、共形層305及填充層306。共形層305形成在氮化物層304與填充層306之間。圖1D所示的保護結構300是三層結構,然而,本發明的實施例並不僅限於此。在其他實施例中,保護結構300可包括單層結構、雙層結構或多層(即,多於三層)結構。舉例來說,保護結構300可由僅共形層305製成,或僅氮化物層304與共形層305製成,或僅共形層305與填充層306製成。在進行平坦化製程之後,保護結構300的頂表面300t、第二晶粒200的後側200b、基底穿孔205的頂表面205s、介電層226的頂表面226t與介電層穿孔310的頂表面310t實質上共面。儘管圖1D中僅示出一個介電層穿孔310,但可形成多於一個介電層穿孔310。Referring to FIG. 1D, in some embodiments, the protection structure 300 includes a nitride layer 304, a conformal layer 305, and a filling layer 306 filled in the groove R1. The conformal layer 305 is formed between the nitride layer 304 and the filling layer 306. The protection structure 300 shown in FIG. 1D is a three-layer structure, however, the embodiments of the present invention are not limited thereto. In other embodiments, the protective structure 300 may include a single-layer structure, a double-layer structure, or a multi-layer (ie, more than three-layer) structure. For example, the protective structure 300 may be made of only the conformal layer 305, or only the nitride layer 304 and the conformal layer 305, or only the conformal layer 305 and the filling layer 306. After the planarization process is performed, the top surface 300t of the protection structure 300, the back side 200b of the second die 200, the top surface 205s of the substrate through hole 205, the top surface 226t of the dielectric layer 226 and the top surface of the dielectric layer through hole 310 310t is substantially coplanar. Although only one dielectric layer via 310 is shown in FIG. 1D, more than one dielectric layer via 310 may be formed.

參照圖1E,以後段(back-end-of-line,BEOL)製程在第二晶粒200的後側200b之上形成金屬電路結構400。在形成金屬電路結構400之後,三維積體電路結構1便已形成。詳細來說,在第二晶粒200的後側200b及介電層226的頂表面226t之上形成介電層402。藉由圖案化製程及合適的沉積製程(例如鍍覆製程)在介電層402中形成金屬特徵404。金屬特徵404電連接到未被保護結構300覆蓋的介電層穿孔310及基底穿孔205a。在一些實施例中,介電層402包括氧化矽、氮氧化矽、氮化矽、低介電常數(低k)材料或它們的組合。在一些替代實施例中,介電層402可以是單層或多層。在一些實施例中,金屬特徵404包括插塞及金屬線。插塞形成在兩條金屬線之間且與所述兩條金屬線連接。金屬特徵404可由鎢(W)、銅(Cu)、銅合金、鋁(Al)、鋁合金或它們的組合製成。Referring to FIG. 1E, a back-end-of-line (BEOL) process forms a metal circuit structure 400 on the back side 200 b of the second die 200. After the metal circuit structure 400 is formed, the three-dimensional integrated circuit structure 1 is formed. In detail, a dielectric layer 402 is formed on the back side 200b of the second die 200 and the top surface 226t of the dielectric layer 226. The metal feature 404 is formed in the dielectric layer 402 through a patterning process and a suitable deposition process (eg, a plating process). The metal feature 404 is electrically connected to the dielectric layer via 310 and the substrate via 205a not covered by the protective structure 300. In some embodiments, the dielectric layer 402 includes silicon oxide, silicon oxynitride, silicon nitride, a low dielectric constant (low-k) material, or a combination thereof. In some alternative embodiments, the dielectric layer 402 may be a single layer or multiple layers. In some embodiments, the metal features 404 include plugs and metal wires. The plug is formed between two metal wires and connected to the two metal wires. The metal feature 404 may be made of tungsten (W), copper (Cu), copper alloy, aluminum (Al), aluminum alloy, or a combination thereof.

在形成金屬特徵404之後,形成鈍化層406以覆蓋介電層402並暴露出金屬特徵404的一部分。在一些實施例中,鈍化層406包括氧化矽、氮化矽、苯並環丁烯(BCB)聚合物、聚醯亞胺(PI)、聚苯並惡唑(PBO)或它們的組合且藉由合適的製程(例如旋塗、化學氣相沉積等)形成。在鈍化層406之上形成接合墊408,且接合墊408延伸以覆蓋金屬特徵404。接合墊408的材料不同於第一金屬特徵404的材料。在一些實施例中,接合墊408的材料比第一金屬特徵404的材料軟。在一些實施例中,接合墊408包括金屬材料,例如鋁、銅、鎳、金、銀、鎢或它們的組合。接合墊408可藉由下述方式形成:藉由合適的製程(例如電化學鍍覆製程、化學氣相沉積、原子層沉積(ALD)、物理氣相沉積等)來沉積金屬材料層,然後圖案化金屬材料層。After forming the metal feature 404, a passivation layer 406 is formed to cover the dielectric layer 402 and expose a portion of the metal feature 404. In some embodiments, the passivation layer 406 includes silicon oxide, silicon nitride, benzocyclobutene (BCB) polymer, polyimide (PI), polybenzoxazole (PBO), or a combination thereof and by It is formed by a suitable process (such as spin coating, chemical vapor deposition, etc.). A bonding pad 408 is formed over the passivation layer 406, and the bonding pad 408 extends to cover the metal feature 404. The material of the bonding pad 408 is different from the material of the first metal feature 404. In some embodiments, the material of the bonding pad 408 is softer than the material of the first metal feature 404. In some embodiments, the bonding pad 408 includes a metal material, such as aluminum, copper, nickel, gold, silver, tungsten, or a combination thereof. The bonding pad 408 can be formed by depositing a metal material layer by a suitable process (eg, electrochemical plating process, chemical vapor deposition, atomic layer deposition (ALD), physical vapor deposition, etc.), and then patterning Metallized material layer.

應注意,填充在凹槽R1中的保護結構300設置在第二晶粒200的基底穿孔205b與金屬電路結構400的金屬特徵404之間,以分隔或電隔離第二晶粒200的基底穿孔205b與金屬電路結構400的金屬特徵404。如圖1E所示,保護結構300完全覆蓋第二晶粒200的基底穿孔205b,因此,在金屬電路結構400的圖案化製程期間,具有尖銳形態的基底穿孔205b不會引起電漿體蝕刻電弧放電。也就是說,三維積體電路結構1的可靠性及良率相應地得到改善。另一方面,儘管基底穿孔205b與金屬電路結構400電隔離,但位於基底穿孔205b下方的第二元件層203的訊號還是能夠藉由其他基底穿孔(例如,基底穿孔205a)傳送到金屬電路結構400。儘管圖1E中僅示出兩個基底穿孔205a及205b,但可形成多於兩個基底穿孔205a及205b。也就是說,多於一個保護結構300完全覆蓋多於一個基底穿孔205b。It should be noted that the protection structure 300 filled in the groove R1 is disposed between the base through hole 205b of the second die 200 and the metal feature 404 of the metal circuit structure 400 to separate or electrically isolate the base through hole 205b of the second die 200 Metal feature 404 with metal circuit structure 400. As shown in FIG. 1E, the protection structure 300 completely covers the substrate through holes 205b of the second die 200, therefore, during the patterning process of the metal circuit structure 400, the substrate through holes 205b with a sharp shape will not cause plasma etching arc discharge . That is, the reliability and yield of the three-dimensional integrated circuit structure 1 are improved accordingly. On the other hand, although the substrate via 205b is electrically isolated from the metal circuit structure 400, the signal of the second device layer 203 below the substrate via 205b can still be transmitted to the metal circuit structure 400 through other substrate vias (eg, substrate via 205a) . Although only two substrate perforations 205a and 205b are shown in FIG. 1E, more than two substrate perforations 205a and 205b may be formed. That is, more than one protective structure 300 completely covers more than one base perforation 205b.

圖2是根據第二實施例的三維積體電路結構的剖視圖。2 is a cross-sectional view of a three-dimensional integrated circuit structure according to a second embodiment.

參照圖2,第二實施例的三維積體電路結構2與圖1E所示第一實施例的三維積體電路結構1類似。它們之間的不同之處在於,三維積體電路結構2的保護結構300的數目是多個。保護結構300包括保護結構301及302。如圖2所示,保護結構301及302形成在第二半導體基底202之上且都沿著從第二晶粒200的後側200b朝混合接合結構250的方向D1延伸。保護結構301覆蓋第二晶粒200的基底穿孔205b,而保護結構302不覆蓋第二晶粒200的任何基底穿孔205(包括基底穿孔205a及205b)。具體來說,藉由平坦化製程或薄化製程得到的凹槽R2可形成在沒有形成任何基底穿孔205的區域中。共形層305沉積在凹槽R1及R2二者中以同時形成保護結構301及302。儘管圖1E僅示出兩個保護結構301及302,但可形成多於兩個保護結構301及302。Referring to FIG. 2, the three-dimensional integrated circuit structure 2 of the second embodiment is similar to the three-dimensional integrated circuit structure 1 of the first embodiment shown in FIG. 1E. The difference between them is that the number of the protection structures 300 of the three-dimensional integrated circuit structure 2 is plural. The protection structure 300 includes protection structures 301 and 302. As shown in FIG. 2, the protective structures 301 and 302 are formed on the second semiconductor substrate 202 and both extend in the direction D1 from the rear side 200 b of the second die 200 toward the hybrid bonding structure 250. The protection structure 301 covers the base through holes 205b of the second die 200, while the protection structure 302 does not cover any base through holes 205 (including the base through holes 205a and 205b) of the second die 200. Specifically, the groove R2 obtained by the planarization process or the thinning process may be formed in a region where no substrate through hole 205 is formed. A conformal layer 305 is deposited in both grooves R1 and R2 to form protective structures 301 and 302 at the same time. Although FIG. 1E only shows two protective structures 301 and 302, more than two protective structures 301 and 302 may be formed.

圖3是根據第三實施例的三維積體電路結構的剖視圖。3 is a cross-sectional view of a three-dimensional integrated circuit structure according to a third embodiment.

參照圖3,第三實施例的三維積體電路結構3與圖1E所示第一實施例的三維積體電路結構1類似。它們之間的不同之處在於,三維積體電路結構3包括從第二晶粒200的後側200b延伸到混合接合結構250中的保護結構303。在進行平坦化製程或薄化製程之後,形成凹槽R3。凹槽R3是深的且具有尖銳形態,因此共形層305能夠完全覆蓋凹槽R3及凹槽R1的不平坦及尖銳的表面以防止在金屬電路結構400的圖案化製程期間出現電漿體蝕刻電弧放電。在一些實施例中,保護結構303從第二晶粒200的後側200b延伸到位於第一晶粒100的第一接合結構114與第二晶粒200的第二接合結構214之間的界面15。3, the three-dimensional integrated circuit structure 3 of the third embodiment is similar to the three-dimensional integrated circuit structure 1 of the first embodiment shown in FIG. 1E. The difference between them is that the three-dimensional integrated circuit structure 3 includes a protective structure 303 extending from the rear side 200b of the second die 200 into the hybrid bonding structure 250. After the planarization process or the thinning process, the groove R3 is formed. The groove R3 is deep and has a sharp shape, so the conformal layer 305 can completely cover the uneven and sharp surfaces of the groove R3 and the groove R1 to prevent plasma etching during the patterning process of the metal circuit structure 400 Arc discharge. In some embodiments, the protective structure 303 extends from the back side 200 b of the second die 200 to the interface 15 between the first bonding structure 114 of the first die 100 and the second bonding structure 214 of the second die 200 .

圖4是根據一些實施例的封裝體的剖視圖。4 is a cross-sectional view of a package according to some embodiments.

參照圖4,三維積體電路結構4藉由黏合劑層21安裝在介電層11之上。在一些實施例中,三維積體電路結構4可以是上述三維積體電路結構1、2及3中的一者。三維積體電路結構4包括在第一晶粒100之上平行地排列的多個第二晶粒201a及201b。第二晶粒201a及201b與第一晶粒100面對面接合在一起。第二晶粒201a及201b的數目在本公開中不受限制。Referring to FIG. 4, the three-dimensional integrated circuit structure 4 is mounted on the dielectric layer 11 through the adhesive layer 21. In some embodiments, the three-dimensional integrated circuit structure 4 may be one of the three-dimensional integrated circuit structures 1, 2 and 3 described above. The three-dimensional integrated circuit structure 4 includes a plurality of second dies 201 a and 201 b arranged in parallel on the first die 100. The second die 201a and 201b are joined face-to-face with the first die 100. The number of second crystal grains 201a and 201b is not limited in this disclosure.

在本實施例中,三維積體電路結構4還包括多個連接件18及鈍化層19。連接件18形成在未被鈍化層410覆蓋的接合墊408之上且電連接到未被鈍化層410覆蓋的接合墊408。出於清楚的目的,圖4中未示出接合墊408下方的其他元件,例如圖1E所示的介電層402及金屬特徵404。連接件18包括焊料凸塊、金凸塊、銅凸塊、銅柱、銅支柱等。鈍化層19形成在鈍化層410之上及連接件18旁,以覆蓋連接件18的側壁。In this embodiment, the three-dimensional integrated circuit structure 4 further includes a plurality of connectors 18 and a passivation layer 19. The connector 18 is formed on the bonding pad 408 not covered by the passivation layer 410 and is electrically connected to the bonding pad 408 not covered by the passivation layer 410. For the sake of clarity, other elements under the bonding pad 408 are not shown in FIG. 4, such as the dielectric layer 402 and the metal feature 404 shown in FIG. 1E. The connector 18 includes solder bumps, gold bumps, copper bumps, copper pillars, copper pillars, and the like. The passivation layer 19 is formed on the passivation layer 410 and beside the connector 18 to cover the sidewall of the connector 18.

參照圖4,在三維積體電路結構4旁形成絕緣包封體22,以包封三維積體電路結構4。在絕緣包封體22中形成多個導電柱14,且所述多個導電柱14環繞三維積體電路結構4。在三維積體電路結構4及導電柱14之上形成重佈線層(redistribution layer,RDL)結構23,且所述重佈線層結構23電連接到三維積體電路結構4及導電柱14。在一些實施例中,重佈線層結構23包括交替堆疊的多個聚合物層PM1、PM2、PM3及PM4和多個重佈線層RDL1、RDL2、RDL3及RDL4。聚合物層或重佈線層的數目不受本公開的限制。Referring to FIG. 4, an insulating package 22 is formed beside the three-dimensional integrated circuit structure 4 to encapsulate the three-dimensional integrated circuit structure 4. A plurality of conductive pillars 14 are formed in the insulating envelope 22, and the plurality of conductive pillars 14 surround the three-dimensional integrated circuit structure 4. A redistribution layer (RDL) structure 23 is formed on the three-dimensional integrated circuit structure 4 and the conductive pillar 14, and the redistribution layer structure 23 is electrically connected to the three-dimensional integrated circuit structure 4 and the conductive pillar 14. In some embodiments, the redistribution layer structure 23 includes a plurality of polymer layers PM1, PM2, PM3, and PM4 and a plurality of redistribution layers RDL1, RDL2, RDL3, and RDL4 that are alternately stacked. The number of polymer layers or redistribution layers is not limited by this disclosure.

換句話說,重佈線層RDL1貫穿聚合物層PM1且電連接到三維積體電路結構4的連接件18及導電柱14。重佈線層RDL2貫穿聚合物層PM2且電連接到重佈線層RDL1。重佈線層RDL3貫穿聚合物層PM3且電連接到重佈線層RDL2。重佈線層RDL4貫穿聚合物層PM4且電連接到重佈線層RDL3。在一些實施例中,聚合物層PM1、PM2、PM3及PM4中的每一者包括感光性材料,例如聚苯並惡唑(PBO)、聚醯亞胺(PI)、苯並環丁烯(PCB)、它們的組合等。在一些實施例中,重佈線層RLD1、RDL2、RDL3及RDL4中的每一者包括導電材料。導電材料包括金屬(例如銅、鎳、鈦、它們的組合等),且藉由電鍍製程形成。在一些實施例中,重佈線層RDL1、RDL2、RDL3及RDL4分別包括晶種層(圖中未示出)及形成在晶種層上的金屬層(圖中未示出)。晶種層可以是金屬晶種層,例如銅晶種層。在一些實施例中,晶種層包括第一金屬層(例如鈦層)及位於第一金屬層之上的第二金屬層(例如銅層)。金屬層可以是銅或其他合適的金屬。在一些實施例中,重佈線層RDL1、RDL2、RDL3及RDL4分別包括彼此連接的多個通孔及多條跡線。通孔連接跡線,且跡線分別位於聚合物層PM1、PM2、PM3及PM4上,且分別在聚合物層PM1、PM2、PM3及PM4的頂表面上延伸。In other words, the redistribution layer RDL1 penetrates the polymer layer PM1 and is electrically connected to the connector 18 and the conductive pillar 14 of the three-dimensional integrated circuit structure 4. The rewiring layer RDL2 penetrates the polymer layer PM2 and is electrically connected to the rewiring layer RDL1. The rewiring layer RDL3 penetrates the polymer layer PM3 and is electrically connected to the rewiring layer RDL2. The rewiring layer RDL4 penetrates the polymer layer PM4 and is electrically connected to the rewiring layer RDL3. In some embodiments, each of the polymer layers PM1, PM2, PM3, and PM4 includes a photosensitive material, such as polybenzoxazole (PBO), polyimide (PI), benzocyclobutene ( PCB), their combination, etc. In some embodiments, each of the redistribution layers RLD1, RDL2, RDL3, and RDL4 includes a conductive material. The conductive material includes metals (such as copper, nickel, titanium, combinations thereof, etc.), and is formed by an electroplating process. In some embodiments, the redistribution layers RDL1, RDL2, RDL3, and RDL4 respectively include a seed layer (not shown in the figure) and a metal layer formed on the seed layer (not shown in the figure). The seed layer may be a metal seed layer, such as a copper seed layer. In some embodiments, the seed layer includes a first metal layer (eg, a titanium layer) and a second metal layer (eg, a copper layer) over the first metal layer. The metal layer may be copper or other suitable metals. In some embodiments, the redistribution layers RDL1, RDL2, RDL3, and RDL4 include multiple vias and multiple traces connected to each other, respectively. The vias are connected to traces, and the traces are respectively located on the polymer layers PM1, PM2, PM3, and PM4, and extend on the top surfaces of the polymer layers PM1, PM2, PM3, and PM4, respectively.

在一些實施例中,最頂部的重佈線層RDL4包括RDL4a及RDL4b。重佈線層RDL4a也被稱為用於球安裝的球下金屬(under-ball metallurgy,UBM)層。重佈線層RDL4b可以是用於連接到在後續製程中形成的整合被動元件(integrated passive device,IPD)26的微凸塊。In some embodiments, the topmost redistribution layer RDL4 includes RDL4a and RDL4b. The redistribution layer RDL4a is also referred to as an under-ball metallurgy (UBM) layer for ball mounting. The redistribution layer RDL4b may be a micro-bump for connecting to an integrated passive device (IPD) 26 formed in a subsequent process.

然後,在重佈線層結構23的重佈線層RDL4a之上形成多個連接件24,且所述多個連接件24電連接到重佈線層結構23的重佈線層RDL4a。在一些實施例中,連接件24由具有低電阻率的導電材料(例如Sn、Pb、Ag、Cu、Ni、Bi或它們的合金)製成,且藉由合適的製程(例如蒸鍍、鍍覆、球滴或絲網印刷)形成。整合被動元件26形成在重佈線層結構23的重佈線層RDL4b之上且藉由焊料凸塊28電連接到重佈線層結構23的重佈線層RDL4b。整合被動元件26可以是電容器、電阻器、電感器等、或它們的組合。整合被動元件26的數目並不僅限於圖4所示的數目,而是可根據產品的設計進行調整。底部填充膠層27形成在整合被動元件26與聚合物層PM4之間,且環繞並覆蓋暴露出的RDL4b、焊料凸塊28及整合被動元件26的底表面。Then, a plurality of connectors 24 are formed over the rewiring layer RDL4a of the rewiring layer structure 23, and the plurality of connectors 24 are electrically connected to the rewiring layer RDL4a of the rewiring layer structure 23. In some embodiments, the connector 24 is made of a conductive material with low resistivity (such as Sn, Pb, Ag, Cu, Ni, Bi, or their alloys), and by a suitable manufacturing process (such as evaporation, plating Coating, ball drop or screen printing). The integrated passive element 26 is formed on the rewiring layer RDL4b of the rewiring layer structure 23 and is electrically connected to the rewiring layer RDL4b of the rewiring layer structure 23 by solder bumps 28. The integrated passive element 26 may be a capacitor, resistor, inductor, etc., or a combination thereof. The number of integrated passive elements 26 is not limited to the number shown in FIG. 4 but can be adjusted according to the design of the product. The underfill layer 27 is formed between the integrated passive device 26 and the polymer layer PM4, and surrounds and covers the exposed RDL4b, the solder bump 28, and the bottom surface of the integrated passive device 26.

如圖4所示,然後將介電層11圖案化,使得導電柱14的底表面被介電層11暴露出。在導電端子30分別形成在導電柱14的底表面之上之後,具有雙側端子的整合扇出型封裝體P1便已完成。然後提供另一封裝體P2。在一些實施例中,封裝體P2是例如記憶體元件。封裝體P2堆疊在整合扇出型封裝體P1之上且藉由導電端子30電連接到整合扇出型封裝體P1,從而製成疊層封裝體(PoP)結構。As shown in FIG. 4, the dielectric layer 11 is then patterned so that the bottom surface of the conductive pillar 14 is exposed by the dielectric layer 11. After the conductive terminals 30 are formed on the bottom surfaces of the conductive pillars 14, respectively, the integrated fan-out package P1 with double-sided terminals is completed. Then another package P2 is provided. In some embodiments, the package P2 is, for example, a memory device. The package P2 is stacked on the integrated fan-out package P1 and electrically connected to the integrated fan-out package P1 through the conductive terminals 30 to form a stacked package (PoP) structure.

根據一些實施例,一種三維積體電路(3DIC)結構包括晶粒堆疊結構、金屬電路結構及第一保護結構。所述第一晶粒具有前側及後側,且第二晶粒具有前側及後側。所述第一晶粒的所述前側接合到所述第二晶粒的所述前側。所述第二晶粒包括多個基底穿孔(TSV)。所述金屬電路結構設置在所述第二晶粒的所述後側之上。所述保護結構設置在所述第二晶粒的所述後側內且分隔所述多個基底穿孔中的一者與所述金屬電路結構。According to some embodiments, a three-dimensional integrated circuit (3DIC) structure includes a die stack structure, a metal circuit structure, and a first protection structure. The first die has a front side and a back side, and the second die has a front side and a back side. The front side of the first die is bonded to the front side of the second die. The second die includes a plurality of base vias (TSV). The metal circuit structure is provided on the rear side of the second die. The protection structure is disposed in the rear side of the second die and separates one of the plurality of substrate vias from the metal circuit structure.

在一些實施例中,所述第一保護結構的頂表面與所述第二晶粒的所述後側實質上共面。In some embodiments, the top surface of the first protection structure is substantially coplanar with the back side of the second die.

在一些實施例中,所述第一保護結構包括共形層或複合結構,所述複合結構包括共形層及設置在所述共形層之上的填充層。In some embodiments, the first protective structure includes a conformal layer or a composite structure. The composite structure includes a conformal layer and a filler layer disposed above the conformal layer.

在一些實施例中,所述共形層及所述填充層是由不同的材料形成。In some embodiments, the conformal layer and the filling layer are formed of different materials.

在一些實施例中,所述晶粒堆疊結構還包括設置在所述第一晶粒與所述第二晶粒之間的混合接合結構。In some embodiments, the die stack structure further includes a hybrid bonding structure disposed between the first die and the second die.

在一些實施例中,所述三維積體電路結構還包括設置在所述第二晶粒的所述後側內的第二保護結構,其中所述第一保護結構直接接觸所述多個基底穿孔中的一者,而所述第二保護結構與所述多個基底穿孔間隔開。In some embodiments, the three-dimensional integrated circuit structure further includes a second protection structure disposed in the back side of the second die, wherein the first protection structure directly contacts the plurality of substrate perforations One of the two, and the second protection structure is spaced apart from the plurality of substrate perforations.

在一些實施例中,所述第一保護結構從所述第二晶粒的所述後側延伸到所述混合接合結構內。In some embodiments, the first protective structure extends from the rear side of the second die into the hybrid junction structure.

在一些實施例中,所述多個基底穿孔中的另一者電連接到所述金屬電路結構,且所述第二晶粒的所述多個基底穿孔中的所述一者的頂表面低於所述第二晶粒的所述多個基底穿孔中的所述另一者的頂表面。In some embodiments, the other of the plurality of substrate vias is electrically connected to the metal circuit structure, and the top surface of the one of the plurality of substrate vias of the second die is low The top surface of the other one of the plurality of substrate perforations of the second die.

在一些實施例中,所述三維積體電路結構還包括:介電層以及介電層穿孔(TDV)。介電層在側向上包封所述第二晶粒。介電層穿孔設置在所述介電層中且電連接到所述第一晶粒及所述金屬電路結構。In some embodiments, the three-dimensional integrated circuit structure further includes: a dielectric layer and a dielectric layer via (TDV). The dielectric layer laterally encapsulates the second die. The dielectric layer is perforated in the dielectric layer and electrically connected to the first die and the metal circuit structure.

在一些實施例中,所述介電層的頂表面、所述介電層穿孔的頂表面、所述第一保護結構的所述頂表面及所述第二晶粒的所述後側實質上共面。In some embodiments, the top surface of the dielectric layer, the top surface of the perforated dielectric layer, the top surface of the first protection structure, and the back side of the second die are substantially Coplanar.

在一些實施例中,所述晶粒堆疊結構包括晶圓上晶片(CoW)結構、晶片上晶片結構、晶粒上晶粒結構或它們的組合。In some embodiments, the die stack structure includes a wafer-on-wafer (CoW) structure, a wafer-on-wafer structure, a die-on-die structure, or a combination thereof.

根據一些實施例,一種製造三維積體電路結構的方法包括以下步驟。形成包括面對面接合在一起的第一晶粒與第二晶粒的晶粒堆疊結構。進行第一平坦化製程以在所述第二晶粒的後側暴露出所述第二晶粒的多個基底穿孔(TSV)。所述第二晶粒具有延伸到所述第二晶粒的所述多個基底穿孔中的一者中的凹槽。以共形層的方式將保護結構填入所述第一凹槽中。在所述第二晶粒的所述後側之上形成金屬電路結構,以藉由所述多個基底穿孔中的另一者電連接到所述晶粒堆疊結構。According to some embodiments, a method of manufacturing a three-dimensional integrated circuit structure includes the following steps. A grain stack structure including a first grain and a second grain bonded together face to face is formed. A first planarization process is performed to expose a plurality of substrate vias (TSVs) of the second die on the back side of the second die. The second die has a groove extending into one of the plurality of substrate perforations of the second die. The protective structure is filled into the first groove in the form of a conformal layer. A metal circuit structure is formed on the rear side of the second die to be electrically connected to the die stack structure through another one of the plurality of substrate vias.

在一些實施例中,所述將所述保護結構填入所述第一凹槽中包括:進行原子層沉積(ALD)製程以在所述第二晶粒的所述後側上形成所述共形層,其中所述共形層完全覆蓋所述第一凹槽的表面;進行化學氣相沉積(CVD)製程以在所述共形層之上形成填充層;以及進行第二平坦化製程,以暴露出所述第二晶粒的所述多個基底穿孔中的所述另一者。In some embodiments, the filling the protection structure into the first groove includes performing an atomic layer deposition (ALD) process to form the common on the rear side of the second grain A conformal layer, wherein the conformal layer completely covers the surface of the first groove; performing a chemical vapor deposition (CVD) process to form a filling layer above the conformal layer; and performing a second planarization process, To expose the other one of the plurality of substrate perforations of the second die.

在一些實施例中,所述形成所述晶粒堆疊結構包括:提供所述第一晶粒及所述第二晶粒;以及在所述第一晶粒與所述第二晶粒之間形成混合接合結構,以接合所述第一晶粒與所述第二晶粒。In some embodiments, the forming the die stack structure includes: providing the first die and the second die; and forming between the first die and the second die A hybrid bonding structure to bond the first die and the second die.

在一些實施例中,在進行所述第一平坦化製程之後所述第二晶粒的所述後側包括第二凹槽,所述第一凹槽及所述第二凹槽沿著從所述第二晶粒的所述後側朝所述混合接合結構的方向延伸,且所述第二凹槽與所述第二晶粒的所述多個基底穿孔間隔開。In some embodiments, after performing the first planarization process, the back side of the second die includes a second groove, the first groove and the second groove The rear side of the second die extends toward the hybrid bonding structure, and the second groove is spaced apart from the plurality of substrate perforations of the second die.

在一些實施例中,在進行所述第一平坦化製程後,所述第一凹槽從所述第二晶粒的所述後側延伸到所述混合接合結構中。In some embodiments, after performing the first planarization process, the first groove extends from the rear side of the second die into the hybrid junction structure.

在一些實施例中,在進行所述第一平坦化製程後,所述第二晶粒的所述多個基底穿孔中的所述一者的頂表面低於所述第二晶粒的所述多個基底穿孔中的所述另一者的頂表面。In some embodiments, after the first planarization process is performed, the top surface of the one of the plurality of substrate vias of the second die is lower than that of the second die The top surface of the other one of the plurality of substrate perforations.

在一些實施例中,在進行所述第二平坦化製程後,所述保護結構的頂表面與所述第二晶粒的所述後側實質上共面。In some embodiments, after the second planarization process is performed, the top surface of the protection structure and the back side of the second die are substantially coplanar.

根據一些實施例,一種封裝體包括三維積體電路結構、絕緣包封體、重佈線層(RDL)結構及多個連接件。所述三維積體電路結構包括晶粒堆疊結構、金屬電路結構及位於所述晶粒堆疊結構與所述金屬電路結構之間的保護結構。所述金屬電路結構藉由所述晶粒堆疊結構的多個基底穿孔(TSV)中的一者電連接到所述晶粒堆疊結構。所述保護結構分隔及電隔離所述晶粒堆疊結構的基底穿孔中的另一者與所述金屬電路結構。所述絕緣包封體在側向上包封所述三維積體電路結構。所述重佈線層結構設置在所述三維積體電路結構及所述絕緣包封體之上。所述多個連接件設置在所述三維積體電路結構之上且藉由所述重佈線層結構電連接到所述三維積體電路結構。According to some embodiments, a package includes a three-dimensional integrated circuit structure, an insulating encapsulation body, a redistribution layer (RDL) structure, and multiple connectors. The three-dimensional integrated circuit structure includes a die stack structure, a metal circuit structure, and a protection structure between the die stack structure and the metal circuit structure. The metal circuit structure is electrically connected to the die stack structure through one of a plurality of substrate vias (TSVs) of the die stack structure. The protection structure separates and electrically isolates the other of the substrate vias of the die stack structure from the metal circuit structure. The insulating encapsulation encapsulates the three-dimensional integrated circuit structure laterally. The redistribution layer structure is provided on the three-dimensional integrated circuit structure and the insulating package. The plurality of connectors are provided on the three-dimensional integrated circuit structure and electrically connected to the three-dimensional integrated circuit structure through the redistribution layer structure.

在一些實施例中,所述晶粒堆疊結構包括第一晶粒及在所述第一晶粒之上平行地排列的多個第二晶粒。In some embodiments, the die stack structure includes a first die and a plurality of second die arranged in parallel above the first die.

以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明的各個方面。所屬領域中的技術人員應知,其可容易地使用本發明作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、代替及變更。The above summarizes the features of several embodiments so that those skilled in the art may better understand the various aspects of the present invention. Those skilled in the art should understand that they can easily use the present invention as a basis for designing or modifying other processes and structures to perform the same purposes and/or achieve the implementations as described in the embodiments described herein. Examples have the same advantages. Those skilled in the art should also realize that these equivalent constructions do not depart from the spirit and scope of the present invention, and they can make various changes, substitutions, and alterations to them without departing from the spirit and scope of the present invention.

1、2、3、4‧‧‧三維積體電路結構10‧‧‧晶粒堆疊結構11、226、402‧‧‧介電層14‧‧‧導電柱15‧‧‧界面18‧‧‧連接件19、410、406‧‧‧鈍化層21‧‧‧黏合劑層22‧‧‧絕緣包封體23‧‧‧重佈線層結構24‧‧‧連接件26‧‧‧整合被動元件27‧‧‧底部填充膠層28‧‧‧焊料凸塊30‧‧‧導電端子100‧‧‧第一晶粒100a‧‧‧前側100b‧‧‧後側102‧‧‧第一半導體基底103‧‧‧第一元件層104‧‧‧第一內連結構106‧‧‧第一絕緣材料108‧‧‧第一金屬特徵108a、108b‧‧‧頂部金屬特徵110‧‧‧第一鈍化層114‧‧‧第一接合結構116‧‧‧第一接合介電層118、120‧‧‧第一接合金屬層118a、120a、218a‧‧‧通孔插塞118b、120b、218b、404‧‧‧金屬特徵200、201a、201a‧‧‧第二晶粒200a‧‧‧第二晶粒的前側200b‧‧‧第二晶粒的後側202‧‧‧第二半導體基底202b‧‧‧後表面203‧‧‧第二元件層204‧‧‧第二內連結構205、205a、205b‧‧‧基底穿孔205s、205s’、222t、226t、300t、310t‧‧‧頂表面208‧‧‧第二金屬特徵210‧‧‧第二鈍化層214‧‧‧第二接合結構216‧‧‧第二接合介電層218‧‧‧第二接合金屬層220、224、304‧‧‧氮化物層222‧‧‧氧化物層250‧‧‧混合接合結構300、301、302、303‧‧‧保護結構305‧‧‧共形層306‧‧‧填充層307‧‧‧罩幕圖案308‧‧‧開口310‧‧‧介電層穿孔400‧‧‧金屬電路結構408‧‧‧接合墊D1‧‧‧方向P1‧‧‧整合扇出型封裝體P2‧‧‧封裝體PM1、PM2、PM3、PM4‧‧‧聚合物層R1、R2‧‧‧凹槽RDL1、RDL2、RDL3、RDL4、RDL4a、RDL4b‧‧‧重佈線層1, 2, 3, 4‧‧‧‧Three-dimensional integrated circuit structure 10‧‧‧ die stacking structure 11,226,402‧‧‧‧dielectric layer 14‧‧‧conducting column 15‧‧‧interface 18‧‧‧ connection Parts 19, 410, 406‧‧‧ Passivation layer 21‧‧‧ Adhesive layer 22‧‧‧‧Insulation encapsulant 23‧‧‧Rewiring layer structure 24‧‧‧Connector 26‧‧‧ Integrated passive element 27‧‧ ‧Bottom filling adhesive layer 28‧‧‧Solder bump 30‧‧‧Conductive terminal 100‧‧‧First die 100a‧‧‧Front side 100b‧Back side 102‧‧‧First semiconductor substrate 103‧‧‧ One element layer 104‧‧‧ First interconnect structure 106‧‧‧ First insulating material 108‧‧‧ First metal feature 108a, 108b‧‧‧ Top metal feature 110‧‧‧ First passivation layer 114‧‧‧ A bonding structure 116‧‧‧ first bonding dielectric layer 118, 120‧‧‧ first bonding metal layer 118a, 120a, 218a‧‧‧ through hole plug 118b, 120b, 218b, 404‧‧‧ metal feature 200, 201a, 201a ‧‧‧ second die 200a ‧‧‧ front side of second die 200b ‧‧‧ rear side of second die 202 ‧‧‧ second semiconductor substrate 202b ‧‧‧ rear surface 203 Second element layer 204‧‧‧Second interconnect structure 205, 205a, 205b ‧‧‧ base perforation 205s, 205s', 222t, 226t, 300t, 310t ‧‧‧ top surface 208‧‧‧ second metal feature 210‧‧ ‧Second passivation layer 214‧‧‧Second junction structure 216‧‧‧Second junction dielectric layer 218‧‧‧‧Second junction metal layer 220, 224, 304‧‧‧‧Nitride layer 222‧‧‧ Oxide layer 250‧‧‧ Hybrid junction structure 300, 301, 302, 303‧‧‧ Protective structure 305‧‧‧ Conformal layer 306‧‧‧ Fill layer 307‧‧‧ Cover pattern 308‧‧‧ Opening 310‧‧‧ Dielectric Layer perforation 400 ‧‧‧Metal circuit structure 408‧‧‧ Bonding pad D1‧‧‧ Direction P1‧‧‧Integrated fan-out package P2‧‧‧Package PM1, PM2, PM3, PM4 , R2‧‧‧Rough RDL1, RDL2, RDL3, RDL4, RDL4a, RDL4b‧‧‧‧ Redistribution layer

結合附圖閱讀以下詳細說明,會最好地理解本發明的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1A到圖1E是根據第一實施例的形成三維積體電路(3DIC)結構的方法的剖視圖。 圖2是根據第二實施例的三維積體電路結構的剖視圖。 圖3是根據第三實施例的三維積體電路結構的剖視圖。 圖4是根據一些實施例的封裝體的剖視圖。Reading the following detailed description in conjunction with the accompanying drawings will best understand the various aspects of the present invention. It should be noted that according to standard practices in the industry, various features are not drawn to scale. In fact, for clarity of discussion, the size of various features can be arbitrarily increased or decreased. 1A to 1E are cross-sectional views of a method of forming a three-dimensional integrated circuit (3DIC) structure according to the first embodiment. 2 is a cross-sectional view of a three-dimensional integrated circuit structure according to a second embodiment. 3 is a cross-sectional view of a three-dimensional integrated circuit structure according to a third embodiment. 4 is a cross-sectional view of a package according to some embodiments.

1‧‧‧三維積體電路結構 1‧‧‧Three-dimensional integrated circuit structure

10‧‧‧晶粒堆疊結構 10‧‧‧ Die stack structure

100‧‧‧第一晶粒 100‧‧‧First grain

114‧‧‧第一接合結構 114‧‧‧The first joint structure

200‧‧‧第二晶粒 200‧‧‧Second grain

200b‧‧‧第二晶粒的後側 200b‧‧‧ Rear side of the second die

205、205a、205b‧‧‧基底穿孔 205, 205a, 205b

214‧‧‧第二接合結構 214‧‧‧Second joint structure

226、402‧‧‧介電層 226, 402‧‧‧ dielectric layer

226t‧‧‧頂表面 226t‧‧‧Top surface

250‧‧‧混合接合結構 250‧‧‧ Hybrid joint structure

300‧‧‧保護結構 300‧‧‧Protection structure

310‧‧‧介電層穿孔 310‧‧‧Perforation of dielectric layer

400‧‧‧金屬電路結構 400‧‧‧Metal circuit structure

404‧‧‧金屬特徵 404‧‧‧Metal features

406‧‧‧鈍化層 406‧‧‧ Passivation layer

408‧‧‧接合墊 408‧‧‧joint pad

R1‧‧‧凹槽 R1‧‧‧groove

Claims (1)

一種三維積體電路結構,包括: 晶粒堆疊結構,包括第一晶粒及第二晶粒,所述第一晶粒具有前側及後側,所述第二晶粒具有前側及後側,所述第一晶粒的所述前側接合到所述第二晶粒的所述前側,所述第二晶粒包括多個基底穿孔; 金屬電路結構,設置在所述第二晶粒的所述後側之上;以及 第一保護結構,設置在所述第二晶粒的所述後側內且分隔所述第二晶粒的所述多個基底穿孔中的一者與所述金屬電路結構。A three-dimensional integrated circuit structure includes: a die stacking structure including a first die and a second die, the first die has a front side and a back side, and the second die has a front side and a back side, so The front side of the first die is bonded to the front side of the second die, the second die includes a plurality of base perforations; a metal circuit structure is disposed behind the second die On the side; and a first protective structure disposed in the rear side of the second die and separating one of the plurality of substrate vias of the second die from the metal circuit structure.
TW107132252A 2018-06-25 2018-09-13 Three dimensional integrated circuit (3dic) structure and method of fabricating the same TWI760561B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/016,670 2018-06-25
US16/016,670 US10504873B1 (en) 2018-06-25 2018-06-25 3DIC structure with protective structure and method of fabricating the same and package

Publications (2)

Publication Number Publication Date
TW202002188A true TW202002188A (en) 2020-01-01
TWI760561B TWI760561B (en) 2022-04-11

Family

ID=68766079

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107132252A TWI760561B (en) 2018-06-25 2018-09-13 Three dimensional integrated circuit (3dic) structure and method of fabricating the same

Country Status (3)

Country Link
US (3) US10504873B1 (en)
CN (1) CN110648995A (en)
TW (1) TWI760561B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769075B (en) * 2020-09-03 2022-06-21 台灣積體電路製造股份有限公司 Integrated circuit and method for forming an integrated circuit
TWI817821B (en) * 2022-08-24 2023-10-01 美商達爾科技股份有限公司 Electronic device package and method of manufacturing the same

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355404B2 (en) * 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11264343B2 (en) * 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11715755B2 (en) * 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11817392B2 (en) * 2020-09-28 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
US11862609B2 (en) * 2021-03-18 2024-01-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including fuse structure and methods for forming the same
US11676943B2 (en) * 2021-04-23 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US20220352046A1 (en) * 2021-04-28 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4248928B2 (en) * 2003-05-13 2009-04-02 ローム株式会社 Semiconductor chip manufacturing method, semiconductor device manufacturing method, semiconductor chip, and semiconductor device
US6940181B2 (en) * 2003-10-21 2005-09-06 Micron Technology, Inc. Thinned, strengthened semiconductor substrates and packages including same
US8049310B2 (en) * 2008-04-01 2011-11-01 Qimonda Ag Semiconductor device with an interconnect element and method for manufacture
US8368228B2 (en) * 2009-10-19 2013-02-05 Jeng-Jye Shau Area efficient through-hole connections
US8232137B2 (en) * 2009-12-10 2012-07-31 Intersil Americas Inc. Heat conduction for chip stacks and 3-D circuits
US20110198609A1 (en) * 2010-02-12 2011-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Light-Emitting Devices with Through-Substrate Via Connections
US8822281B2 (en) * 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
KR101677507B1 (en) * 2010-09-07 2016-11-21 삼성전자주식회사 Method of manufacturing semiconductor devices
KR101719636B1 (en) * 2011-01-28 2017-04-05 삼성전자 주식회사 Semiconductor device and fabricating method thereof
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9059097B2 (en) * 2012-08-09 2015-06-16 International Business Machines Corporation Inhibiting propagation of imperfections in semiconductor devices
KR101972969B1 (en) * 2012-08-20 2019-04-29 에스케이하이닉스 주식회사 Semiconductor device and method for fabricating the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
WO2014181766A1 (en) * 2013-05-07 2014-11-13 ピーエスフォー ルクスコ エスエイアールエル Semiconductor device and semiconductor device manufacturing method
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9653341B2 (en) * 2014-03-05 2017-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9425096B2 (en) * 2014-07-14 2016-08-23 Qualcomm Incorporated Air gap between tungsten metal lines for interconnects with reduced RC delay
US9922956B2 (en) * 2014-09-26 2018-03-20 Qualcomm Incorporated Microelectromechanical system (MEMS) bond release structure and method of wafer transfer for three-dimensional integrated circuit (3D IC) integration
US9252080B1 (en) * 2014-10-15 2016-02-02 Globalfoundries Inc. Dielectric cover for a through silicon via
US9869713B2 (en) * 2015-03-05 2018-01-16 Qualcomm Incorporated Through-silicon via (TSV) crack sensors for detecting TSV cracks in three-dimensional (3D) integrated circuits (ICs) (3DICs), and related methods and systems
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
KR20170011366A (en) * 2015-07-22 2017-02-02 삼성전자주식회사 Semiconductor chip and semiconductor package having the same
US9786839B2 (en) * 2015-07-23 2017-10-10 Globalfoundries Singapore Pte. Ltd. 3D MRAM with through silicon vias or through silicon trenches magnetic shielding
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9773737B2 (en) * 2015-11-23 2017-09-26 International Business Machines Corporation Advanced metallization for damage repair
US9627365B1 (en) * 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-layer CoWoS structure
CN107305861B (en) * 2016-04-25 2019-09-03 晟碟信息科技(上海)有限公司 Semiconductor device and its manufacturing method
AU2017264964B2 (en) * 2016-05-13 2021-11-25 MSI Coatings Inc. System and method for using a VOC free low radiant flux LED UV curable composition
US10037981B2 (en) * 2016-05-18 2018-07-31 Globalfoundries Inc. Integrated display system with multi-color light emitting diodes (LEDs)
US10157885B2 (en) * 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769075B (en) * 2020-09-03 2022-06-21 台灣積體電路製造股份有限公司 Integrated circuit and method for forming an integrated circuit
US11658158B2 (en) 2020-09-03 2023-05-23 Taiwan Semiconductor Manufacturing Company Ltd. Die to die interface circuit
TWI817821B (en) * 2022-08-24 2023-10-01 美商達爾科技股份有限公司 Electronic device package and method of manufacturing the same

Also Published As

Publication number Publication date
US20210074681A1 (en) 2021-03-11
TWI760561B (en) 2022-04-11
US11532598B2 (en) 2022-12-20
US20200098731A1 (en) 2020-03-26
CN110648995A (en) 2020-01-03
US10867968B2 (en) 2020-12-15
US20190393194A1 (en) 2019-12-26
US10504873B1 (en) 2019-12-10

Similar Documents

Publication Publication Date Title
US20220165711A1 (en) Method of manufacturing die stack structure
US11942408B2 (en) Semiconductor structure and manufacturing method thereof
TWI714403B (en) Semiconductor structure and manufacturing method thereof
TWI760561B (en) Three dimensional integrated circuit (3dic) structure and method of fabricating the same
US11742297B2 (en) Semiconductor packages
US11670621B2 (en) Die stack structure
US20210398973A1 (en) Methods of forming semiconductor structure
TWI721564B (en) Semiconductor structure and method of fabricating the same
US11239225B2 (en) Three-dimensional integrated circuit structures and methods of manufacturing the same
TW202301621A (en) First die
TW202238911A (en) Semiconductor package
TW202406084A (en) Semiconductor package and method of forming the same
CN112151529A (en) Semiconductor package