TW201945841A - 度量衡方法及相關聯裝置 - Google Patents

度量衡方法及相關聯裝置 Download PDF

Info

Publication number
TW201945841A
TW201945841A TW108109060A TW108109060A TW201945841A TW 201945841 A TW201945841 A TW 201945841A TW 108109060 A TW108109060 A TW 108109060A TW 108109060 A TW108109060 A TW 108109060A TW 201945841 A TW201945841 A TW 201945841A
Authority
TW
Taiwan
Prior art keywords
image
contour
edge
line
substrate
Prior art date
Application number
TW108109060A
Other languages
English (en)
Other versions
TWI758592B (zh
Inventor
湯瑪士 賈力克 休斯曼
魯邦 康納利斯 瑪斯
赫曼紐斯 艾德里亞諾斯 狄倫
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201945841A publication Critical patent/TW201945841A/zh
Application granted granted Critical
Publication of TWI758592B publication Critical patent/TWI758592B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01QSCANNING-PROBE TECHNIQUES OR APPARATUS; APPLICATIONS OF SCANNING-PROBE TECHNIQUES, e.g. SCANNING PROBE MICROSCOPY [SPM]
    • G01Q30/00Auxiliary means serving to assist or improve the scanning probe techniques or apparatus, e.g. display or data processing devices
    • G01Q30/02Non-SPM analysing devices, e.g. SEM [Scanning Electron Microscope], spectrometer or optical microscope
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/04Measuring microscopes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/12Edge-based segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20112Image segmentation details
    • G06T2207/20168Radial search
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Radiology & Medical Imaging (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

本發明揭示一種用於判定與包含於包含雜訊之諸如一掃描電子顯微鏡影像的一影像內之一特徵之一邊緣相關之一邊緣位置的方法及相關聯裝置。該方法包含自該影像判定一參考信號;及相對於該參考信號判定該邊緣位置。藉由在平行於估計該邊緣位置之一初始輪廓之一方向上將一一維低通濾波器應用於該影像,可自該影像判定該參考信號。

Description

度量衡方法及相關聯裝置
本發明係關於用於在微影程序中將圖案施加至基板之方法及裝置,以及其量測。
微影裝置係將所要之圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於例如積體電路(integrated circuits,IC)之製造中。在彼個例中,圖案化器件(其替代地被稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上之電路圖案。此圖案可轉印至基板(例如矽晶圓)上之目標部分(例如包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影裝置包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻射每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束掃描圖案同時平行或反平行於此方向同步地掃描基板來輻射每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。
為了監視微影程序,量測經圖案化基板之參數。舉例而言,參數可包括形成於經圖案化基板中或上之順次層之間的疊對誤差及經顯影之感光抗蝕劑之臨界線寬或臨界尺寸(critical dimension,CD)。可對產品基板及/或在專用度量衡目標執行此量測。存在用於量測在微影程序中形成之顯微結構之各種技術,包括使用掃描電子顯微鏡及各種專門工具。
在執行諸如施加圖案至基板上或量測此圖案之微影程序時,使用程序控制方法以監視及控制該程序。通常執行此類程序控制技術以獲得對該微影程序之控制之校正。將需要改良此類程序控制方法。
在本發明之第一態樣中,提供一種判定與包含於包含雜訊之影像中之特徵之邊緣相關之邊緣位置的方法;該方法包含:自該影像判定參考信號;及相對於該參考信號判定該邊緣位置。
在本發明之第二態樣中,提供一種計算裝置,其包含處理器且經組態以執行第一態樣之方法。
在本發明之第三態樣中,提供一種掃描電子顯微檢測裝置,其可操作以將複數個特徵成像於基板上,且包含第二態樣之計算裝置。
在本發明之第四態樣中,提供一種包含程式指令之電腦程式,其可操作以在於合適裝置上運行時執行第一態樣之方法。
下文參考隨附圖式來詳細地描述本發明之另外態樣、特徵及優點、以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文所描述之特定實施例。本文中僅出於說明性目的而呈現此類實施例。基於本文中所含有之教示,額外實施例對於熟習相關技術者將顯而易見。
在詳細地描述本發明之實施例之前,有指導性的是呈現可供實施本發明之實施例之實例環境。
圖1在200處展示作為實施大容量微影製造程序之工業生產設施之部分的微影裝置LA。在本實例中,製造程序適用於在諸如半導體晶圓之基板上製造半導體產品(積體電路)。熟習此項技術者將瞭解,可藉由以此程序之變化形式處理不同類型之基板來製造各種產品。半導體產品之生產僅僅用作現今具有重大商業意義之實例。
在微影裝置(或簡言之,「微影工具(litho tool)」200)內,量測站MEA展示於202處且曝光站EXP展示於204處。控制單元LACU展示於206處。在此實例中,每一基板訪問量測站及曝光站以施加圖案。舉例而言,在光學微影裝置中,投影系統用以使用經調節之輻射及投影系統將產品圖案自圖案化器件MA轉印至基板上。此係藉由在輻射敏感抗蝕劑材料層中形成圖案之影像來完成。
本文中所使用之術語「投影系統」應被廣泛地解釋為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素之任何類型的投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。圖案化MA器件可為將圖案賦予至由圖案化器件透射或反射之輻射光束之光罩或倍縮光罩。熟知操作模式包括步進模式及掃描模式。眾所周知,投影系統可以多種方式與用於基板及圖案化器件之支撐件及定位系統合作,以將所要之圖案施加至橫越基板之許多目標部分。可使用可程式化圖案化器件來代替具有固定圖案之倍縮光罩。舉例而言,輻射可包括在深紫外線(deep ultraviolet,DUV)波帶或極紫外線(extreme ultraviolet,EUV)波帶中之電磁輻射。本發明亦適用於其他類型之微影程序,例如壓印微影及直寫微影,例如藉由電子束。
微影裝置控制單元LACU控制各種致動器及感測器之所有移動及量測以接收基板W及倍縮光罩MA且實施圖案化操作。LACU亦包括信號處理及資料處理能力以實施與裝置之操作相關的所要計算。實務上,控制單元LACU將實現為許多子單元之系統,每一子單元處置裝置內之子系統或組件之即時資料獲取、處理及控制。
在於曝光站EXP處將圖案施加至基板之前,在量測站MEA處處理基板,使得可進行各種預備步驟。該等預備步驟可包括:使用位階感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記之位置。對準標記係以規則網格圖案標稱地設置。然而,歸因於產生標記之不準確度且亦歸因於貫穿其處理發生之基板之變形,標記偏離理想網格。因此,若裝置將以極高準確度在正確部位處印刷產品特徵,則除了量測基板之位置及定向以外,對準感測器實務上必須詳細地量測橫越基板區域之許多標記之位置。裝置可為具有兩個基板台之所謂的雙載物台類型,每一基板台具有藉由控制單元LACU控制之定位系統。當在曝光站EXP處曝光一個基板台上之一個基板時,可在量測站MEA處將另一基板裝載至另一基板台上,使得可進行各種預備步驟。因此,對準標記之量測極耗時且提供兩個基板台會使裝置之產出率大量增加。若在量測站處以及曝光站處時位置感測器IF不能夠量測基板台之位置,則可提供第二位置感測器以實現在兩個站處追蹤基板台之位置。微影裝置LA可例如係所謂的雙載物台類型,其具有兩個基板台以及兩個站-曝光站及量測站-可在該兩個站之間交換該等基板台。
在生產設施內,裝置200形成「微影製造單元(litho cell)」或「微影叢集(litho cluster)」之部分,其亦含有用於將感光抗蝕劑及其他塗層施加至基板W以藉由裝置200圖案化之塗佈裝置208。在裝置200之輸出側處,提供烘烤裝置210及顯影裝置212以將經曝光之圖案顯影至實體抗蝕劑圖案中。在所有此等裝置之間,基板處置系統負責支撐基板且將基板自一台裝置轉移至下一台裝置。通常被統稱為塗佈顯影系統之此等裝置係在塗佈顯影系統控制單元之控制下,塗佈顯影系統控制單元自身受監督控制系統SCS控制,該監督控制系統SCS亦經由微影裝置控制單元LACU控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。監督控制系統SCS接收配方資訊R,其極詳細地提供待執行之步驟之定義以產生每一經圖案化基板。
一旦已在微影製造單元中施加並顯影圖案,就將經圖案化基板220轉移至諸如在222、224、226處說明之其他處理裝置。廣泛範圍的處理步驟係藉由在典型製造設施中之各種裝置予以實施。出於實例起見,此實施例中之裝置222係蝕刻站,且裝置224執行蝕刻後退火步驟。將其他物理及/或化學處理步驟應用於其他裝置226等等。可能需要眾多類型之操作以製作實際器件,諸如材料之沈積、表面材料特性之改質(氧化、摻雜、離子植入等等)、化學機械拋光(chemical-mechanical polishing,CMP)等等。實務上,裝置226可表示在一或多個裝置中執行之一系列不同處理步驟。作為另一實例,可提供用於實施自對準多重圖案化之裝置及處理步驟,以基於藉由微影裝置敷設之前驅圖案而生產多個較小特徵。
眾所周知,半導體器件之製造涉及此類處理之許多重複,以在基板上逐層地建置具有適當材料及圖案之器件結構。因此,到達微影叢集之基板230可係新製備之基板,或其可係先前已在此叢集中或另一裝置中完全地被處理之基板。相似地,視所需之處理而定,在留下裝置226上之基板232可經恢復以用於同一微影叢集中之後續圖案化操作,其可經指定以用於不同叢集中之圖案化操作,或其可係用於切割及封裝之待發送之成品。
產品結構之每一層需要一組不同的程序步驟,且用於每一層處之裝置226可在類型方面完全不同。另外,即使待由裝置226應用之處理步驟在大型設施中標稱地相同,亦可存在若干個並行地工作以對不同基板執行步驟226之假設相同之機器。在此等機器之間的設定或故障之小差異可意謂其以不同方式影響不同基板。即使諸如蝕刻(裝置222)之對每一層相對共同之步驟,亦可藉由標稱地相同但並行地工作以最大化產出率之若干個蝕刻裝置實施。此外,實務上,根據待蝕刻之材料之細節,不同層需要不同的蝕刻程序(例如化學蝕刻、電漿蝕刻)且存在諸如各向異性蝕刻之特定要求。
如剛才所提及,可在其他微影裝置中執行先前及/或後續程序,且可甚至在不同類型之微影裝置中執行先前及/或後續程序。舉例而言,在器件製造程序中之在諸如解析度及疊對之參數上要求極高的一些層相比於要求較不高之其他層可在更進階之微影工具中執行。因此,一些層可曝光於浸潤型微影工具中,而其他層曝光於「乾式」工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層係使用EUV波長輻射來曝光。
為了正確地且一致地曝光由微影裝置曝光之基板,需要檢測經曝光之基板以量測性質,諸如在後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等。因此,微影製造單元LC所處之製造設施亦包括接收已在微影製造單元中處理之基板W中之一些或所有的度量衡系統。將度量衡結果直接地或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光進行調整,尤其在可足夠迅速且快速地完成度量衡以使得同一批次之其他基板仍待曝光之情況下。而且,可剝離及重工已經曝光之基板以改善良率,或捨棄已經曝光之基板,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷之狀況下,可僅對良好的彼等目標部分執行進一步曝光。
亦展示於圖1的係度量衡裝置240,其經提供以用於在製造程序中之所要階段對產品之參數進行量測。現代微影生產設施中之度量衡站之常見實例係散射計(例如暗場散射計、角解析散射計或光譜散射計),且其可應用以在裝置222中之蝕刻之前量測在220處之經顯影之基板之性質。在使用度量衡裝置240之情況下,例如,可判定出諸如疊對或臨界尺寸(CD)之重要效能參數並不滿足經顯影之抗蝕劑中之指定準確度要求。在蝕刻步驟之前,有機會經由微影叢集剝離經顯影之抗蝕劑且重新處理基板220。藉由監督控制系統SCS及/或控制單元LACU 206隨著時間推移進行小調整,可使用來自裝置240之度量衡結果242以在微影叢集中維持圖案化操作之準確效能,藉此最小化製得不合格產品及要求重工之風險。
另外,可應用度量衡裝置240及/或其他度量衡裝置(圖中未展示)以量測經處理之基板232、234及傳入基板230之性質。可在經處理之基板上使用度量衡裝置以判定諸如疊對或CD之重要參數。
度量衡站之另一實例係掃描電子顯微鏡(scanning electron microscope,SEM),或被稱作電子束度量衡器件,除了散射計之外,亦可包括SEM或電子束度量衡器件,或其作為散射計之替代例。因而,度量衡裝置240可單獨或除了散射計之外包含電子束或SEM度量衡器件。電子束及SEM度量衡器件具有直接量測特徵(亦即,直接對特徵成像)之優點,而非用於散射量測之間接量測技術(其中參數值係自重新建構及/或自藉由經量測之結構繞射之輻射之繞射階數之不對稱性而判定)。電子束或SEM度量衡器件之主要缺點係其比散射量測慢得多之量測速度,限制了其對特定離線監視程序之潛在應用。
臨界尺寸掃描電子顯微法(Critical dimension scanning electron microscopy,CD-SEM)係廣泛用於半導體工業中之基板及光罩度量衡之技術。在CD-SEM中,低能量電子(<1 keV)加速至表面,其隨後擴散至表面中。由受電子束激發之表面原子發射之二次及/或反向散射電子係由偵測器偵測。此等經偵測之電子之數目尤其視試樣構形而定。此係對表面上之不同激發位置進行的(例如,藉由掃描表面上方之電子束),以獲得影像。此CD-SEM影像可具有大約為1奈米之空間解析度,係用於此工業中之其他度量衡技術無法比擬的。然而,此影像中可能存在一定量之雜訊。
CD-SEM之主要應用係觀測特徵之幾何邊緣(例如,在微影程序中形成於基板上)。在進行此操作時,自有雜訊之SEM影像準確地判定特徵之幾何形狀及位置可尤其具有挑戰性。存在已知之許多方法以改良在存在顯著雜訊之情況下之邊緣偵測。一種此類方法使用邊緣-偵測演算法,其通常平滑/濾波影像以減少雜訊;然而,在進行此操作時,其亦影響感知邊緣之方式。或者,更具雜訊穩固性之演算法利用自隨後擬合於SEM影像中之參考幾何邊緣獲得的參考-SEM信號。舉例而言,此方法描述於T. Verduin等人,J. Micro/Nanolith. MEMS MOEMS, 13 033009 (2014)及C.A. Mack等人,Proc. SPIE 10145, 101451R (2017),其皆以引用之方式併入本文中。然而,當在參考-SEM信號與實際SEM信號之間存在顯著差異時,此等演算法之有效性係值得懷疑的。此外,固定參考並不解釋可起因於不同特徵形狀之SEM信號中之局域改變。經改良之方法可包含藉由平均化平行於參考目標之邊緣之SEM信號而自可比特徵提取參考-SEM信號。此技術描述於專利US 7,269,287 B2中,其以引用方式併入本文中。然而,此方法不包括參考-SEM信號中之局域變化,其可由於特徵之局域失真而產生。因而,此方法需要關於所關注特徵之邊緣位置之先前知識。
因此,提議一種自SEM影像自身而非參考影像獲得參考-SEM信號之方法。此意謂所提議之方法與所使用之樣本材料及SEM設定無關。因而,該方法允許邊緣形狀及位置兩者在幾何形狀上之局域變化,且可反覆地補償在邊緣位置中之LER (線邊緣粗糙度)及SEM-誘發漂移。
所提議之方法係藉由圖2之流程圖說明。圖2(a)包含展示特徵300 (例如線)之部分之CD-SEM影像之表示,該特徵300具有自鄰近溝槽310界定其之邊緣305。邊緣305具有粗糙度(亦即,LER)且另外係有雜訊的。該方法包含首先對邊緣位置進行初始估計。在圖2(b)中所說明之此特定實例中,經估計之位置僅僅係大致在待偵測邊緣之位置處之直線,此線經界定為初始輪廓315 (由白色虛線表示)。然而,初始估計可包含任何輪廓;例如,邊緣之粗糙佈局。在由圖2(c)所說明之下一步驟處,選擇包含此初始輪廓315之任一側之區域且其展示於關於輪廓變換之空間上(亦即,平行於輪廓與垂直於輪廓之曲線圖)。此區域可係例如在輪廓315之任一側處幾奈米(例如,小於10奈米或小於5奈米)。在此特定實例中,因為初始輪廓315係直線,所以圖2(c)之標繪圖(粗略)遵循待判定之邊緣剖面。圖2(d)說明下一步驟之結果,在該步驟中,在平行於輪廓之方向上應用一維低通濾波器(例如,高斯平滑或高斯模糊)。應理解,高斯濾波器平行於沿著輪廓之所有點應用,亦即,模糊化方向隨著輪廓之方向而改變(例如,當並非直線時)。由於濾波器僅平行於輪廓應用,故垂直於實際邊緣之模糊化係次要的。此步驟之結果係用作參考-SEM信號320。更具體言之,假定圖2(d)之參考-SEM信號320之行係局域參考-SEM信號。舉例而言,每一行寬度可界定為影像之像素寬度。將圖2(d)之經平滑之參考-SEM信號之行擬合/匹配於圖2(c)之對應行,從而僅實現在對應行之間的高度差之移位。因而,此步驟包含在(有雜訊)經成像之邊緣(圖2(c))與經平滑(經濾波)之邊緣(圖2(d))之間的1維互關聯,其在逐行基礎上進行,其中該等行垂直於輪廓315對準(匹配)。當使用局域參考進行比較時,亦考慮邊緣形狀之可能變化。行高度之所獲得之移位表示歸因於LER之位移,且如圖2(e)中所說明,其用以界定經更新之輪廓325。以此方式,經更新之線輪廓係基於自實際影像判定之參考-SEM信號320。如圖2(f)中可見,經更新之線輪廓(白色虛線)係比實際特徵邊緣305好得多的匹配。
可能不需要僅基於來自影像自身之參考-SEM信號320來判定邊緣輪廓(經匹配之輪廓)。因此,在一實施例中,可緊接在匹配步驟(將參考-SEM信號320匹配至初始輪廓315)之後對經擬合/經匹配之輪廓執行額外定限步驟,以獲得經定限之輪廓。經定限之輪廓可表示比經匹配(未經定限)之輪廓更好的經判定之邊緣位置之估計。可對經匹配之參考-SEM信號之每一個別行執行此定限步驟。定限可包含判定界定邊緣部位(根據任何合適準則)之定限值(例如,灰度值),及使用定限值以基於匹配於圖3(c)之經匹配之影像圖3(d)而進一步界定邊緣。定限之概念在邊緣偵測之技術中係熟知的且將不予以任何詳細地描述。定限可另外包含單獨地按比例調整每一行之最小值及最大值以防止定限假影,其通常可在正規化在具有不同強度之SEM信號之區域中之最小值及最大值時出現。結果係經更新之輪廓325,其係自使用自實際影像獲得之參考-SEM信號320之匹配與在經匹配之影像上之定限步驟的組合予以判定。
在一實施例中,可反覆地執行方法以改良邊緣剖面估計。此包含使用作為輸入輪廓(初始輪廓)之經更新之輪廓325重複以上步驟。第二反覆之步驟展示於圖2(g)至圖2(i)中。圖2(g)係圍繞經更新之輪廓325變換之區域的標繪圖(亦即,平行於輪廓與垂直於輪廓之曲線圖)。由於此輪廓現在與邊緣剖面緊密匹配,故此圖展示接近於水平之變化。圖2(h)係在平行於經更新之輪廓325應用之高斯平滑之後的經平滑之參考-SEM信號。最後,如圖2(i)中所展示,在匹配步驟及任何定限之後,獲得經第二反覆更新之輪廓330。
第一反覆輪廓325與初始輪廓315之比較包含LER之量測,而第二反覆輪廓330與第一反覆輪廓325之比較展示除少數小趨勢外之主要隨機雜訊。實際上,第一反覆輪廓325及第二反覆輪廓330看起來非常相似,其中相比於第一反覆輪廓325,第二反覆輪廓330僅略有改良。然而,如由圖3之曲線圖所說明,此改良未必係不重要的。此係在第一反覆340之後及在第二反覆350之後的參考-SEM信號之實例。自此曲線圖來看,兩個反覆之間明顯有一定差異。如可預期,相比於第二反覆350之參考-SEM信號,第一反覆340中之參考-SEM信號在某種程度上為接近於最大值及最小值之「捨入」。
先前實例展示如何可自SEM影像獲得(局域)參考-SEM信號及如何可反覆地使用此概念以補償嚴重的LER。作為互補實例,在圖4中展示將方法應用於極不同的實驗CD-SEM影像。圖4(a)展示以實驗方式量測之抗蝕劑線400,其具有較複雜之形狀且具有如白色虛線所展示之經估計之初始輪廓410。此初始輪廓410更清楚地展示於圖4(b)中。針對此較複雜之輪廓410,圖4(c)及圖4(d)展示與圖2(c)及圖2(d)相同之步驟。不管輪廓410係任意形狀,影像可沿著此輪廓基本上展開(變換)。在此展開中,內插及取樣輪廓之局域法線。在大約圖4(c)之中心處,可清楚地觀測到不同SEM-信號420。此不同SEM-信號420對應於在圖4(a)中所考慮之抗蝕劑線之尖端,且係由未適當地遵循此尖端處之幾何形狀之輪廓410造成。對於此實例,平行於整個輪廓平均化可能將導致在抗蝕劑線之尖端處產生不正確的參考-SEM信號,且將對於整個抗蝕劑線亦係不正確的。然而,需要平均化存在之雜訊中之一些。因此,高斯模糊在平行於輪廓之方向上應用於圖4(c)中(例如,δ為5 nm),以獲得圖4(d)之參考-SEM信號430。可觀察到,參考-SEM信號430具有經改良之信號雜訊比,而尖端區仍可清楚地辨別。同樣,在垂直方向上不進行濾波。圖4(d)之行再次假定為局域參考-SEM信號且擬合至圖4(c)之相同行,從而僅實現行之間的高度差移位(亦即,在兩個影像之行之間的互關聯),以便重新界定輪廓。行高度之經獲得之移位表示位移,且(視情況)與圖4(c)中之邊緣位置之定限組合,其提供展示為較佳地匹配特徵400之實際輪廓之新輪廓線440 (圖4(e)及圖4(f))的邊緣位置。
參考-SEM信號中之雜訊可藉由將信號與樣條函數擬合而進一步減少,以使得儘可能多地保留不具有雜訊之SEM信號。此在反覆地執行方法時可變得尤其重要,因為該等輪廓亦可開始與存在於參考SEM信號中之雜訊對準。
概言之,用於偵測本文中所描述之幾何邊緣之所提議之方法藉由展開初始輪廓而自其上正偵測邊緣位置之實際影像(例如,實際SEM影像)獲取參考信號(例如,參考邊緣或參考-SEM信號)。此係諸如描述於US 7,269,287 B2中之方法之改良,其自參考目標獲得參考-SEM信號,且僅針對筆直特徵及圓柱形對稱特徵之實例。在LER係嚴重的及/或在最初經猜測之輪廓不準確的情況下,在可最佳化參考-SEM信號之意義上,可反覆地使用此方法。藉助於平行於輪廓之高斯模糊判定局域參考-SEM信號相對於材料變化及變化的SEM-設定係穩固的,尤其在模糊長度取為等於或小於在SEM硬體中所使用之光束寬度時。此外,參考-SEM信號係自圍繞初始輪廓之區域獲得,而在US 7,269,287中,使用所謂的白帶,其並不始終較佳地被界定或甚至存在。咸信,相比於可能通常使用之定限方法,此方法可更準確地且精確地將邊緣置於子像素解析度上,特別是在存在嚴重的LER時。
所提出之方法係極靈活的;而許多CD-SEM分析工具僅可應用於具有經明確界定之幾何形狀之單一使用狀況中,此等方法允許分析任意複雜成形特徵。此對於邊緣置放誤差度量衡係尤其重要的。
可使用以下條項進一步描述實施例:
1. 一種判定與包含於包含雜訊之一影像內之一特徵之一邊緣相關之一邊緣位置的方法;該方法包含:
自該影像判定一參考信號;及
相對於該參考信號判定該邊緣位置。
2. 如條項1之方法,其中已使用掃描電子顯微度量衡來獲得該影像。
3. 如條項1或2之方法,其中自該影像判定一參考信號包含:
在平行於一初始輪廓之一方向上將一一維低通濾波器應用於該影像,以獲得包含該參考信號之一經濾波之影像。
4. 如條項3之方法,其包含估計該初始輪廓使得該初始輪廓包含所判定之該邊緣之一初始估計的步驟。
5. 如條項3或4之方法,其中該一維低通濾波器包含一一維高斯模糊。
6. 如條項5之方法,其中該高斯模糊之一模糊長度係等於或小於用以獲得該影像之一光束之一光束寬度。
7. 如條項3至6中任一項之方法,其中在應用該一維低通濾波器之前,該方法包含:變換包括該邊緣之該影像之至少一部分,以獲得在一經變換之空間中之一經變換之影像,該經變換之空間包含平行於該初始輪廓界定之一第一維度及垂直於該初始輪廓界定之一第二維度。
8. 如條項7之方法,其中僅變換在該初始輪廓之任一側處小於10 nm的一區域以獲得該經變換之影像。
9. 如條項7或8之方法,其包含將該經濾波之影像及該經變換之影像中之一者匹配於該經濾波之影像及該經變換之影像中之另一者以判定一經匹配之輪廓,該經匹配之輪廓包含該邊緣位置之一經匹配之估計。
10. 如條項9之方法,其中該匹配包含在一逐行基礎上將該經濾波之影像匹配於該經變換之影像。
11. 如條項10之方法,其中每一行由該影像之一像素之寬度界定。
12. 如條項10或11之方法,其中該匹配包含該經濾波之影像之行與該經變換之影像之對應行的一互關聯。
13. 如條項12之方法,其中該互關聯包含僅使該等行沿著行方向相對於彼此移位,以獲得在該經濾波之影像與該經變換之影像之間的最佳匹配。
14. 如條項9至13中任一項之方法,其包含基於界定該邊緣位置之一定限值而對該經匹配之輪廓進行一額外定限步驟,以便獲得一定限輪廓,該定限輪廓包含該邊緣位置之一經定限之估計。
15. 如條項9至14中任一項之方法,其包含藉由使用作為每一額外反覆之該初始輪廓的該經匹配之輪廓或經定限之輪廓來執行該方法之至少一個額外反覆而反覆地執行該方法。
16. 如前述條項中任一項之方法,其中獲得該影像以量測與該特徵相關之一參數,以便監視用於形成該特徵之一微影程序之性能。
17. 如條項16之方法,其中該參數包含以下中之一或多者:臨界尺寸、線邊緣粗糙度、線寬粗糙度、局部臨界尺寸均一性及邊緣置放誤差。
18. 如前述條項中任一項之方法,其包含藉由將一樣條函數擬合至使信號雜訊最大化之該參考信號來對該參考信號執行另一雜訊減少步驟。
19. 一種計算裝置,其包含一處理器且經組態以執行如前述條項中任一項之方法。
20. 一種掃描電子顯微檢測裝置,其可操作以將一或多個特徵成像於一基板上,且包含如條項19之計算裝置。
21. 一種包含程式指令之電腦程式,其可操作以在一合適裝置上運行時執行如請求項1至18中任一項之方法。
22. 一種非暫時性電腦程式載體,其包含如條項21之電腦程式。
關於微影裝置所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有或約為365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米範圍內之波長),以及諸如離子光束或電子束之粒子束。
術語「透鏡」在內容背景允許的情況下可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
特定實施例之前述描述將因此完全地揭露本發明之一般性質:在不脫離本發明之一般概念的情況下,其他人可藉由應用熟習此項技術者所瞭解之知識針對各種應用而容易地修改及/或調適此等特定實施例,而無需進行不當實驗。因此,基於本文中所呈現之教示及導引,此等調適及修改意欲在所揭示之實施例之等效者的涵義及範圍內。應理解,本文中之片語或術語係出於例如描述而非限制之目的,使得本說明書之術語或片語待由熟習此項技術者鑒於教示及指導進行解譯。
本發明之廣度及範疇不應由上文所描述之例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者進行界定。
200‧‧‧微影裝置
202‧‧‧量測站
204‧‧‧曝光站
206‧‧‧控制單元
208‧‧‧塗佈裝置
210‧‧‧烘烤裝置
212‧‧‧顯影裝置
220‧‧‧基板
222‧‧‧裝置
224‧‧‧裝置
226‧‧‧裝置/步驟
230‧‧‧基板
232‧‧‧基板
234‧‧‧基板
240‧‧‧度量衡裝置
242‧‧‧度量衡結果
300‧‧‧特徵
305‧‧‧邊緣
310‧‧‧溝槽
315‧‧‧初始輪廓
320‧‧‧參考-SEM信號
325‧‧‧第一反覆輪廓
330‧‧‧第二反覆輪廓
340‧‧‧第一反覆
350‧‧‧第二反覆
400‧‧‧抗蝕劑線/特徵
410‧‧‧輪廓
420‧‧‧SEM-信號
430‧‧‧參考-SEM信號
440‧‧‧新輪廓線
EXP‧‧‧曝光站
LA‧‧‧微影裝置
LACU‧‧‧控制單元
MA‧‧‧圖案化器件
MEA‧‧‧量測站
R‧‧‧配方資訊
SCS‧‧‧監督控制系統
W‧‧‧基板
現在將參看隨附圖式作為實例來描述本發明之實施例,在該等圖式中:
圖1描繪微影裝置連同形成用於半導體器件之生產設施的其他裝置;
圖2係描繪根據本發明之一實施例之方法的第一實例流程圖;
圖3係遵循經提議之方法之第一反覆及第二反覆之參考SEM信號的標繪圖;且
圖4係描繪根據本發明之一實施例之方法的第二實例流程圖。

Claims (15)

  1. 一種判定與包含於包含雜訊之一影像內之一特徵之一邊緣相關之一邊緣位置的方法;該方法包含: 自該影像判定一參考信號;及 相對於該參考信號判定該邊緣位置。
  2. 如請求項1之方法,其中已使用掃描電子顯微度量衡來獲得該影像。
  3. 如請求項1之方法,其中自該影像判定一參考信號包含: 在平行於一初始輪廓之一方向上將一一維低通濾波器應用於該影像,以獲得包含該參考信號之一經濾波之影像。
  4. 如請求項3之方法,其包含估計該初始輪廓使得該初始輪廓包含所判定之該邊緣之一初始估計的步驟。
  5. 如請求項3之方法,其中該一維低通濾波器包含一一維高斯模糊。
  6. 如請求項3之方法,其中在應用該一維低通濾波器之前,該方法包含:變換包括該邊緣之該影像之至少一部分,以獲得在一經變換之空間中之一經變換之影像,該經變換之空間包含平行於該初始輪廓界定之一第一維度及垂直於該初始輪廓界定之一第二維度。
  7. 如請求項6之方法,其包含將該經濾波之影像及該經變換之影像中之一者匹配於該經濾波之影像及該經變換之影像中之另一者以判定一經匹配之輪廓,該經匹配之輪廓包含該邊緣位置之一經匹配之估計。
  8. 如請求項7之方法,其中該匹配包含在一逐行基礎上將該經濾波之影像匹配於該經變換之影像,且其中每一行由該影像之像素之寬度界定。
  9. 如請求項8之方法,其中該匹配包含該經濾波之影像之行與該經變換之影像之對應行的一互關聯。
  10. 如請求項9之方法,其中該互關聯包含僅使該等行沿著行方向相對於彼此移位,以獲得在該經濾波之影像與該經變換之影像之間的最佳匹配。
  11. 如請求項7之方法,其包含藉由使用作為每一額外反覆之該初始輪廓的該經匹配之輪廓或經定限之輪廓來執行該方法之至少一個額外反覆而反覆地執行該方法。
  12. 如請求項1之方法,其中獲得該影像以量測與該特徵相關之一參數,以便監視用於形成該特徵之一微影程序之性能。
  13. 如請求項12之方法,其中該參數包含以下中之一或多者:臨界尺寸、線邊緣粗糙度、線寬粗糙度、局部臨界尺寸均一性及邊緣置放誤差。
  14. 一種掃描電子顯微檢測裝置,其可操作以將一或多個特徵成像於一基板上,且包含一計算裝置,其經組態以執行如請求項1之方法。
  15. 一種包含程式指令之電腦程式,其可操作以在一合適裝置上運行時執行如請求項1之方法。
TW108109060A 2018-03-23 2019-03-18 度量衡方法及相關聯裝置 TWI758592B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18163680.4A EP3543791A1 (en) 2018-03-23 2018-03-23 Method of metrology and associated apparatuses
EPEP18163680 2018-03-23

Publications (2)

Publication Number Publication Date
TW201945841A true TW201945841A (zh) 2019-12-01
TWI758592B TWI758592B (zh) 2022-03-21

Family

ID=61768085

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108109060A TWI758592B (zh) 2018-03-23 2019-03-18 度量衡方法及相關聯裝置

Country Status (6)

Country Link
US (2) US11112703B2 (zh)
EP (1) EP3543791A1 (zh)
KR (1) KR102517322B1 (zh)
CN (2) CN111886548B (zh)
TW (1) TWI758592B (zh)
WO (1) WO2019179786A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3543791A1 (en) * 2018-03-23 2019-09-25 ASML Netherlands B.V. Method of metrology and associated apparatuses
JP7264751B2 (ja) * 2019-07-08 2023-04-25 株式会社ニューフレアテクノロジー 検査装置及び検査方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7203234B1 (en) * 2000-03-31 2007-04-10 Sharp Laboratories Of America, Inc. Method of directional filtering for post-processing compressed video
US6904164B2 (en) * 2000-05-18 2005-06-07 Jeol Ltd. Method of inspecting accuracy in stitching pattern elements
US20020131638A1 (en) * 2001-01-10 2002-09-19 Koninklijke Philips Electronics N.V. Apparatus and method for boundary detection in vector sequences and edge detection in color image signals
US7072525B1 (en) * 2001-02-16 2006-07-04 Yesvideo, Inc. Adaptive filtering of visual image using auxiliary image information
JP2003148915A (ja) * 2001-11-13 2003-05-21 Jeol Ltd パターン接続精度検査方法
US7727153B2 (en) * 2003-04-07 2010-06-01 Sonosite, Inc. Ultrasonic blood vessel measurement apparatus and method
TWI228240B (en) * 2003-11-25 2005-02-21 Benq Corp Image processing method for reducing jaggy-effect
CA2616875A1 (en) * 2004-07-30 2006-02-02 Algolith Inc. Apparatus and method for adaptive 3d artifact reducing for encoded image signal
JP4791141B2 (ja) 2005-10-25 2011-10-12 株式会社日立ハイテクノロジーズ 電子線式寸法計測装置及びそれを用いた寸法計測方法
US7923703B2 (en) * 2006-02-17 2011-04-12 Hitachi High-Technologies Corporation Sample dimension inspecting/measuring method and sample dimension inspecting/measuring apparatus
JP2008203109A (ja) * 2007-02-21 2008-09-04 Hitachi High-Technologies Corp パターン寸法計測方法及びその装置
JP5276854B2 (ja) * 2008-02-13 2013-08-28 株式会社日立ハイテクノロジーズ パターン生成装置およびパターン形状評価装置
JP6019964B2 (ja) * 2012-09-10 2016-11-02 株式会社リコー 画像処理装置及びそれを搭載した撮像装置、並びに画像処理方法
JP5957357B2 (ja) * 2012-10-15 2016-07-27 株式会社日立ハイテクノロジーズ パターン検査・計測装置及びプログラム
US9483819B2 (en) 2013-01-29 2016-11-01 Kla-Tencor Corporation Contour-based array inspection of patterned defects
WO2014176154A1 (en) * 2013-04-22 2014-10-30 General Electric Company System and method for image intensity bias estimation and tissue segmentation
US9842430B2 (en) * 2013-12-17 2017-12-12 General Electric Company Method and device for automatically identifying a point of interest on a viewed object
JP6564018B2 (ja) * 2014-04-08 2019-08-21 アイキャド, インコーポレイテッド 放射線画像の肺野セグメンテーション技術及び骨減弱技術
JP6293023B2 (ja) * 2014-09-04 2018-03-14 株式会社ニューフレアテクノロジー 検査方法
WO2017130304A1 (ja) * 2016-01-27 2017-08-03 株式会社日立ハイテクノロジーズ 計測装置、方法および表示装置
US10181391B2 (en) * 2016-05-26 2019-01-15 Nanojehm Inc. Image processing system and method of processing images
EP3367166A1 (en) * 2017-02-24 2018-08-29 ASML Netherlands B.V. Method of measuring variation, inspection system, computer program, and computer system
DE102017203879B4 (de) * 2017-03-09 2023-06-07 Carl Zeiss Smt Gmbh Verfahren zum Analysieren einer defekten Stelle einer photolithographischen Maske
US11355306B2 (en) * 2017-04-13 2022-06-07 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US11380516B2 (en) * 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10564554B2 (en) * 2018-01-18 2020-02-18 Globalfoundries Inc. System and method for analyzing printed masks for lithography based on representative contours
JP7002949B2 (ja) * 2018-01-22 2022-01-20 株式会社日立ハイテク 画像評価方法及び画像評価装置
EP3543791A1 (en) * 2018-03-23 2019-09-25 ASML Netherlands B.V. Method of metrology and associated apparatuses
US10621718B2 (en) * 2018-03-23 2020-04-14 Kla-Tencor Corp. Aided image reconstruction

Also Published As

Publication number Publication date
CN111886548B (zh) 2023-05-26
CN116559495A (zh) 2023-08-08
EP3543791A1 (en) 2019-09-25
US11733614B2 (en) 2023-08-22
WO2019179786A1 (en) 2019-09-26
KR102517322B1 (ko) 2023-04-03
CN111886548A (zh) 2020-11-03
TWI758592B (zh) 2022-03-21
KR20200123466A (ko) 2020-10-29
US20220107571A1 (en) 2022-04-07
US20190294055A1 (en) 2019-09-26
TW202223536A (zh) 2022-06-16
US11112703B2 (en) 2021-09-07

Similar Documents

Publication Publication Date Title
JP6793840B2 (ja) メトロロジ方法、装置、及びコンピュータプログラム
US11022896B2 (en) Mark position determination method
EP3495888A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
TW201937553A (zh) 微影製程和設備及檢測製程和設備
US11422476B2 (en) Methods and apparatus for monitoring a lithographic manufacturing process
US11733614B2 (en) Method of metrology and associated apparatuses
WO2018019496A1 (en) Level sensor apparatus, method of measuring topographical variation across a substrate, method of measuring variation of a physical parameter related to a lithographic process, and lithographic apparatus
TWI702476B (zh) 用於控制掃描曝光設備之方法、掃描曝光設備、包含程式指令之電腦程式及用於判定掃描曝光設備之控制剖面之方法
EP3547029A1 (en) Control method for a scanning exposure apparatus
NL2021296A (en) Lithographic process & apparatus and inspection process and apparatus
US11150565B2 (en) Methods for controlling lithographic apparatus, lithographic apparatus and device manufacturing method
TW202020569A (zh) 用於控制製造程序的方法及相關聯裝置
TWI836362B (zh) 度量衡方法及相關聯裝置
TWI669516B (zh) 用於控制製造設備之方法及其相關設備
EP4361727A1 (en) Method of tilt metrology and associated apparatuses
EP4254068A1 (en) Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
EP4167031A1 (en) Method of determining a measurement recipe in a metrology method
EP4036649A1 (en) Method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus