TW201937300A - Prediction of out of specification physical items - Google Patents

Prediction of out of specification physical items Download PDF

Info

Publication number
TW201937300A
TW201937300A TW107144693A TW107144693A TW201937300A TW 201937300 A TW201937300 A TW 201937300A TW 107144693 A TW107144693 A TW 107144693A TW 107144693 A TW107144693 A TW 107144693A TW 201937300 A TW201937300 A TW 201937300A
Authority
TW
Taiwan
Prior art keywords
distribution
pattern
attribute
probability
instances
Prior art date
Application number
TW107144693A
Other languages
Chinese (zh)
Inventor
馮泰納 布魯諾 拉
巫斯登 安東 伯恩哈得 凡
玲莉 鍾
麥辛姆 飛利浦 費德里科 杰尼
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201937300A publication Critical patent/TW201937300A/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/18Complex mathematical operations for evaluating statistical data, e.g. average values, frequency distributions, probability functions, regression analysis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/60Type of objects
    • G06V20/69Microscopic objects, e.g. biological cells or cellular parts
    • G06V20/695Preprocessing, e.g. image segmentation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • G01N21/9505Wafer internal defects, e.g. microcracks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Abstract

A method including: obtaining a value of a characteristic of a physical item instance of a physical system or object, using a non-probabilistic model; obtaining an attribute of a distribution of a residue of the non-probabilistic model with respect to an ensemble of physical item instances that is based on an attribute of a distribution of a residue of the non-probabilistic model with respect to at least one physical item instance corresponding to at least one physical item type of the ensemble; determining an attribute of a distribution of the characteristic based on the attribute of the distribution of the residue with respect to an ensemble of physical item instances and on the value of the characteristic of the physical item instance; and determining a probability that the physical item instance is out of specification, based on the attribute of the distribution of the characteristic.

Description

超出規格的實體項目的預測Forecast of out-of-specification physical items

本文中的描述係關於一種預測超出規格的實體項目的方法,例如藉由器件製造程序產生之基板上的超出規格的圖案例項(instance)。The description in this article is about a method of predicting an out-of-specification physical item, such as an out-of-specification pattern instance on a substrate generated by a device manufacturing process.

微影裝置為將所要圖案施加至基板之目標部分上的機器。微影裝置可用於例如諸如積體電路(IC)之器件之製造中。在此狀況下,可使用圖案化器件(例如,光罩或倍縮光罩)來產生對應於器件之個別層的圖案(「設計佈局」),且藉由諸如經由圖案化器件上之圖案輻照具有例如輻射敏感材料(抗蝕劑)層之基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之一部分、一個或若干晶粒)的方法,可將此圖案轉印至該目標部分上。一般而言,單一基板將含有複數個鄰近目標部分,圖案係由微影裝置順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影裝置中,將整個圖案化器件上之圖案一次性轉印至一個目標部分上;此裝置通常被稱作步進器(stepper)。在通常被稱作步進掃描裝置(step-and-scan apparatus)之替代裝置中,投影束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之圖案之不同部分漸進地被轉印至一個目標部分。因為大體而言,微影投影裝置將具有縮小因數M (通常> 1),所以移動基板之速度F將係因數M乘以投影光束掃描圖案化器件之速度。A lithographic apparatus is a machine that applies a desired pattern onto a target portion of a substrate. Lithography devices can be used, for example, in the manufacture of devices such as integrated circuits (ICs). In this case, a patterned device (e.g., a reticle or a reticle) can be used to generate a pattern corresponding to the individual layers of the device ("design layout"), and by, for example, via This pattern can be transferred to a target portion (e.g., containing a portion of a die, one or more dies) on a substrate (e.g., a silicon wafer) having, for example, a layer of radiation-sensitive material (resist). On the target part. Generally speaking, a single substrate will contain a plurality of adjacent target portions, and the pattern is sequentially transferred from the lithographic apparatus to the plurality of adjacent target portions, one target portion at a time. In one type of lithographic device, the pattern on the entire patterned device is transferred to a target portion at one time; this device is often referred to as a stepper. In an alternative device commonly referred to as a step-and-scan apparatus, the projected beam is scanned across the patterned device in a given reference direction (the "scanning" direction) while being parallel or anti-parallel to it The substrate is moved synchronously with reference to the direction. Different portions of the pattern on the patterned device are progressively transferred to a target portion. Because the lithographic projection device will generally have a reduction factor M (usually> 1), the speed F of moving the substrate is multiplied by the factor M and the speed at which the projection beam scans the patterning device.

在將圖案自圖案化器件轉印至器件製造程序之基板之器件製作工序之前,基板可經歷器件製造程序之各種器件製作工序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受器件製造程序之器件製作工序,諸如曝光後烘烤(PEB)、顯影、硬烘烤及經轉印圖案之量測/檢測。此器件製作工序陣列係用作製造器件(例如IC)之個別層之基礎。基板可接著經歷器件製造程序之各種器件製作工序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械拋光等等,該等工序皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個程序或其變體。最終,在基板上之每一目標部分中將存在器件。若存在複數個器件,則接著藉由諸如切塊或鋸切之技術將此等器件彼此分離,由此,可將個別器件安裝於載體上、連接至銷釘等等。Before the pattern is transferred from the patterned device to the device manufacturing process of the substrate of the device manufacturing process, the substrate may undergo various device manufacturing processes of the device manufacturing process, such as priming, resist coating, and soft baking. After exposure, the substrate can be subjected to the device fabrication process of the device manufacturing process, such as post-exposure baking (PEB), development, hard baking, and measurement / inspection of the transferred pattern. This device fabrication process array is used as a basis for manufacturing individual layers of a device such as an IC. The substrate may then go through various device manufacturing processes of the device manufacturing process, such as etching, ion implantation (doping), metallization, oxidation, chemical-mechanical polishing, etc., all of which are intended to finish individual layers of the device. If several layers are required in the device, the entire procedure or a variant thereof is repeated for each layer. Eventually, there will be devices in each target portion on the substrate. If there are a plurality of devices, these devices are then separated from each other by a technique such as dicing or sawing, whereby individual devices can be mounted on a carrier, connected to pins, and the like.

因此,製造諸如半導體器件之器件通常涉及使用數個製作程序來處理基板(例如,半導體晶圓)以形成該等器件之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此等層及特徵。可在基板上之複數個晶粒上製作多個器件,且接著將該等器件分離成個別器件。可將此器件製造程序視為圖案化程序。圖案化程序涉及使用微影裝置中之圖案化器件進行圖案化步驟(諸如光學及/或奈米壓印微影)以將圖案化器件上之圖案轉印至基板,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影裝置進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻裝置而使用圖案進行蝕刻等等。Therefore, manufacturing devices such as semiconductor devices typically involves processing substrates (eg, semiconductor wafers) using several fabrication processes to form the various features and multiple layers of such devices. Such layers and features are typically manufactured and processed using, for example, deposition, lithography, etching, chemical mechanical polishing, and ion implantation. Multiple devices can be fabricated on a plurality of dies on a substrate, and then these devices are separated into individual devices. This device manufacturing process can be considered a patterning process. The patterning process involves using a patterning device in a lithography device to perform a patterning step (such as optical and / or nano-imprint lithography) to transfer the pattern on the patterning device to a substrate. The situation involves one or more related pattern processing steps, such as resist development by a developing device, baking a substrate using a baking tool, etching using a pattern using an etching device, and the like.

實體系統或物件之實體項目(例如,基板上之圖案特徵)是否超出規格(例如,缺陷(defect))係例如實體項目或物件或涉及實體項目或物件之程序的控制、修改、設計等等中之重要考慮因素。因此,期望一種技術能夠改良對超出規格的實體項目的預測,例如,用於量測實體項目(例如,藉由器件製造程序產生之基板上的圖案例項)之改良式量測取樣計劃。Whether the physical item of the physical system or object (for example, a pattern feature on the substrate) exceeds the specification (for example, a defect) is in the control, modification, design, etc. of the physical item or object or a program involving the physical item or object, etc. Important considerations. Therefore, a technology is expected to improve the prediction of physical items that exceed specifications, for example, an improved measurement sampling plan for measuring physical items (for example, pattern items on a substrate generated by a device manufacturing process).

在一實施例中,提供一種方法,其包含:使用一非機率模型獲得一實體系統或物件之一實體項目例項之一特性的一值;獲得該非機率模型之一殘差相對於實體項目例項之一集合(ensemble)之一分佈的一屬性,該殘差相對於實體項目例項之一集合之該分佈的該屬性基於該非機率模型之一殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之一分佈的一屬性;基於該殘差相對於實體項目例項之一集合之該分佈的該屬性且基於該實體項目例項之該特性的該值,判定該特性之一分佈的一屬性;及基於該特性之該分佈的該屬性,判定該實體項目例項超出規格的一機率。In one embodiment, a method is provided, which includes: using a non-probability model to obtain a value of a property of an entity item instance of an entity system or object; obtaining a residual of the non-probability model relative to the entity item instance An attribute of a distribution of a set of items (ensemble), the attribute of the residual relative to the distribution of a set of entity item instances is based on a residual of the non-probability model relative to at least one entity corresponding to the set An attribute of one of the distributions of at least one entity item instance of the item type; based on the attribute of the distribution relative to the distribution of a set of entity item instances and the value of the characteristic of the entity item instance, determining An attribute of a distribution of the characteristic; and a probability of determining that the entity item instance exceeds the specification based on the attribute of the distribution of the characteristic.

在一實施例中,提供一種方法,其包含:獲得一實體系統或物件之複數個實體項目例項之一特性的檢驗值;使用一非機率模型獲得該特性之計算值;基於該等檢驗值及該等計算值而獲得該非機率模型之一殘差的值;基於該殘差之該等值而獲得該殘差之一第一分佈的一屬性;及基於該第一分佈的該屬性,獲得該非機率模型之一殘差相對於實體項目例項之一集合之一第二分佈的一屬性。In one embodiment, a method is provided, including: obtaining a test value of a characteristic of a plurality of entity item instances of an entity system or object; using a non-probability model to obtain a calculated value of the characteristic; and based on the test values And the calculated values to obtain a value of a residual of the non-probability model; to obtain an attribute of a first distribution of the residual based on the values of the residual; and to obtain an attribute of the first distribution based on the attribute of the first distribution, obtain An attribute of a residual of the non-probability model relative to a second distribution of a set of entity item instances.

在一實施例中,提供一種方法,其包含:獲得一實體系統或物件之一組實體項目例項分別超出規格的機率,使用一非機率模型之一殘差相對於實體項目例項之一集合之一分佈的一屬性來判定該等機率,該判定係基於該非機率模型之一殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之一分佈的一屬性;及基於該等機率來判定待檢測之實體項目例項之一有序清單。In one embodiment, a method is provided, which includes: obtaining a probability that a group of entity items of an entity system or object will exceed the specifications, using a non-probability model of a residual relative to a set of entity item instances Determining a probability based on an attribute of a distribution based on an attribute of a distribution of a residual of the non-probability model relative to one of at least one entity item instance corresponding to at least one entity item type of the set; and An ordered list of entity item instances to be detected based on these probabilities.

在一實施例中,提供一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦系統執行時實施本文中之任何方法或方法之一部分。In one embodiment, a computer program product is provided that includes a non-transitory computer-readable medium having instructions recorded thereon that, when executed by a computer system, implement any method or part of a method herein.

在詳細描述實施例之前,呈現可供實施實施例之實例環境係具指導性的。Before describing the embodiments in detail, it is instructive to present an example environment in which the embodiments can be implemented.

圖1示意性地描繪微影裝置LA之實施例。該裝置包含:
- 照明系統(照明器) IL,其經組態以調節輻射光束B (例如,諸如UV輻射或DUV輻射之電磁輻射);
- 支撐結構(例如,光罩台) MT,其經建構以支撐圖案化器件(例如,光罩) MA,且連接至經組態以根據某些參數來準確地定位圖案化器件之第一定位器PM;
- 基板台(例如,晶圓台) WT (例如,WTa、WTb或該兩者),其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數來準確地定位基板之第二定位器PW;及
- 投影系統(例如,折射、反射或反射折射投影系統) PS,其經組態以將藉由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒且常常被稱作場)上,投影系統支撐於參考框架(RF)上。
FIG. 1 schematically depicts an embodiment of the lithographic apparatus LA. The device contains:
-A lighting system (illuminator) IL, which is configured to regulate the radiation beam B (for example, electromagnetic radiation such as UV radiation or DUV radiation);
-A support structure (e.g., a photomask stage) MT that is configured to support a patterned device (e.g., a photomask) MA and is connected to a first positioning configured to accurately position the patterned device based on certain parameters器 PM; PM
-A substrate table (e.g., wafer table) WT (e.g., WTa, WTb, or both), which is constructed to hold a substrate (e.g., a resist-coated wafer) W, and is connected to Certain parameters to accurately position the second positioner PW of the substrate; and
-A projection system (e.g., a refraction, reflection, or refraction projection system) PS configured to project a pattern imparted to the radiation beam B by the patterning device MA onto a target portion C of the substrate W (e.g., including one or Multiple grains and often referred to as a field), the projection system is supported on a reference frame (RF).

如本文中所描繪,裝置屬於透射類型(例如,使用透射光罩或LCD矩陣)。替代地,裝置可屬於反射類型(例如,使用可程式化鏡面陣列或使用反射光罩)。As depicted herein, the device is of a transmissive type (eg, using a transmissive mask or an LCD matrix). Alternatively, the device may be of a reflective type (eg, using a programmable mirror array or using a reflective mask).

照明器IL自輻射源SO (例如,水銀燈或準分子雷射器)接收輻射光束。舉例而言,當輻射源係準分子雷射器時,輻射源及微影裝置可為分離的實體。在此等狀況下,不認為輻射源形成微影裝置之部件,且輻射光束係憑藉包含例如合適導向鏡面及/或光束擴展器之光束遞送系統BD而自輻射源SO傳遞至照明器IL。在其他狀況下,舉例而言,當輻射源係水銀燈時,輻射源可為裝置之整體部件。輻射源SO及照明器IL連同光束遞送系統BD在需要時可被稱作輻射系統。The illuminator IL receives a radiation beam from a radiation source SO (for example, a mercury lamp or an excimer laser). For example, when the radiation source is an excimer laser, the radiation source and the lithography device may be separate entities. Under these conditions, the radiation source is not considered to form a part of the lithographic device, and the radiation beam is transferred from the radiation source SO to the illuminator IL by means of a beam delivery system BD comprising, for example, a suitably guided mirror and / or a beam expander. In other situations, for example, when the radiation source is a mercury lamp, the radiation source may be an integral part of the device. The radiation source SO and the illuminator IL together with the beam delivery system BD may be referred to as a radiation system when needed.

照明器IL可變更光束之強度分佈。照明器可經配置以限制輻射光束之徑向範圍,使得在照明器IL之光瞳平面中之環形區內的強度分佈係非零。另外或替代地,照明器IL可操作以限制光束在光瞳平面中之分佈使得在光瞳平面中之複數個等距間隔開之區段中的強度分佈係非零。輻射光束在照明器IL之光瞳平面中之強度分佈可被稱作照明模式。The illuminator IL can change the intensity distribution of the light beam. The illuminator may be configured to limit the radial range of the radiation beam such that the intensity distribution in the annular region in the pupil plane of the illuminator IL is non-zero. Additionally or alternatively, the illuminator IL is operable to limit the distribution of the light beam in the pupil plane such that the intensity distribution in a plurality of equally spaced segments in the pupil plane is non-zero. The intensity distribution of the radiation beam in the pupil plane of the illuminator IL may be referred to as an illumination mode.

因此,照明器IL可包含經組態以調整光束之(角度/空間)強度分佈之調整器AM。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部(σ-outer)及σ內部(σ-inner))。照明器IL可操作以改變光束之角度分佈。舉例而言,照明器可操作以變更強度分佈係非零的光瞳平面中之區段的數目及角範圍。藉由調整光束在照明器之光瞳平面中之強度分佈,可達成不同照明模式。舉例而言,藉由限制照明器IL之光瞳平面中之強度分佈的徑向範圍及角範圍,強度分佈可具有多極分佈,諸如,偶極、四極或六極分佈。可例如藉由將提供彼照明模式之光學件插入至照明器IL中或使用空間光調變器來獲得所要照明模式。Thus, the illuminator IL may include an adjuster AM configured to adjust the (angle / space) intensity distribution of the light beam. Generally, at least the outer radial range and / or the inner radial range of the intensity distribution in the pupil plane of the illuminator can be adjusted (usually referred to as σ-outer and σ-inner, respectively). The illuminator IL is operable to change the angular distribution of the light beam. For example, the illuminator is operable to change the number and angular range of sections in a pupil plane whose intensity distribution is non-zero. By adjusting the intensity distribution of the light beam in the pupil plane of the illuminator, different illumination modes can be achieved. For example, by limiting the radial and angular ranges of the intensity distribution in the pupil plane of the illuminator IL, the intensity distribution may have a multipolar distribution, such as a dipole, quadrupole, or hexapole distribution. The desired lighting mode can be obtained, for example, by inserting an optical element providing the other lighting mode into the illuminator IL or using a spatial light modulator.

照明器IL可操作以變更光束之偏振且可操作以使用調整器AM來調整偏振。橫越照明器IL之光瞳平面之輻射光束的偏振狀態可被稱作偏振模式。使用不同偏振模式可允許在形成於基板W上之影像中達成較大對比度。輻射光束可為非偏振的。替代地,照明器可經配置以使輻射光束線性地偏振。輻射光束之偏振方向可橫越照明器IL之光瞳平面而變化。輻射之偏振方向在照明器IL之光瞳平面中之不同區中可不同。可取決於照明模式來選擇輻射之偏振狀態。對於多極照明模式,輻射光束之每一極之偏振可大體上垂直於照明器IL之光瞳平面中之彼極的位置向量。舉例而言,對於偶極照明模式,輻射可在實質上垂直於平分偶極之兩個對置區段之線的方向上線性地偏振。輻射光束可在可被稱作X偏振狀態及Y偏振狀態之兩個不同正交方向中之一者上偏振。對於四極照明模式,每一極之區段中之輻射可在實質上垂直於平分彼區段之線之方向上線性地偏振。此偏振模式可被稱作XY偏振。相似地,對於六極照明模式,每一極之區段中之輻射可在實質上垂直於平分彼區段之線之方向上線性地偏振。此偏振模式可被稱作TE偏振。The illuminator IL is operable to change the polarization of the light beam and is operable to adjust the polarization using an adjuster AM. The polarization state of the radiation beam across the pupil plane of the illuminator IL may be referred to as a polarization mode. The use of different polarization modes allows greater contrast in the images formed on the substrate W. The radiation beam may be unpolarized. Alternatively, the illuminator may be configured to linearly polarize the radiation beam. The polarization direction of the radiation beam can be changed across the pupil plane of the illuminator IL. The polarization direction of the radiation may be different in different regions in the pupil plane of the illuminator IL. The polarization state of the radiation can be selected depending on the illumination mode. For a multi-polar illumination mode, the polarization of each pole of the radiation beam may be substantially perpendicular to the position vector of the other pole in the pupil plane of the illuminator IL. For example, for a dipole illumination mode, the radiation may be linearly polarized in a direction that is substantially perpendicular to the line that bisects two opposing sections of the dipole. The radiation beam may be polarized in one of two different orthogonal directions, which may be referred to as an X-polarized state and a Y-polarized state. For the quadrupole illumination mode, the radiation in the segments of each pole may be linearly polarized in a direction substantially perpendicular to the line that bisects the other segment. This polarization mode can be referred to as XY polarization. Similarly, for a six-pole illumination mode, the radiation in the segments of each pole may be linearly polarized in a direction that is substantially perpendicular to the line that bisects the other segment. This polarization mode can be referred to as TE polarization.

另外,照明器IL通常包含各種其他組件,諸如積光器IN及聚光器CO。照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。In addition, the illuminator IL generally contains various other components such as a light collector IN and a condenser CO. The lighting system may include various types of optical components for directing, shaping, or controlling radiation, such as refractive, reflective, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof.

因此,照明器提供在橫截面中具有所要均一性及強度分佈的經調節輻射光束B。Thus, the luminaire provides an adjusted radiation beam B having the desired uniformity and intensity distribution in cross section.

支撐結構MT以取決於圖案化器件之定向、微影裝置之設計及其他條件(諸如圖案化器件是否被固持於真空環境中)之方式來支撐圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術來固持圖案化器件。支撐結構可為例如框架或台,其可視需要而固定或可移動。光罩支撐結構可確保圖案化器件例如相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用與更一般術語「圖案化器件」同義。The support structure MT supports the patterned device in a manner that depends on the orientation of the patterned device, the design of the lithographic device, and other conditions, such as whether the patterned device is held in a vacuum environment. The support structure may use mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterned device. The support structure may be, for example, a frame or a table, which may be fixed or movable as required. The photomask support structure can ensure that the patterned device is in a desired position relative to the projection system, for example. Any use of the term "reduction mask" or "reticle" herein may be considered synonymous with the more general term "patterned device."

本文中所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在基板之目標部分中賦予圖案的任何器件。在一實施例中,圖案化器件係可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之器件(諸如積體電路)中的特定功能層。The term "patterned device" as used herein should be interpreted broadly to mean any device that can be used to impart a pattern in a target portion of a substrate. In one embodiment, a patterned device is any device that can be used to impart a pattern to a radiation beam in a cross-section of the radiation beam to produce a pattern in a target portion of a substrate. It should be noted that, for example, if the pattern imparted to the radiation beam includes a phase shift feature or a so-called auxiliary feature, the pattern may not exactly correspond to a desired pattern in a target portion of the substrate. Generally, the pattern imparted to the radiation beam will correspond to a specific functional layer in a device (such as an integrated circuit) produced in the target portion.

圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減式相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。The patterned device may be transmissive or reflective. Examples of patterned devices include photomasks, programmable mirror arrays, and programmable LCD panels. Masks are well known to us in lithography and include mask types such as binary, alternating phase shift, and attenuation phase shift, as well as various hybrid mask types. An example of a programmable mirror array uses a matrix configuration of small mirrors, each of which can be individually tilted so that the incident radiation beam is reflected in different directions. The oblique mirror surface imparts a pattern in the radiation beam reflected by the mirror matrix.

本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用與更一般術語「投影系統」同義。The term "projection system" as used herein should be broadly interpreted to cover any type of projection system, including refraction, suitable for the exposure radiation used or other factors such as the use of immersed liquids or the use of vacuum. Reflective, refraction, magnetic, electromagnetic, and electrostatic optical systems, or any combination thereof. Any use of the term "projection lens" herein may be considered synonymous with the more general term "projection system."

投影系統PS可包含複數個光學(例如,透鏡)元件且可進一步包含調整機構AM,調整機構AM經組態以調整光學元件中之一或多者以便校正像差(整個場中橫越光瞳平面之相位變化)。為了實現此目的,調整機構可操作以按一或多種不同方式操縱投影系統PS內之一或多個光學(例如,透鏡)元件。投影系統可具有座標系統,其中該投影系統之光軸在z方向上延伸。調整機構可操作以進行以下各者之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。光學元件之移位可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常出自垂直於光軸之平面藉由圍繞在x及/或y方向上之軸線旋轉而進行,但對於非旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,像散)及/或高頻形狀(例如,自由形式非球面)。可例如藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個加熱元件以加熱光學元件之一或多個選定區來執行光學元件之變形。一般而言,沒有可能調整投影系統PS以校正變跡(橫越光瞳平面之透射變化)。當設計用於微影裝置LA之圖案化器件(例如,光罩) MA時,可使用投影系統PS之透射映像。使用計算微影技術,圖案化器件MA可經設計為用以至少部分地校正變跡。The projection system PS may include a plurality of optical (e.g., lens) elements and may further include an adjustment mechanism AM configured to adjust one or more of the optical elements in order to correct aberrations (crossing the pupil across the field Phase change in the plane). To achieve this, the adjustment mechanism is operable to manipulate one or more optical (e.g., lens) elements within the projection system PS in one or more different ways. The projection system may have a coordinate system, wherein the optical axis of the projection system extends in the z direction. The adjustment mechanism is operable to perform any combination of: displacing one or more optical elements; tilting one or more optical elements; and / or deforming one or more optical elements. The displacement of the optical element can be performed in any direction (x, y, z, or a combination thereof). The tilting of the optical element usually occurs from a plane perpendicular to the optical axis by rotating around an axis in the x and / or y direction, but for non-rotationally symmetric aspherical optical elements a rotation around the z axis can be used. The deformation of the optical element may include a low-frequency shape (for example, astigmatism) and / or a high-frequency shape (for example, a free-form aspheric surface). Optics may be performed, for example, by using one or more actuators to apply a force to one or more sides of the optical element and / or by using one or more heating elements to heat one or more selected areas of the optical element Deformation of components. In general, it is not possible to adjust the projection system PS to correct apodizations (transmission changes across the pupil plane). When designing a patterned device (eg, a reticle) MA for the lithographic apparatus LA, a transmission image of the projection system PS can be used. Using computational lithography techniques, the patterned device MA may be designed to at least partially correct apodization.

微影裝置可屬於具有兩個(雙載物台)或多於兩個台(例如,兩個或多於兩個基板台WTa、WTb、兩個或多於兩個圖案化器件台、在無專用於例如促進量測及/或清潔等等之基板的情況下在投影系統下方之基板台WTa及台WTb)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,可進行使用對準感測器AS之對準量測及/或使用位階感測器LS之位階(高度、傾角等等)量測。Lithography devices can belong to two (dual stage) or more than two (e.g., two or more substrate stages WTa, WTb, two or more patterned device stages, Types of substrate tables WTa and WTb) below the projection system for substrates that facilitate measurement and / or cleaning, etc. In these "multi-stage" machines, additional stages can be used in parallel, or preliminary steps can be performed on one or more stages while one or more other stages are used for exposure. For example, an alignment measurement using the alignment sensor AS and / or a level measurement (height, tilt, etc.) measurement using the level sensor LS may be performed.

因此,在微影裝置之操作中,輻射光束經調節且由照明系統IL提供。輻射光束B入射於被固持於支撐結構(例如,光罩台) MT上之圖案化器件(例如,光罩) MA上,且由圖案化器件而圖案化。在已橫穿圖案化器件MA的情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF (例如,干涉量測器件、線性編碼器、2D編碼器或電容式感測器),可準確地移動基板台WT,例如,以便在輻射光束B之路徑中定位不同目標部分C。相似地,例如,在自光罩庫之機械擷取之後或在掃描期間,可使用第一定位器PM及另一位置感測器(其未在圖1中明確地描繪)以相對於輻射光束B之路徑準確地定位圖案化器件MA。一般而言,憑藉形成第一定位器PM之部件的長衝程模組(粗略定位)及短衝程模組(精細定位)來實現支撐結構MT之移動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)的狀況下,支撐結構MT可僅連接至短衝程致動器,或可固定。藉由使用對準系統,可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管如所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒被提供於圖案化器件MA上之情形中,圖案化器件對準標記可位於該等晶粒之間。小對準標記物亦可包括於器件特徵當中之晶粒內,在此狀況下,需要使標記物儘可能地小且無需與鄰近特徵不同的任何成像或程序條件。Therefore, in the operation of the lithographic apparatus, the radiation beam is adjusted and provided by the illumination system IL. The radiation beam B is incident on a patterned device (eg, a photomask) MA that is held on a support structure (eg, a photomask stage) MT, and is patterned by the patterned device. In the case where the patterned device MA has been traversed, the radiation beam B passes through the projection system PS, and the projection system PS focuses the beam onto the target portion C of the substrate W. With the second positioner PW and the position sensor IF (for example, an interference measurement device, a linear encoder, a 2D encoder, or a capacitive sensor), the substrate table WT can be accurately moved, for example, in the radiation beam B Locate different target parts C in the path. Similarly, for example, after a mechanical acquisition from a photomask library or during a scan, a first positioner PM and another position sensor (which is not explicitly depicted in FIG. 1) may be used relative to the radiation beam The path of B accurately positions the patterned device MA. Generally speaking, the movement of the support structure MT is achieved by means of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning) that form the components of the first positioner PM. Similarly, the long-stroke module and the short-stroke module forming part of the second positioner PW can be used to realize the movement of the substrate table WT. In the case of a stepper (as opposed to a scanner), the support structure MT may be connected to a short-stroke actuator only, or may be fixed. By using the alignment system, the patterned device MA and the substrate W can be aligned using the patterned device alignment marks M1, M2 and the substrate alignment marks P1, P2. Although the substrate alignment marks occupy dedicated target portions as illustrated, the marks may be located in the space between the target portions (these marks are referred to as scribe lane alignment marks). Similarly, in the case where more than one die is provided on the patterned device MA, the patterned device alignment mark may be located between the die. Small alignment marks can also be included in the crystal grains in the device features. In this case, it is necessary to make the marks as small as possible without requiring any imaging or procedural conditions that are different from neighboring features.

所描繪裝置可在以下模式中之至少一者中使用:The depicted device may be used in at least one of the following modes:

1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,以使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像的目標部分C之大小。1. In the step mode, when the entire pattern imparted to the radiation beam is projected onto the target portion C at one time, the supporting structure MT and the substrate table WT are kept substantially stationary (ie, a single static exposure). Next, the substrate table WT is shifted in the X and / or Y direction so that different target portions C can be exposed. In the step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.

2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式中,曝光場之最大大小限制目標部分在單次動態曝光中之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。2. In the scan mode, when the pattern imparted to the radiation beam is projected onto the target portion C, the support structure MT and the substrate table WT are scanned synchronously (ie, a single dynamic exposure). The speed and direction of the substrate table WT relative to the support structure MT can be determined by the magnification (reduction rate) and image inversion characteristics of the projection system PS. In the scanning mode, the maximum size of the exposure field limits the width of the target portion in a single dynamic exposure (in the non-scanning direction), and the length of the scanning motion determines the height of the target portion (in the scanning direction).

3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之連續輻射脈衝之間視需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型的可程式化鏡面陣列)之無光罩微影。3. In another mode, when the pattern imparted to the radiation beam is projected onto the target portion C, the support structure MT is kept substantially stationary, thereby holding the programmable patterning device, and moving or scanning the substrate table WT . In this mode, a pulsed radiation source is typically used and the programmable patterned device is updated as needed after each movement of the substrate table WT or between successive radiation pulses during scanning. This mode of operation can be easily applied to maskless lithography using a programmable patterned device such as a programmable mirror array of the type mentioned above.

亦可使用對上文所描述之使用模式的組合及/或變化或完全不同的使用模式。Combinations and / or variations of the usage modes described above or completely different usage modes can also be used.

如圖2中所展示,微影裝置LA可形成微影製造單元LC (有時亦被稱作微影製造單元(lithocell)或叢集)之部分,微影製造單元LC亦包括用以對基板執行曝光前程序及曝光後程序之裝置。習知地,此等裝置包括用以沈積一或多個抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取一或多個基板,將其在不同程序裝置之間移動且將其遞送至微影裝置之裝載匣LB。常常統稱為塗佈顯影系統(track)之此等裝置由塗佈顯影系統控制單元TCU控制,塗佈顯影系統控制單元TCU自身受監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。As shown in FIG. 2, the lithographic apparatus LA may form part of a lithographic manufacturing unit LC (sometimes also referred to as a lithocell or cluster). The lithographic manufacturing unit LC also includes Device for pre-exposure procedure and post-exposure procedure. Conventionally, such devices include one or more spin coaters SC for depositing one or more resist layers, one or more developers DE for developing exposed resist, one or more The cooling plate CH and / or one or more baking plates BK. The substrate handler or robot RO picks up one or more substrates from the input / output ports I / O1, I / O2, moves them between different process devices and delivers them to the loading box LB of the lithographic device. These devices, often collectively referred to as the coating and developing system (track), are controlled by the coating and developing system control unit TCU. The coating and developing system control unit TCU itself is controlled by the supervisory control system SCS, and the supervisory control system SCS is also controlled by the lithographic control unit LACU. And control the lithography device. Therefore, different devices can be operated to maximize throughput and processing efficiency.

實體系統或物件之實體項目例項(例如,基板上之圖案特徵)在符合相關聯規格方面可能並不皆完美。亦即,實體項目例項群體之實體項目特性(例如,大小、形狀等等)在符合規格方面可具有顯著的變化性。此變化性可為系統性的或隨機的。因此,預測實體項目例項是否超出規格可能係困難的,特別是由於隨機變化。因此,本文中提供一種技術能夠改良對超出規格的實體項目(例如,藉由器件製造程序產生之基板上的圖案例項)的預測,以用於例如對實體項目或物件或涉及實體項目或物件之程序及/或建立用於量測實體項目之改良式量測取樣計劃的控制、修改、設計等等。Examples of physical items (such as pattern features on a substrate) of a physical system or object may not be perfect in meeting the associated specifications. That is, the physical item characteristics (eg, size, shape, etc.) of the physical item instance group may have significant variability in meeting specifications. This variability can be systematic or random. Therefore, it may be difficult to predict whether an entity item is out of specification, especially due to random changes. Therefore, the technology provided in this article can improve the prediction of physical items that exceed specifications (for example, pattern instances on substrates produced by device manufacturing processes) for use in, for example, physical items or objects or involving physical items or objects. Control, modification, design, etc. of procedures and / or establishment of improved measurement sampling plans for measuring physical items.

現在,為了解釋技術之實施例而不意欲限制本發明之一般性,本發明集中於藉由器件製造程序產生之基板上的圖案例項作為實體系統或物件之實體項目例項的實例,且集中於此等圖案例項之缺陷係實體項目例項超出規格。本發明之實施例不限於此等特定實例。Now, in order to explain the embodiments of the technology without intending to limit the generality of the present invention, the present invention focuses on pattern instances on a substrate generated by a device manufacturing process as examples of physical item instances of a physical system or object, and focuses on The defects in these pattern instances are that the entity item instances exceed the specifications. The embodiments of the present invention are not limited to these specific examples.

因此,在考慮基板上之圖案例項的實例的情況下,藉由器件製造程序產生之彼等圖案例項可能並不皆完美。若圖案中之一些超出其各別設計規格,則其可被視為缺陷。缺陷可能由許多因素造成。因素可包括在器件製造程序中使用之微影裝置或其他硬體的系統瑕疵(imperfection)。若可量測此等因素,則可以相對較高的確定度預測由此等因素單獨造成之缺陷,此係因為此等因素與圖案之間的關係係明確的。因素可包括在器件製造程序中使用之微影裝置或其他硬體的隨機變化。隨機變化可歸因於多種機制,諸如光子散粒雜訊、熱雜訊、機械振動等等。由於此等因素之隨機性,明確預測由此等因素中之至少一些造成的缺陷可能極為困難。Therefore, in the case of considering the pattern examples on the substrate, the pattern patterns generated by the device manufacturing process may not all be perfect. If some of the patterns exceed their respective design specifications, they can be considered defects. Defects can be caused by many factors. Factors may include system imperfection of lithographic devices or other hardware used in the device manufacturing process. If these factors can be measured, the defects caused by these factors alone can be predicted with a relatively high degree of certainty, because the relationship between these factors and the pattern is clear. Factors may include random variations of lithographic devices or other hardware used in the device manufacturing process. Random changes can be attributed to a variety of mechanisms, such as photon shot noise, thermal noise, mechanical vibration, and more. Because of the random nature of these factors, it can be extremely difficult to clearly predict defects caused by at least some of these factors.

圖3示意性地描繪預測器件製造程序中之缺陷的方法。缺陷之實例可包括頸縮、線末端拉回、線薄化、不正確CD、重疊、橋接及/或其他缺陷。缺陷可在抗蝕劑影像、光學影像或蝕刻影像中(亦即,藉由使用其上之抗蝕劑作為光罩進行蝕刻而轉印至基板層的圖案)。在213處,模型用於基於器件製造程序之一或多個程序參數211及/或一或多個佈局參數212來計算圖案之特性214 (例如,存在、部位、類型、形狀等等)。程序參數211係與器件製造程序相關聯但不與佈局相關聯的參數。舉例而言,程序參數211可包括照明之特性(例如,強度、光瞳剖面等等)、投影光學件之特性、劑量、聚焦、抗蝕劑之特性、抗蝕劑之顯影之特性、抗蝕劑之曝光後烘烤之特性,及/或蝕刻之特性。佈局參數212可包括佈局上之各種特徵之形狀、大小、相對部位及/或絕對部位,及/或不同佈局上之特徵之重疊。在一實例中,模型係經驗模型,在經驗模型中,不模擬可在抗蝕劑影像、空中影像或蝕刻影像中之圖案;實情為,經驗模型基於經驗模型之輸入(例如,一或多個程序參數211及/或佈局參數212)與圖案之特性214 (例如,存在、部位、類型、形狀等等)之間的相關性來判定該特性。在一實例中,模型係計算模型,在計算模型中,模擬圖案之至少一部分且自該部分判定特性214,或模擬特性214而不模擬圖案自身。在215處,基於特性214而判定圖案是否係缺陷或是否存在圖案係缺陷之機率。舉例而言,可藉由尋找太遠離線之所要部位之線末端來識別線末端拉回缺陷;可藉由尋找兩個線不理想地接合之部位來識別橋接缺陷。FIG. 3 schematically depicts a method for predicting defects in a device manufacturing process. Examples of defects may include necking, wire end pullback, wire thinning, incorrect CD, overlap, bridging, and / or other defects. The defect may be in a resist image, an optical image, or an etched image (that is, a pattern transferred to a substrate layer by etching using the resist thereon as a photomask). At 213, the model is used to calculate characteristics 214 (eg, presence, location, type, shape, etc.) of the pattern based on one or more program parameters 211 and / or one or more layout parameters 212 of the device manufacturing process. The program parameters 211 are parameters that are associated with the device manufacturing process but are not associated with the layout. For example, the program parameters 211 may include characteristics of illumination (e.g., intensity, pupil profile, etc.), characteristics of projection optics, dose, focus, characteristics of resist, characteristics of resist development, resist Characteristics of baking after exposure of the agent, and / or characteristics of etching. The layout parameters 212 may include the shape, size, relative position and / or absolute position of various features on the layout, and / or the overlap of features on different layouts. In one example, the model is an empirical model. In the empirical model, the patterns that can be in the resist image, aerial image, or etch image are not simulated. In fact, the empirical model is based on the input of the empirical model (for example, one or more The correlation between the program parameters 211 and / or the layout parameters 212) and the characteristics 214 (eg, presence, location, type, shape, etc.) of the pattern determines the characteristics. In one example, the model is a computational model in which at least a portion of a pattern is simulated and a characteristic 214 is determined from that portion, or the characteristic 214 is simulated without simulating the pattern itself. At 215, it is determined whether the pattern is a defect or the probability of a pattern-based defect based on the characteristic 214. For example, a wire-end pull-back defect can be identified by finding the end of the wire that is too far away from the desired portion of the wire; a bridging defect can be identified by finding a location where the two wires are not ideally joined.

適用的計算方法之實例描述於美國專利申請公開案第US 2015-0227654號、PCT專利申請公開案第WO 2016-128189號、PCT專利申請公開案第WO 2016-202546號、PCT專利申請公開案第WO 2017-114662號及美國專利申請案第62/365,662號中,其中之每一者以全文引用之方式併入本文中。Examples of applicable calculation methods are described in US Patent Application Publication No. US 2015-0227654, PCT Patent Application Publication No. WO 2016-128189, PCT Patent Application Publication No. WO 2016-202546, PCT Patent Application Publication No. WO 2017-114662 and US Patent Application No. 62 / 365,662, each of which is incorporated herein by reference in its entirety.

在一實施例中,模型可呈多項式之形式,包含作為變數的器件製造程序之一或多個程序參數。舉例而言,多項式可用以下各者中之一或多者來表徵:聚焦、劑量、微影裝置台伺服誤差之移動平均值(MA)、微影裝置台伺服誤差之移動標準偏差(MSD)、圖案化器件圖案誤差及/或蝕刻參數。在一實施例中,一或多個變數可在基板上空間地表徵(例如,具有X及Y座標、具有徑向座標等等)。作為一實例,多項式可至少根據聚焦及劑量來指定,其中聚焦及劑量在基板上空間地表徵。In one embodiment, the model may be in the form of a polynomial, including one or more program parameters of the device manufacturing process as variables. For example, the polynomial can be characterized by one or more of the following: focus, dose, moving average (MA) of servo error of lithography device stage, moving standard deviation (MSD) of servo error of lithography device stage, Patterned device pattern error and / or etch parameters. In one embodiment, one or more variables may be characterized spatially on the substrate (eg, having X and Y coordinates, having radial coordinates, etc.). As an example, the polynomial can be specified based on at least the focus and dose, where the focus and dose are spatially characterized on the substrate.

圖3中說明建模及/或模擬圖案化程序之部分之方法的例示性流程圖,例如,建模及/或模擬影像(例如,抗蝕劑影像、空中影像、蝕刻影像)中之圖案之至少一部分或圖案之特性。如應瞭解,該等模型可表示不同圖案化程序且無需包含下文所描述之所有模型。An exemplary flowchart of a method of modeling and / or simulating portions of a patterning process in FIG. 3, such as a pattern in a modeling and / or simulation image (e.g., resist image, aerial image, etch image) Characteristics of at least a portion or pattern. As should be appreciated, the models may represent different patterning procedures and need not include all models described below.

如上文所描述,在微影投影裝置中,照明系統向圖案化器件提供照明(亦即,輻射),且投影光學件將來自圖案化器件之照明引導至基板上。因此,在一實施例中,投影光學件使得能夠形成空中影像(aerial image;AI),空中影像係基板處之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑的溶解度之空間分佈。在一實施例中,對微影程序之模擬可模擬空中影像及/或抗蝕劑影像之產生。As described above, in the lithographic projection device, the illumination system provides illumination (ie, radiation) to the patterned device, and the projection optics directs the illumination from the patterned device onto the substrate. Therefore, in one embodiment, the projection optics enable the formation of an aerial image (AI), which is the radiation intensity distribution at the substrate. The resist layer on the substrate is exposed, and an aerial image is transferred to the resist layer as a latent "resist image" (RI) therein. The resist image (RI) can be defined as the spatial distribution of the solubility of the resist in the resist layer. In one embodiment, the simulation of the lithography process can simulate the generation of aerial images and / or resist images.

照明模型31表示用以產生圖案化輻射光束之照明模式的光學特性(包括輻射強度分佈及/或相位分佈)。照明模型31可表示照明之光學特性,包括但不限於數值孔徑設定、照明標準差(σ)設定以及任何特定照明模式形狀(例如,離軸輻射形狀,諸如環形、四極、偶極等等),其中0(或標準差)係照明器之外部徑向範圍。The illumination model 31 represents the optical characteristics (including radiation intensity distribution and / or phase distribution) of the illumination mode used to generate the patterned radiation beam. The lighting model 31 may represent the optical characteristics of the lighting, including but not limited to numerical aperture settings, lighting standard deviation (σ) settings, and any particular lighting mode shape (eg, off-axis radiation shapes such as ring, quadrupole, dipole, etc.) Where 0 (or standard deviation) is the outer radial range of the luminaire.

投影光學件模型32表示投影光學件之光學特性(包括由投影光學件引起的對輻射強度分佈及/或相位分佈之改變)。投影光學件模型32可包括由各種因素引起的光學像差,該等因素例如,投影光學件的組件之發熱,由投影光學件之組件的機械連接引起的應力。投影光學件模型32可表示投影光學件之光學特性,包括選自以下各者中之一或多者:像差、失真、折射率、實體大小、實體維度、吸收率等等。微影投影裝置之光學屬性(例如,照明、圖案化器件圖案及投影光學件之屬性)規定空中影像。由於可改變用於微影投影裝置中之圖案化器件圖案,所以需要使圖案化器件圖案之光學屬性與至少包括照明及投影光學件的微影投影裝置之其餘部分的光學屬性分離。照明模型31及投影光學件模型32可組合成透射交叉係數(TCC)模型。The projection optics model 32 represents the optical characteristics of the projection optics (including changes to the radiation intensity distribution and / or phase distribution caused by the projection optics). The projection optics model 32 may include optical aberrations caused by various factors such as heat generation of components of the projection optics, and stress caused by mechanical connection of the components of the projection optics. The projection optics model 32 may represent the optical characteristics of the projection optics, including one or more of the following: aberration, distortion, refractive index, entity size, entity dimension, absorption rate, and so on. The lithographic projection device's optical properties (eg, properties of lighting, patterning device patterns, and projection optics) specify aerial images. Since the patterned device pattern used in the lithographic projection device can be changed, it is necessary to separate the optical properties of the patterned device pattern from the optical properties of the rest of the lithographic projection device including at least illumination and projection optics. The illumination model 31 and the projection optics model 32 can be combined into a transmission cross-coefficient (TCC) model.

圖案化器件圖案模型33表示圖案化器件圖案(例如,對應於積體電路、記憶體、電子器件等等之特徵的器件設計佈局)之光學特性(包括由給定圖案化器件圖案引起的對輻射強度分佈及/或相位分佈之改變),圖案化器件圖案係圖案化器件上或由圖案化器件形成之特徵之配置的表示。圖案化器件模型33俘獲如何在圖案化器件之圖案中佈置設計特徵,且可包括圖案化器件之詳細實體屬性及圖案化器件圖案的表示,例如,如美國專利第7,587,704號中所描述,該美國專利以全文引用的方式併入本文中。Patterned device pattern model 33 represents the optical characteristics of patterned device patterns (e.g., device design layouts corresponding to the characteristics of integrated circuits, memory, electronic devices, etc.) (including radiation exposure caused by a given patterned device pattern Changes in intensity distribution and / or phase distribution), patterned device pattern is a representation of the configuration of features on or formed by a patterned device. The patterned device model 33 captures how design features are arranged in the pattern of the patterned device, and may include detailed physical attributes of the patterned device and a representation of the patterned device pattern, for example, as described in U.S. Patent No. 7,587,704. Patents are incorporated herein by reference in their entirety.

抗蝕劑模型37可用以自空中影像計算抗蝕劑影像。可在以全文引用的方式併入本文中之美國專利第8,200,468號中發現此抗蝕劑模型之一實例。抗蝕劑模型通常描述在抗蝕劑曝光、曝光後烘烤(PEB)及顯影期間出現的化學程序之效應,以便預測例如形成於基板上之抗蝕劑特徵之輪廓,且因此其通常僅與抗蝕劑層之此等屬性(例如在曝光、曝光後烘烤及顯影期間出現的化學程序之效應)相關。在一實施例中,可俘獲抗蝕劑層之光學屬性,例如,折射率、膜厚度、傳播及偏振效應作為投影光學件模型32之一部分。The resist model 37 may be used to calculate a resist image from an aerial image. An example of this resist model can be found in US Patent No. 8,200,468, which is incorporated herein by reference in its entirety. Resist models typically describe the effects of chemical procedures that occur during resist exposure, post-exposure bake (PEB), and development in order to predict, for example, the contours of resist features formed on a substrate, and therefore they are usually only related to These properties of the resist layer, such as the effects of chemical processes that occur during exposure, post-exposure baking, and development, are related. In one embodiment, optical properties of the resist layer, such as refractive index, film thickness, propagation, and polarization effects, can be captured as part of the projection optics model 32.

在具有此等模型的情況下,可自照明模型31、投影光學件模型32及圖案化器件圖案模型33模擬空中影像36。空中影像(AI)係在基板位階處之輻射強度分佈。微影投影裝置之光學屬性(例如,照明、圖案化器件及投影光學件之屬性)規定空中影像。With these models, the self-illumination model 31, the projection optics model 32, and the patterned device pattern model 33 can simulate an aerial image 36. Aerial image (AI) is the radiation intensity distribution at the substrate level. The optical properties of lithographic projection devices (eg, properties of lighting, patterning devices, and projection optics) specify aerial images.

如上文所提及,藉由空中影像曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可使用抗蝕劑模型37而自空中影像36模擬抗蝕劑影像38。因此,一般而言,光學模型與抗蝕劑模型之間的連接係抗蝕劑層內之經模擬空中影像強度,其起因於輻射至基板上之投影、抗蝕劑界面處之折射及抗蝕劑膜堆疊中之多個反射。輻射強度分佈(空中影像強度)係藉由入射能量之吸收而變為潛伏「抗蝕劑影像」,該潛伏抗蝕劑影像係藉由擴散程序及各種負載效應予以進一步修改。足夠快以用於全晶片應用之高效模擬方法藉由2維空中(及抗蝕劑)影像而近似抗蝕劑堆疊中之實際3維強度分佈。As mentioned above, the resist layer on the substrate is exposed by an aerial image, and the aerial image is transferred to the resist layer as a latent "resist image" (RI) therein. The resist image 37 may be simulated from the aerial image 36 using the resist model 37. Therefore, in general, the connection between the optical model and the resist model is the simulated aerial image intensity in the resist layer, which results from the projection of radiation onto the substrate, refraction at the resist interface, and resist Multiple reflections in a film stack. The radiation intensity distribution (air image intensity) is converted into a latent "resist image" by absorption of incident energy, and the latent resist image is further modified by a diffusion process and various loading effects. An efficient simulation method fast enough for full-chip applications approximates the actual 3-dimensional intensity distribution in a resist stack by 2-dimensional aerial (and resist) images.

在一實施例中,可將抗蝕劑影像用作至圖案轉印後程序模型39之輸入。圖案轉印後程序模型39界定執行一或多個抗蝕劑顯影後程序(例如,蝕刻、CMP等等)且可產生蝕刻後影像40。亦即,可使用圖案轉印後程序模型39而自抗蝕劑影像36模擬蝕刻影像40。In one embodiment, a resist image can be used as an input to the post-pattern program model 39. The post-pattern process model 39 defines that one or more post-resist development processes (eg, etching, CMP, etc.) are performed and a post-etch image 40 can be generated. That is, the etched image 40 can be simulated from the resist image 36 using the pattern transfer program model 39.

因此,此模型公式化描述總程序之大多數(若非全部)已知實體學及化學方法,且模型參數中之每一者理想地對應於一相異實體或化學效應。因此,模型公式化設定關於為模擬總製造程序模型可被使用之良好程度之上限。Therefore, this model formulates most, if not all, of the total procedures known physical and chemical methods, and each of the model parameters ideally corresponds to a distinct entity or chemical effect. Therefore, model formulation sets an upper limit on how well a model can be used to simulate the total manufacturing process.

舉例而言,圖案化程序之模擬可預測空中、抗蝕劑及/或蝕刻影像中之輪廓、CD、邊緣置放(例如,邊緣置放誤差)、圖案移位等等。亦即,可使用空中影像34、抗蝕劑影像36或蝕刻影像40來判定圖案之特性(例如,圖案之存在、部位、類型、形狀等等)。因此,模擬之目標係為了準確地預測例如印刷圖案之邊緣置放及/或輪廓,及/或圖案移位,及/或空中影像強度斜率,及/或CD等等。可將此等值與預期設計比較以例如校正圖案化程序、識別預測出現缺陷之地點等等。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。For example, simulations of the patterning process can predict contours in the air, resist and / or etched images, CDs, edge placement (eg, edge placement errors), pattern shifts, and so on. That is, the characteristics of the pattern (eg, the presence, location, type, shape, etc. of the pattern) may be determined using the aerial image 34, the resist image 36, or the etched image 40. Therefore, the objective of the simulation is to accurately predict, for example, the edge placement and / or contour of the printed pattern, and / or the pattern shift, and / or the slope of the aerial image intensity, and / or the CD and so on. This value can be compared to the expected design to, for example, correct the patterning process, identify where the defect is predicted to occur, and so on. Prospective designs are often defined as pre-OPC design layouts that can be provided in a standardized digital file format such as GDSII or OASIS or other file formats.

用以將圖案化器件圖案變換成各種微影影像(例如,空中影像、抗蝕劑影像等等)、使用彼等技術及模型應用OPC及評估執行(例如,根據程序窗)之技術及模型的細節描述於美國專利申請公開案第US 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197、2010-0180251及2011-0099526號中,該等美國專利申請公開案中之每一者的揭示內容以全文引用的方式併入本文中。Used to transform patterned device patterns into various lithographic images (e.g., aerial images, resist images, etc.), use their technologies and models to apply OPC, and evaluate techniques and models implemented (e.g., according to program windows) Details are described in U.S. Patent Application Publication Nos. US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, 2010-0180251, and 2011-0099526. The disclosure of one is incorporated herein by reference in its entirety.

為了促進評估模型之速度,可自圖案化器件圖案識別一或多個部分,其被稱作「剪輯(clip)」。在一特定實施例中,提取剪輯集合,其表示圖案化器件圖案中之複雜圖案(通常約50個至1000個剪輯,但可使用任何數目個剪輯)。如熟習此項技術者應瞭解,此等圖案或剪輯表示設計之小部分(亦即,電路、胞元或圖案),且特別地,該等剪輯表示需要特定注意及/或檢驗之小部分。換言之,剪輯可為圖案化器件圖案之部分,或可相似或具有臨界特徵係藉由體驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的圖案化器件圖案之部分的相似行為。剪輯通常含有一或多個測試圖案或量規圖案。可由客戶基於圖案化器件圖案中要求特定考慮因素之已知臨界特徵區域而先驗地提供初始較大剪輯集合。在一實施例中,藉由使用識別臨界特徵區域之某種自動(諸如機器視覺)或手動演算法,可自整個圖案化器件圖案提取初始較大剪輯集合。To facilitate the speed of the evaluation model, one or more portions can be identified from the patterned device pattern, which is referred to as a "clip." In a particular embodiment, a collection of clips is extracted, which represents a complex pattern in a patterned device pattern (typically about 50 to 1000 clips, but any number of clips can be used). As those skilled in the art will appreciate, these patterns or clips represent a small portion of the design (ie, a circuit, cell, or pattern), and in particular, these clips represent a small portion that requires specific attention and / or inspection. In other words, the clip can be part of a patterned device pattern, or it can be similar or have critical features identified by experience (including clips provided by the customer), identified by trial and error, or performed by performing a full-chip simulation Similar behavior of the patterned part of the patterned device. Clips usually contain one or more test patterns or gauge patterns. The initial large set of clips can be provided a priori by the customer based on known critical feature areas in the patterned device pattern that require specific considerations. In one embodiment, an initial larger set of clips can be extracted from the entire patterned device pattern by using some kind of automatic (such as machine vision) or manual algorithm to identify critical feature areas.

此外,圖案化器件上或由圖案化器件提供之各種圖案可具有不同程序窗,亦即,處理變數之空間,在該空間下將在規格內產生圖案。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線末端拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併每一個別圖案之程序窗(例如,使該等程序窗重疊)來獲得圖案化器件或其區域上之所有圖案之程序窗。所有圖案之程序窗之邊界含有個別圖案中之一些之程序窗之邊界。換言之,此等個別圖案限制所有圖案之程序窗。此等圖案可被稱作「熱點」或「程序窗限制圖案(PWLP)」,「熱點」與「程序窗限制圖案(PWLP)」在本文中可互換地使用。當使用例如本文中所描述之建模來設計、修改等等圖案化程序之一部分時,集中於熱點係可能且經濟的。當熱點並未有缺陷時,最有可能的是,所有圖案皆未有缺陷。In addition, various patterns on or provided by a patterned device may have different program windows, that is, a space for processing variables, under which the pattern will be produced within specifications. Examples of pattern specifications for potential systemic defects include inspection necking, line end pullback, line thinning, CD, edge placement, overlap, resist top loss, resist undercut, and / or bridging. The program windows of all the patterns on the patterned device or its area can be obtained by merging the program windows of each individual pattern (eg, overlapping the program windows). The boundaries of the program windows of all patterns include the boundaries of some of the program windows of individual patterns. In other words, these individual patterns limit the program window for all patterns. These patterns may be referred to as "hot spots" or "program window restriction patterns (PWLP)", and "hot spots" and "program window restriction patterns (PWLP)" are used interchangeably herein. Focusing on hot spots is possible and economical when designing, modifying, and the like as part of a patterning program using modeling such as described herein. When the hot spot is not defective, it is most likely that all patterns are not defective.

因此,基於相對快速的光學檢測識別基板上之實際缺陷的當前方法可能在試圖偵測小缺陷(例如,子10奈米缺陷)時遇到解析度問題。另一方面,電子束系統通常太慢而不能用於大批量製造(HVM)以檢測大量部位之缺陷。因此,如上文所描述,計算方法可用於幫助識別缺陷應位於基板上之部位,接著將電子束檢測(EBI)工具導引至彼等部位。此可增加EBI之有效檢測速度,並使其可用於在HVM中尋找小缺陷(例如,子10奈米缺陷)。Therefore, current methods that identify actual defects on a substrate based on relatively fast optical inspection may encounter resolution issues when trying to detect small defects (eg, sub-10 nm defects). Electron beam systems, on the other hand, are often too slow to be used in high-volume manufacturing (HVM) to detect defects in a large number of locations. Therefore, as described above, calculation methods can be used to help identify where defects should be located on the substrate, and then guide an electron beam inspection (EBI) tool to those locations. This can increase the effective detection speed of EBI and make it useful for finding small defects (e.g., sub-10 nm defects) in HVM.

當然,使用計算方法識別潛在缺陷以改良檢測速度之有效性取決於用於以高準確度將EBI工具導引至相關缺陷部位之模型。但是,此等計算方法在尋找小缺陷(例如,子10奈米缺陷)時面臨之問題在於,用於識別缺陷部位之建模不能完美地預測如何在產品基板上產生圖案。因此,存在模型殘差,即,圖案之預測大小與量測大小之間的差。Of course, the effectiveness of using computational methods to identify potential defects to improve detection speed depends on the model used to guide the EBI tool to the relevant defect site with high accuracy. However, a problem with these calculation methods when looking for small defects (for example, sub-10 nm defects) is that the modeling used to identify the defect site cannot perfectly predict how a pattern will be produced on a product substrate. Therefore, there is a model residual, that is, a difference between a predicted size and a measured size of a pattern.

此等模型殘差(例如,雜訊)之大小可與計算方法試圖預測之小缺陷相稱。此導致關於缺陷是否將在預測部位處表現出來的顯著不確定性。因此,為了在檢測期間達成在基板上發現所有缺陷之可接受的確定位準,EBI工具應訪問計算方法指示存在缺陷之可能性的所有部位;即,用於識別缺陷之定限可能需要比最佳更寬,以確保俘獲所有或大多數缺陷。此意謂EBI工具將必須檢測相當大數目個不必要的部位(妨害)以便俘獲實際缺陷。此有可能導致不必要的檢測時間及所量測點之數目與實際數目個缺陷之間的較差相關性。亦即,舉例而言,將需要對任何給定基板上進行取樣以確定實際數目個缺陷之點的數目顯著大於實際數目個缺陷,且其與任何給定基板上之實際數目個缺陷的比率並非必需為常數。因此,檢測時間可能顯著長於需要的時間。The magnitude of these model residuals (e.g., noise) can be commensurate with the small defects that the computational method attempts to predict. This leads to significant uncertainty as to whether the defect will appear at the predicted location. Therefore, in order to achieve an acceptable certainty level during which all defects are found on the substrate during inspection, the EBI tool should access all parts of the calculation method that indicate the possibility of a defect; that is, the limits used to identify defects may require Better wide to ensure that all or most defects are captured. This means that EBI tools will have to detect a significant number of unnecessary sites (obstructions) in order to capture actual defects. This may cause unnecessary detection time and poor correlation between the number of measured points and the actual number of defects. That is, for example, the number of points on any given substrate that will need to be sampled to determine the actual number of defects is significantly greater than the actual number of defects, and its ratio to the actual number of defects on any given substrate is not Must be constant. Therefore, the detection time may be significantly longer than required.

現在,儘管隨機變化係隨機的,但其統計數據可能並非如此。因此,有可能以統計方式預測缺陷,換言之,有可能預測缺陷之機率。因此,在一實施例中,在圖3之213處使用機率模型或方法,亦即計算具有某一值之缺陷之特性之機率的模型或方法。舉例而言,機率模型或方法可預測影像中之圖案具有某一形狀或某一CD的機率。相較於僅使用非機率模型,機率模型或方法可更好地俘獲器件製造程序中之隨機變化。Now, although random changes are random, their statistics may not be so. Therefore, it is possible to predict defects statistically, in other words, it is possible to predict the probability of defects. Therefore, in an embodiment, a probability model or method is used at 213 in FIG. 3, that is, a model or method for calculating the probability of a characteristic of a defect having a certain value. For example, a probability model or method can predict the probability that a pattern in an image has a certain shape or a certain CD. Rather than using only non-probability models, probabilistic models or methods are better at capturing random changes in the device manufacturing process.

圖5A、圖5B、圖5C及圖5D示意性地展示相較於僅使用非機率模型之計算方法,機率模型方法可更好地考慮隨機變化,且因此例如更好地指導檢測在器件製造程序中產生之基板。圖5A及圖5B各自展示非機率模型計算藉由器件製造程序產生之基板上之圖案的例項之特性的值420。特性實例之非詳盡清單可包括選自以下各者中之一或多者:圖案相對於基板之位置、圖案相對於基板上之一或多個其他圖案例項的位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)、線邊緣粗糙度(LER)等等)。若特性未能滿足條件(在本文中,例如,若特性小於臨限值410),則圖案例項係缺陷;若特性滿足條件(在本文中,例如,若特性大於臨限值410),則圖案例項並非缺陷。值420大於圖5A及圖5B中所展示之實例中的臨限值410。因此,基於非機率模型,不應將此圖案例項視為缺陷。5A, 5B, 5C, and 5D schematically show that the probability model method can better consider the random variation than the calculation method using only the non-probability model, and therefore, for example, better guides the inspection of the device manufacturing process. Generated in the substrate. 5A and 5B each show a non-probability model calculating a value 420 of a characteristic of an example of a pattern on a substrate generated by a device manufacturing process. A non-exhaustive list of characteristic examples may include one or more of the following: the position of the pattern relative to the substrate, the position of the pattern relative to one or more other pattern instances on the substrate, the geometric size (e.g., CD ), Geometry, and / or measures of random effects (eg, CD uniformity (CDU), line width roughness (LWR), line edge roughness (LER), etc.). If the characteristic fails to meet the condition (in this case, for example, if the characteristic is less than the threshold 410), the pattern instance is defective; if the characteristic meets the condition (for example, if the characteristic is greater than the threshold 410), then The legend items are not defects. The value 420 is greater than the threshold value 410 in the example shown in FIGS. 5A and 5B. Therefore, based on the non-probability model, this pattern instance should not be considered a defect.

然而,與任何模型一樣,非機率模型可能並非完全準確。藉由非機率模型進行的圖案例項之特性的計算值與產生之圖案例項之特性的實際值可能具有差。此差稱為殘差。殘差可歸因於例如隨機變化、非機率模型之瑕疵、非機率模型之輸入,或其組合。在圖5A及圖5B之實例中,若殘差足夠大(例如,大於值420與臨限值410之間的差),則判定值420之圖案例項之特性的實際值可能小於臨限值410,且彼圖案例項係缺陷。However, like any model, the non-probability model may not be completely accurate. The calculated values of the characteristics of the pattern instances by the non-probability model may differ from the actual values of the characteristics of the generated pattern instances. This difference is called the residual. Residuals can be attributed to, for example, random variations, flaws in non-probability models, inputs to non-probability models, or a combination thereof. In the example of FIGS. 5A and 5B, if the residual is sufficiently large (for example, greater than the difference between the value 420 and the threshold value 410), the actual value of the characteristics of the pattern instance of the determination value 420 may be less than the threshold 410, and the pattern items are defects.

實務上,殘差可具有分佈(例如,圖5A中之分佈430及圖5B中之分佈431),其特徵在於例如殘差值之出現例項之數目、殘差值之出現機率等等。舉例而言,特定圖案實務上可在基板上以不同大小產生,但基板上之彼等圖案例項的預測大小可為相同的或可以與實際產生之大小不同的變化預測。因此,將存在殘差值之分佈。In practice, the residuals may have a distribution (for example, distribution 430 in FIG. 5A and distribution 431 in FIG. 5B), which is characterized by, for example, the number of occurrences of the residual value, the occurrence probability of the residual value, and the like. For example, in practice, specific patterns can be generated in different sizes on the substrate, but the predicted sizes of their pattern instances on the substrate can be the same or can be predicted from changes that are different from the actual generated size. Therefore, there will be a distribution of residual values.

圖5A之實例中之殘差的分佈430寬於圖5B之實例中之殘差的分佈431。詳言之,圖5A之實例中之殘差大於值420與臨限值410之間的差的機率大於圖5B之實例。換言之,在圖5A之實例中判定值420的圖案例項之特性的實際值小於臨限值410的機率大於圖5B之實例;圖5A之實例中之圖案例項係缺陷的機率大於圖5B之實例。非機率模型無法俘獲殘差之分佈(例如,分佈430及431),且因此無法俘獲一些缺陷(或圖5A之實例中之許多缺陷)。The distribution 430 of the residuals in the example of FIG. 5A is wider than the distribution 431 of the residuals in the example of FIG. 5B. In detail, the probability that the residual error in the example of FIG. 5A is greater than the difference between the value 420 and the threshold 410 is greater than the example of FIG. 5B. In other words, in the example of FIG. 5A, the probability that the actual value of the pattern instance of the determination value 420 is less than the threshold 410 is greater than that of the example of FIG. 5B; the probability of the pattern instance in the example of FIG. 5A is greater than that of FIG. 5B. Instance. The non-probability model cannot capture the distribution of residuals (e.g., distributions 430 and 431), and therefore cannot capture some defects (or many of the defects in the example of FIG. 5A).

相似地,非機率模型可能將非缺陷預測為缺陷。圖5C及圖5D各自展示非機率模型計算基板上之另一圖案例項之特性的值421。在一實施例中,計算值421之圖案與計算值420之圖案相同。判定值之差異可能例如由於相較於計算值420之圖案轉印條件,與計算值421之圖案例項不同的普遍的圖案轉印條件。作為一實例,相較於計算值420之圖案例項,計算值421之圖案例項可能具有不同聚焦、劑量等條件,從而引起計算值420與421之差。Similarly, non-probability models may predict non-defects as defects. FIG. 5C and FIG. 5D each show a value 421 of the characteristic of another pattern instance on the substrate calculated by the non-probability model. In one embodiment, the pattern of the calculated value 421 is the same as the pattern of the calculated value 420. The difference in the judgment value may be due to, for example, a general pattern transfer condition that is different from the pattern example of the calculated value 421 compared to the pattern transfer condition of the calculated value 420. As an example, compared to the pattern instance of the calculated value 420, the pattern instance of the calculated value 421 may have different conditions such as focus and dose, thereby causing a difference between the calculated values 420 and 421.

因此,若圖案例項之特性(例如,值421)無法滿足條件(在本文中,例如,若特性小於臨限值410),則圖案例項係缺陷;若特性滿足條件(在本文中,例如,若特性大於臨限值410),則圖案例項並非缺陷。在此實例中,值421小於臨限值410,如圖5C及圖5D中所展示。因此,基於非機率模型,不應將此圖案例項視為缺陷。Therefore, if the characteristics of the pattern instance (for example, the value 421) cannot satisfy the condition (in this article, for example, if the characteristic is less than the threshold 410), the pattern instance is defective; if the characteristic meets the condition (in this article, for example, If the characteristic is greater than the threshold value 410), the pattern instance is not a defect. In this example, the value 421 is less than the threshold 410, as shown in FIGS. 5C and 5D. Therefore, based on the non-probability model, this pattern instance should not be considered a defect.

然而,在圖5C及圖5D之實例中,若殘差足夠大(例如,大於值421與臨限值410之間的差),則圖案例項之特性的實際值可能大於臨限值410,且彼圖案並非缺陷。圖5C之實例中之殘差的分佈430寬於圖5D之實例中之殘差的分佈431。詳言之,圖5C之實例中之殘差大於值421與臨限值410之間的差的機率大於圖5D之實例。換言之,在圖5C之實例中判定值421的圖案例項之特性的實際值大於臨限值410的機率大於圖5D之實例;圖5C之實例中之圖案例項並非缺陷的機率大於圖5D之實例。非機率模型無法俘獲殘差之分佈(例如,分佈430及431),且因此將一些非缺陷(或圖5C之實例中之許多非缺陷)預測為缺陷。However, in the examples of FIGS. 5C and 5D, if the residual is sufficiently large (for example, greater than the difference between the value 421 and the threshold 410), the actual value of the characteristics of the pattern instance may be greater than the threshold 410, And that pattern is not a defect. The distribution 430 of the residuals in the example of FIG. 5C is wider than the distribution 431 of the residuals in the example of FIG. 5D. In detail, the probability that the residual error in the example of FIG. 5C is greater than the difference between the value 421 and the threshold 410 is greater than the example of FIG. 5D. In other words, in the example of FIG. 5C, the probability that the actual value of the pattern instance of the determination value 421 is greater than the threshold 410 is greater than the example of FIG. 5D; the probability of the pattern instance in the example of FIG. 5C is not a defect is greater than that of FIG. Instance. Non-probability models cannot capture the distribution of residuals (eg, distributions 430 and 431), and therefore predict some non-defects (or many non-defects in the example of FIG. 5C) as defects.

因此,在一實施例中,機率計算方法用於產生「統計缺陷」,其係由判定部位是否係缺陷之計算方法識別的特定部位實際上係缺陷的機率。在一實施例中,機率可為0與1之間的機率數字。Therefore, in one embodiment, the probability calculation method is used to generate a “statistical defect”, which is a probability that a specific part identified by the calculation method of determining whether the part is a defect is actually a defect. In one embodiment, the probability may be a probability number between 0 and 1.

在一實施例中,機率計算方法使用一組一或多個熱點(其中熱點係待圖案化之晶粒內的程序敏感特徵)以及使用度量衡獲得的程序資訊來指派考慮的每一熱點將成為其上印刷有每一熱點之例項的基板上之一或多個部位的缺陷的機率。在一實施例中,在基板上自所考慮之一組熱點例項以統計方式預期基板上之缺陷之總數目則為基板上所有預測部位處之熱點的所有機率的總和。In one embodiment, the probability calculation method uses a set of one or more hotspots (where hotspots are program-sensitive features within the die to be patterned) and program information obtained using metrology to assign each hotspot considered to be its Probability of defects in one or more locations on the substrate printed with instances of each hot spot. In one embodiment, the total number of defects on the substrate that are statistically expected from a set of hotspot instances under consideration is the sum of all probabilities of hot spots at all predicted locations on the substrate.

圖6示意性地展示根據一實施例如何使用機率計算方法來預測缺陷。非機率模型555用於計算藉由器件製造程序產生之基板上的圖案510之例項之特性的值520。特性實例之非詳盡清單可包括選自以下各者中之一或多者:相對於基板之位置、相對於基板上之一或多個其他圖案例項之位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)等等)。非機率模型555可基於一或多個程序參數或佈局參數或憑經驗而計算值520。在一實施例中,將非機率模型555之殘差的分佈530添加至值520以產生特性之分佈540。可使用分佈540來計算圖案510係缺陷之機率(例如,特性超過臨限值551與552之間的範圍之機率)。FIG. 6 schematically shows how a probability calculation method is used to predict defects according to an embodiment. The non-probability model 555 is used to calculate the value 520 of the characteristics of the instance of the pattern 510 on the substrate generated by the device manufacturing process. A non-exhaustive list of characteristic examples may include one or more selected from the following: position relative to the substrate, position relative to one or more other pattern instances on the substrate, geometric size (e.g., CD), Geometry, and / or a measure of random effects (eg, CD uniformity (CDU), line width roughness (LWR), etc.). The non-probability model 555 may calculate the value 520 based on one or more program parameters or layout parameters or empirically. In one embodiment, the distribution 530 of residuals of the non-probability model 555 is added to the value 520 to generate a distribution 540 of characteristics. The distribution 540 can be used to calculate the probability that the pattern 510 is a defect (eg, the probability that the characteristic exceeds a range between the threshold 551 and 552).

圖7展示根據一實施例之計算藉由器件製造程序產生之基板上的缺陷之機率之方法的流程圖。在610處,獲得非機率模型之殘差之分佈的屬性620。屬性620之一個實例係殘差之機率密度函數(PDF)。在一實施例中,PDF可被歸一化,使得分佈下之機率的總和係特定值,例如,1。屬性620之另外實例係殘差之累積分佈函數(CDF)或經驗累積分佈函數(eCDF) (亦稱為經驗分佈函數(EDF))。可自殘差之值判定eCDF。eCDF係與樣本之經驗量度(例如,自複數個圖案例項獲得的殘差值,如下文所論述)相關聯的分佈函數。eCDF係階梯函數,其在n個資料點中之每一者處升高1/n (例如,自複數個圖案例項獲得的殘差值)。可使用下式來定義eCDF:,其中(x 1 , …,xn )係樣本中之值,且係事件A之指示符。在任何指定值t處之eCDF的值係小於或等於t之樣本的分數。根據格里文科-坎泰利(Glivenko-Cantelli)定理,其以機率1收斂至n增大的彼基礎分佈。可基於eCDF估計CDF。可使用例如Dvorestzky-Kiefer-Wolfowitz (DKW)不等式基於eCDF來估計CDF。基於eCDF而估計CDF之誤差ϵ係以如下DKW不等式為界:。DKW不等式展示,估計誤差ϵ可由用以建構EDF之殘差之值數目n來判定。FIG. 7 shows a flowchart of a method of calculating a probability of a defect on a substrate generated by a device manufacturing process according to an embodiment. At 610, an attribute 620 of the distribution of the residuals of the non-probability model is obtained. An example of attribute 620 is the probability density function (PDF) of the residuals. In one embodiment, the PDF can be normalized such that the sum of the probability in the distribution is a specific value, for example, 1. Another example of an attribute 620 is the cumulative distribution function (CDF) or empirical cumulative distribution function (eCDF) of the residuals (also known as the empirical distribution function (EDF)). The eCDF can be determined from the value of the residual. eCDF is a distribution function associated with an empirical measure of a sample (eg, residual values obtained from a plurality of pattern instances, as discussed below). eCDF is a step function that rises by 1 / n at each of the n data points (eg, residual values obtained from a plurality of pattern instances). The eCDF can be defined using: , Where ( x 1 ,…, x n ) are the values in the sample, and It is the indicator of event A. The value of eCDF at any given value t Is the score of the sample less than or equal to t. According to Glivenko-Cantelli's theorem, it converges with probability 1 to the basic distribution with increasing n. CDF can be estimated based on eCDF. ECDF can be based on e.g. Dvorestzky-Kiefer-Wolfowitz (DKW) inequality To estimate the CDF . The estimated CDF error based on eCDF is bounded by the following DKW inequality: . The DKW inequality is shown, and the estimation error cannot be used to construct the EDF To determine the number of residual values n.

在一實施例中,屬性620係表示分佈之散佈(例如,方差及/或標準偏差)的屬性。在一實施例中,屬性620用於特定圖案類型或圖案類型之集合。在一實施例中,屬性620用於特定熱點或熱點之集合。如應瞭解,可獲得複數個不同屬性620,該等屬性各自對應於不同圖案類型或圖案類型之集合。In one embodiment, the attribute 620 is an attribute representing the dispersion (eg, variance and / or standard deviation) of the distribution. In one embodiment, the attribute 620 is used for a specific pattern type or a set of pattern types. In one embodiment, the attribute 620 is used for a specific hotspot or a set of hotspots. As should be understood, a plurality of different attributes 620 are obtained, each of which corresponds to a different pattern type or set of pattern types.

在630處,使用非機率模型計算基板上之圖案例項之特性的值640。特性實例之非詳盡清單可包括選自以下各者中之一或多者:相對於基板之位置、相對於基板上之一或多個其他圖案例項之位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)等等)。在一實施例中,針對存在屬性620之圖案類型計算值640 (例如,其匹配於屬性620之圖案類型或匹配於屬性620之圖案類型的集合)。At 630, a non-probability model is used to calculate a value 640 for the characteristics of the pattern instances on the substrate. A non-exhaustive list of characteristic examples may include one or more selected from the following: position relative to the substrate, position relative to one or more other pattern instances on the substrate, geometric size (e.g., CD), Geometry, and / or a measure of random effects (eg, CD uniformity (CDU), line width roughness (LWR), etc.). In one embodiment, a value 640 is calculated for the pattern type where the attribute 620 exists (eg, it matches the pattern type of the attribute 620 or a set of pattern types that match the attribute 620).

在650處,基於殘差之分佈之屬性620且基於使用非機率模型計算之值640而判定特性之分佈之屬性660。在一實例中,屬性660係使用非機率模型計算之值640與殘差之分佈之屬性620的總和。At 650, an attribute 660 of the characteristic distribution is determined based on the attribute 620 of the distribution of the residuals and based on the value 640 calculated using the non-probability model. In one example, the attribute 660 is the sum of the value 640 calculated using the non-probability model and the attribute 620 of the distribution of the residuals.

在670處,基於屬性660而判定圖案例項係缺陷之機率680。在一實例中,屬性660係特性之PDF,且機率680可為在特性範圍內之PDF的整合。舉例而言,機率680可為在低於缺陷臨限值之範圍及/或高於缺陷臨限值之範圍內之PDF的整合。在一實例中,屬性660係特性之CDF或eCDF,且機率680可為特性範圍之上限處的CDF或eCDF之值(例如,缺陷發生在缺陷臨限值以下)及/或1 (假定CDF或eCDF表徵0至1範圍內的機率)減去該範圍之下限處的CDF或eCDF之值(例如,缺陷發生在缺陷臨限值以上)。在一實施例中,屬性660被歸一化,例如,藉由要求考慮中之基板上之一或多個圖案例項之例項的缺陷總數目的期望值等於基板上之彼等一或多個圖案例項的每一例項係缺陷之機率的總和。At 670, a probability 680 that the pattern instance is defective is determined based on the attribute 660. In one example, the attribute 660 is a PDF of the characteristic, and the probability 680 may be an integration of the PDF within the characteristic range. For example, the probability 680 may be the integration of PDFs within a range below the defect threshold and / or above a defect threshold. In an example, the attribute 660 is the CDF or eCDF of the characteristic, and the probability 680 may be the value of the CDF or eCDF at the upper limit of the characteristic range (for example, the defect occurs below the defect threshold) and / or 1 (assuming the CDF or eCDF characterizes the probability in the range of 0 to 1) minus the value of CDF or eCDF at the lower limit of the range (for example, a defect occurs above a defect threshold). In one embodiment, the attribute 660 is normalized. For example, the expected value of the total number of defects of one or more pattern instances on the substrate under consideration is expected to be equal to one or more of the images on the substrate. Each case item is the sum of the probability of a defect.

如應瞭解,步驟610、630、650及670可視需要針對儘可能多的圖案例項重複,且可視需要針對儘可能多的不同圖案類型重複。在一實施例中,針對基板上之圖案類型的每一例項重複步驟610、630、650及670。As should be understood, steps 610, 630, 650, and 670 may be repeated for as many pattern instances as necessary, and may be repeated for as many different pattern types as necessary. In one embodiment, steps 610, 630, 650, and 670 are repeated for each instance of the pattern type on the substrate.

如上文所提及,圖6及圖7之方法涉及非機率模型之殘差之分佈的屬性620。圖8示意性地展示根據一實施例如何可獲得非機率模型之殘差之分佈的屬性620 (例如,如在圖7之步驟610中)。As mentioned above, the method of FIGS. 6 and 7 involves the attribute 620 of the distribution of the residuals of the non-probability model. FIG. 8 schematically illustrates how the attribute 620 of the distribution of the residuals of the non-probability model can be obtained according to an embodiment (eg, as in step 610 of FIG. 7).

選擇藉由器件製造程序產生之基板上的複數個圖案例項(例如,710a、710b、…710i、…)。在一實施例中,例項可皆具有相同的圖案類型。在一實施例中,每一例項可具有不同的圖案類型。在一實施例中,例項可包含複數個不同圖案類型中之每一者的複數個例項。在存在複數個圖案類型的情況下,可使用一或多個準則來選擇複數個圖案類型之集合。舉例而言,在一實施例中,集合之圖案類型係具有相似形狀、相似大小、相似功能及/或空間鄰近度之圖案類型。在一實施例中,集合之圖案類型係對器件製造程序之變化具有相似敏感度的圖案類型。在此內容背景中,相似的差異可能不超過適用準則之20%、不超過適用準則之15%、不超過適用準則之10%、不超過適用準則之5%或不超過適用準則之1%。因此,通常,集合之圖案類型在產生時具有與缺陷相關的相似行為。作為一實例,複數個圖案類型可各自為隔離接觸孔類型、各自為緻密接觸孔類型等等。如上文所論述,一或多個圖案類型中之每一者可為熱點(其使得能夠聚焦於有可能有缺陷之圖案特徵而非幾乎沒有缺陷風險之圖案特徵)。A plurality of pattern instances (eg, 710a, 710b, ... 710i, ...) on the substrate generated by the device manufacturing process are selected. In one embodiment, the examples may all have the same pattern type. In one embodiment, each item may have a different pattern type. In an embodiment, the instances may include a plurality of instances for each of a plurality of different pattern types. Where there are multiple pattern types, one or more criteria may be used to select a set of multiple pattern types. For example, in one embodiment, the pattern types of the set are pattern types having similar shapes, similar sizes, similar functions, and / or spatial proximity. In one embodiment, the pattern types of the set are pattern types having similar sensitivity to changes in the device manufacturing process. In this context, similar differences may not exceed 20% of the applicable standards, 15% of the applicable standards, 10% of the applicable standards, not more than 5% of the applicable standards, or 1% of the applicable standards. Therefore, in general, pattern types of collections have similar behaviors related to defects when they are generated. As an example, the plurality of pattern types may each be an isolated contact hole type, each of the dense contact hole types, and the like. As discussed above, each of the one or more pattern types may be a hot spot (which enables focusing on pattern features that may be defective rather than pattern features with little risk of defect).

使用非機率模型獲得此等圖案例項之特性的計算值(例如,730a、730b、…730i、…)。特性實例之非詳盡清單可包括選自以下各者中之一或多者:圖案例項相對於基板之位置、圖案例項相對於基板上之一或多個其他圖案例項的位置、幾何大小(例如,CD)、幾何形狀,及/或隨機效應之量度(例如,CD均一性(CDU)、線寬粗糙度(LWR)、線邊緣粗糙度(LER)等等)。此等圖案例項之特性的檢驗值(例如,720a、720b、…720i、…)可為藉由量測圖案例項,例如,使用合適的度量衡工具獲得的特性之實際值,或使用嚴密模型進行的特性之模擬值。度量衡工具之實例可包括量測來自基板之光學影像、繞射、散射或其他合適光學信號的光學度量衡工具,及/或使用帶電粒子(例如,電子)束之度量衡工具。自此等圖案例項中之每一者之檢驗值與計算值之間的差獲得非機率模型之殘差之值。A non-probability model is used to obtain calculated values for the characteristics of these pattern instances (eg, 730a, 730b, ... 730i, ...). A non-exhaustive list of feature examples may include one or more of the following: the position of the pattern instance relative to the substrate, the position of the pattern instance relative to one or more other pattern instances on the substrate, the geometric size (Eg, CD), geometry, and / or measures of random effects (eg, CD uniformity (CDU), line width roughness (LWR), line edge roughness (LER), etc.). The test values of the characteristics of these pattern instances (for example, 720a, 720b, ... 720i, ...) may be actual values of characteristics obtained by measuring the pattern instances, for example, using a suitable metrology tool, or using a rigorous model Analog value of the characteristic performed. Examples of metrology tools may include optical metrology tools that measure optical images, diffraction, scattering, or other suitable optical signals from a substrate, and / or metrology tools that use a beam of charged particles (eg, electrons). The value of the residual of the non-probability model is obtained from the difference between the test value and the calculated value of each of these pattern instances.

自殘差之值獲得殘差之分佈的屬性620。在一個實例中,屬性620係殘差之PDF,該PDF可自殘差之直方圖判定。在另一實例中,屬性620係殘差之CDF或eCDF。An attribute 620 of the distribution of the residual is obtained from the value of the residual. In one example, the attribute 620 is a PDF of the residuals, which can be determined from a histogram of the residuals. In another example, attribute 620 is the CDF or eCDF of the residual.

在一實施例中,可針對複數個不同圖案類型及/或圖案類型群組中之每一者獲得屬性620。即,在一實施例中,可自使用相關圖案類型例項(例如,710a、710b、…710i、…)之特性的計算值(例如,730a、730b、…730i、…)及相關圖案類型例項之特性的檢驗值(例如,720a、720b、…720i、…)計算之殘差獲得複數個屬性620。舉例而言,屬性620中之至少一者可用於與屬性620之另一者不同的圖案類型。另外或替代地,屬性620中之至少一者可用於與屬性620之另一者不同的圖案類型集合。In an embodiment, the attribute 620 may be obtained for each of a plurality of different pattern types and / or pattern type groups. That is, in one embodiment, the calculated values (for example, 730a, 730b, ... 730i, ...) of the characteristics of the related pattern type items (for example, 710a, 710b, ... 710i, ...) and related pattern type examples can be used Residuals calculated from the test values (eg, 720a, 720b, ..., 720i, ...) of the characteristics of the term obtain a plurality of attributes 620. For example, at least one of the attributes 620 may be used for a different pattern type than the other of the attributes 620. Additionally or alternatively, at least one of the attributes 620 may be used for a different set of pattern types than the other of the attributes 620.

圖9展示根據一實施例之獲得非機率模型之殘差之分佈的屬性880 (例如,圖7中之屬性620)之方法(例如,圖7中之步驟610)的流程圖。在810處,例如,藉由使用度量衡工具量測圖案例項或藉由使用嚴密模型進行模擬,獲得基板上之複數個圖案例項之特性的檢驗值820。在830處,使用圖案例項之非機率模型來獲得特性之計算值840。FIG. 9 shows a flowchart of a method (for example, step 610 in FIG. 7) of a method for obtaining an attribute 880 (for example, property 620 in FIG. 7) of a residual of a non-probability model according to an embodiment. At 810, for example, by measuring a pattern instance using a metrology tool or by performing a simulation using a rigorous model, a check value 820 of the characteristics of the plurality of pattern instances on the substrate is obtained. At 830, a non-probability model of the pattern instance is used to obtain a calculated value 840 of the characteristic.

在850處,基於檢驗值820及計算值840而獲得非機率模型之殘差的值860。在一實例中,殘差之值860係計算值840與檢驗值820之間的差。在870處,基於殘差之值860獲得殘差之分佈的屬性880 (例如,圖7中呈例如PDF或CDF或eCDF之形式的屬性620)。在一實施例中,計算值840與檢驗值820之間的差的實例數目可變為彼等差之機率,以便以機率(例如,在0至1之範圍內的機率)之形式產生PDF或CDF或eCDF。At 850, a value 860 of the residual of the non-probability model is obtained based on the test value 820 and the calculated value 840. In one example, the residual value 860 is the difference between the calculated value 840 and the test value 820. At 870, an attribute 880 of the distribution of the residual is obtained based on the value 860 of the residual (for example, the attribute 620 in FIG. 7 in the form of, for example, PDF or CDF or eCDF). In an embodiment, the number of instances of the difference between the calculated value 840 and the check value 820 may be changed to the probability of their difference in order to generate a PDF in the form of a probability (eg, a probability in the range of 0 to 1) CDF or eCDF.

如應瞭解,可針對期望獲得的每一屬性880重複步驟810、830、850及870 (例如,針對不同圖案類型、針對複數個圖案類型之不同集合等等)。此外,一旦獲得一或多個屬性880以及圖案被視為缺陷之特性的範圍(例如,適用的一或多個缺陷臨限值),則一或多個屬性880作為一或多個屬性620以及圖案被視為缺陷之特性的範圍(例如,適用的一或多個缺陷臨限值)可用於圖6及圖7之方法的大量或產生用途。即,可在初始(例如,一次)學習階段中獲得一或多個屬性620及圖案被視為缺陷之特性的範圍(例如,適用的一或多個缺陷臨限值),接著在圖6及圖7之方法中針對大量或製造用途中之一或多個基板重複使用。As should be understood, steps 810, 830, 850, and 870 may be repeated for each attribute 880 desired (e.g., for different pattern types, different sets of multiple pattern types, etc.). In addition, once one or more attributes 880 and a range of characteristics of the pattern are considered defects (eg, one or more defect thresholds where applicable), one or more attributes 880 are used as one or more attributes 620 and The range in which a pattern is considered a characteristic of a defect (for example, one or more defect thresholds where applicable) can be used for a large number or production of the methods of FIGS. 6 and 7. That is, a range of characteristics (e.g., one or more defect thresholds that apply) where one or more attributes 620 and patterns are considered to be defects may be obtained in the initial (e.g., one) learning phase, then in Figures 6 and 6 The method of FIG. 7 is reused for one or more substrates in bulk or manufacturing applications.

在藉由度量衡獲得檢驗值820的情況下,可使用來自一個所產生基板之結果獲得屬性880,或可使用來自多於一個所產生基板之結果獲得屬性880。在一實施例中,可使用一或多個「測試」基板獲得檢驗值820,「測試」基板可為使用器件製造程序製造之一或多個基板或一或多個專門產生之基板(例如,取決於例如所關注特性,CDU基板、焦點曝光矩陣(FEM)基板、編程之疊對基板等等)。In the case where the inspection value 820 is obtained by metrology, the attribute 880 may be obtained using results from one generated substrate, or the attribute 880 may be obtained using results from more than one generated substrate. In one embodiment, the inspection value 820 may be obtained using one or more "test" substrates. The "test" substrate may be one or more substrates manufactured using a device manufacturing process or one or more specially produced substrates (e.g., Depending on, for example, the characteristics of interest, CDU substrates, Focus Exposure Matrix (FEM) substrates, programmed stacked substrates, etc.).

圖10A、圖10B、圖10C、圖10D、圖10E、圖10F及圖10G各自展示殘差之實例直方圖作為屬性880的實例。水平軸係殘差值,且豎軸係值之頻率或值之機率。圖10A至圖10G中所展示之直方圖分別用於七個圖案類型集合中之一個集合中之每一者,每一集合對於其一或多種圖案類型具有與另一集合不同的標稱CD。10A, 10B, 10C, 10D, 10E, 10F, and 10G each show an example histogram of residuals as an example of an attribute 880. The probability of the frequency or value of the horizontal axis residual value and the vertical axis value. The histograms shown in FIGS. 10A to 10G are used for each of one of the seven pattern type sets, each set having a nominal CD different from the other set for one or more of the pattern types.

特性之分佈的屬性(例如,圖7中之660)係判定圖案係缺陷之機率的一個因素但不一定為唯一因素。選擇圖案被視為缺陷之特性的範圍(例如,適用的一或多個缺陷臨限值)可為另一因素。其他因素亦係可能的。在圖11中示意性地展示之一實例中,圖案係缺陷之機率為CD之PDF 1030 (作為特性之分佈的屬性之一實例)在負無窮至臨限值1010之範圍內的整合。實際考慮因素1020會影響臨限值1010之選擇。舉例而言,在器件製造程序中接受特性與其標稱值之方差至少部分地指定臨限值1010。即,僅可容忍一定量的方差,在該點處,圖案例項被視為缺陷(例如,器件可能無法正常操作)。作為另一實例,若檢測之總次數或可用於檢測之時間量受到限制,則可視具體情況使臨限值1010更小或更大,藉此減少被識別為潛在缺陷之圖案例項之數目,且因此減少了減少潛在缺陷之數目的檢測次數或檢測時間。The attribute of the characteristic distribution (for example, 660 in FIG. 7) is a factor that determines the probability of a pattern defect, but is not necessarily the only factor. Selecting the range in which a pattern is considered a characteristic of a defect (eg, one or more defect thresholds where applicable) may be another factor. Other factors are also possible. In one example shown schematically in FIG. 11, the probability of a pattern-based defect is CD 1030 (an example of a property of a distribution of characteristics) integrated within a range of negative infinity to a threshold value of 1010. Practical considerations 1020 will affect the choice of threshold 1010. For example, the variance of an acceptance characteristic from its nominal value in a device manufacturing process specifies, at least in part, a threshold value of 1010. That is, only a certain amount of variance can be tolerated, at which point the pattern instance is considered a defect (for example, the device may not operate properly). As another example, if the total number of inspections or the amount of time available for inspection is limited, the threshold 1010 may be made smaller or larger depending on the circumstances, thereby reducing the number of pattern instances identified as potential defects, And thus reducing the number of inspections or inspection time that reduces the number of potential defects.

在一實施例中,可使用來自例如一或多個所產生之測試或製造基板的資料來組態臨限值1010。舉例而言,可選擇臨限值1010,使得根據彼臨限值,使用機率計算方法計算之缺陷的總機率等於一或多個測試或製造基板上之缺陷的實際數目或與該實際數目相當(例如,在實際數目之一個數量級內)。舉例而言,如上文關於圖6及圖7所描述之方法可與一或多個屬性620一起使用,該等屬性如使用例如圖8及圖9之方法使用一或多個測試或製造基板進行判定,以使用初始臨限值1010來判定缺陷之機率。接著可使用缺陷之機率來計算一或多個製造或基板上之缺陷之數目(例如,機率總和)。可將此預測之缺陷數目與使用彼初始臨限值1010在一或多個測試或製造基板上量測之缺陷數目進行比較。若彼等量測之缺陷數目等於預測的缺陷數目或與預測數目相當(例如,在預測數目之一個數量級內),則臨限值1010係足夠的且可用於圖6及圖7之方法的大量或製造用途。然而,若量測之缺陷數目不等於預測的缺陷數目或與預測數目相當(例如,在預測數目之一個數量級內),則可調整臨限值1010,接著可使用調整後的臨限值1010來重複使用圖6及圖7之方法用一或多個屬性620進行的分析,以獲得預測的缺陷數目。可將對缺陷數目之此新預測與使用調整後的臨限值1010判定之量測缺陷進行比較,且彼比較可用於確定臨限值1010或需要重複此等工序直至獲得適當的臨限值1010。此可表徵為達到適當的臨限值1010之機器學習過程(或更一般而言,圖案被視為缺陷之特性的範圍(例如,適用的一或多個缺陷臨限值))。In an embodiment, the threshold value 1010 may be configured using data from, for example, one or more generated test or manufacturing substrates. For example, a threshold value of 1010 may be selected so that, based on the threshold value, the total probability of defects calculated using the probability calculation method is equal to or equivalent to the actual number of defects on one or more test or manufacturing substrates ( (For example, within an order of magnitude of the actual number). For example, the method as described above with respect to FIGS. 6 and 7 may be used with one or more attributes 620, such as using the method of FIGS. 8 and 9 using one or more test or manufacturing substrates Judgment is to use the initial threshold of 1010 to determine the probability of a defect. The probability of a defect can then be used to calculate the number of defects (eg, the sum of the probabilities) on one or more manufacturing or substrates. This predicted number of defects can be compared to the number of defects measured on one or more test or manufacturing substrates using their initial threshold of 1010. If the number of defects measured by them is equal to or equal to the predicted number of defects (for example, within an order of magnitude of the predicted number), the threshold 1010 is sufficient and can be used for a large number of the methods of FIGS. 6 and 7. Or manufacturing use. However, if the measured number of defects is not equal to or equal to the predicted number of defects (for example, within an order of magnitude of the predicted number), then the threshold 1010 can be adjusted, and then the adjusted threshold 1010 can be used to Repeat the analysis using the method of FIGS. 6 and 7 with one or more attributes 620 to obtain the predicted number of defects. This new prediction of the number of defects can be compared with the measured defects judged using the adjusted threshold 1010, and their comparison can be used to determine the threshold 1010 or the process needs to be repeated until an appropriate threshold 1010 is obtained . This may be characterized as a machine learning process that reaches an appropriate threshold of 1010 (or more generally, the range of characteristics of the pattern that are considered defects (eg, one or more defect thresholds where applicable)).

在一實施例中,機率計算方法可藉由在機率計算方法用於大量或製造用途時添加資料點,調適(藉由機器學習)隨時間推移的所得的統計缺陷準確度。舉例而言,以圖6及圖7之機率方法的大量或製造用途分析的來自一或多個基板之資料可用於計算一或多個屬性620之更新的或額外值及/或圖案被視為缺陷之特性的範圍(例如,適用的一或多個缺陷臨限值)。在一實施例中,不時地,以圖6及圖7之機率方法的大量或製造用途之外分析的來自一或多個測試基板之資料可用於計算一或多個屬性620之更新的或額外值及/或圖案被視為缺陷之特性的範圍(例如,適用的一或多個缺陷臨限值)。舉例而言,經由來自檢驗資料之回饋機制定期或連續更新PDF,可顯著改良預測(適應性預測) (例如,若量測約1個基板/批次,可為約200個晶圓/天,則具有約2000個量測/基板及更多100個CD值/量測,例如,每天每個微影裝置產生多於4000萬個資料點,其係顯著改良預測之資料)。In one embodiment, the probability calculation method can adjust (by machine learning) the statistical defect accuracy obtained over time by adding data points when the probability calculation method is used in a large amount or in a manufacturing application. For example, data from one or more substrates analyzed using the probabilistic method of Figures 6 and 7 from one or more substrates may be used to calculate updated or additional values and / or patterns for one or more attributes 620 are considered as The range of characteristics of the defect (eg, one or more defect thresholds that apply). In one embodiment, from time to time, data from one or more test substrates analyzed outside the bulk or manufacturing uses of the probabilistic methods of FIGS. 6 and 7 may be used to calculate updated or Additional values and / or patterns are considered to be a range of characteristics of the defect (eg, one or more defect thresholds that apply). For example, periodically or continuously updating PDFs through feedback mechanisms from inspection data can significantly improve predictions (adaptive predictions) (for example, if measuring about 1 substrate / batch, it can be about 200 wafers / day, There are about 2000 measurements / substrates and more than 100 CD values / measurements. For example, each lithographic device generates more than 40 million data points per day, which is significantly improved prediction data).

一或多個圖案例項係缺陷之機率可用於各種目的。舉例而言,機率可用於導出每個基板之統計缺陷計數,該統計缺陷計數應接近於實際上存在於任何給定基板上之實際缺陷數目。在一實施例中,可基於此統計缺陷計數來建立統計程序圖。此亦允許決定以例如快速周轉時間進一步處理使用此機率計算方法分析之基板。The probability of one or more pattern instances being defects can be used for various purposes. For example, the probability can be used to derive a statistical defect count for each substrate, which should be close to the actual number of defects actually present on any given substrate. In one embodiment, a statistical process chart may be established based on the statistical defect count. This also allows the decision to further process substrates analyzed using this probability calculation method with, for example, fast turnaround times.

可使用機率及/或統計缺陷計數來對待用度量衡工具(諸如電子束檢測工具)檢測之部位進行優先化。基於機率及/或統計缺陷計數,可定義取樣方案,其中將部位添加至取樣方案,直至例如實現期望的俘獲率位準(例如,當取樣位點之機率總和到達90%時)或實現期望的妨害率位準。俘獲率可定義為真陽性缺陷之數目除以真陽性缺陷與假陰性缺陷之總和。妨害率可定義為假陽性缺陷之數目除以真陽性缺陷與假陽性缺陷之總和。因此,可實現改良式(較短)檢測時間。作為相關益處,以此方式識別之取樣部位之完整集合應在基板上提供用預測缺陷之機率計算方法分析的空間特徵,其可與處理一或多個特定程序步驟之「指紋」的相關性相關或改良該相關性作為器件製造方法之一部分。處理「指紋」係通常由一或多個特定程序步驟引起的誤差之空間分佈。舉例而言,基板台可能在支撐表面中具有翹曲,此將在使用彼基板台圖案化之基板上之某些部位處始終引入某些誤差。因此,取樣部位可向使用者提供幫助識別及/或解決基板上之缺陷之根本原因的資訊。Probability and / or statistical defect counts can be used to prioritize parts to be inspected with a metrology tool, such as an electron beam inspection tool. Based on the probability and / or statistical defect count, a sampling scheme can be defined in which sites are added to the sampling scheme until, for example, the desired capture rate level is achieved (e.g., when the sum of the probability of sampling sites reaches 90%) or the desired Obstacle rate level. The capture rate can be defined as the number of true positive defects divided by the sum of true positive defects and false negative defects. The nuisance rate can be defined as the number of false positive defects divided by the sum of true positive defects and false positive defects. Therefore, an improved (shorter) detection time can be achieved. As a related benefit, the complete set of sampling sites identified in this way should provide on the substrate a spatial feature that is analyzed using a method of predicting the probability of a defect, which may be related to the correlation of the "fingerprint" processing one or more specific process steps Or improve the correlation as part of the device manufacturing method. Processing "fingerprints" is the spatial distribution of errors usually caused by one or more specific process steps. For example, the substrate table may have warpage in the support surface, which will always introduce certain errors at certain locations on the substrate patterned using that substrate table. Therefore, the sampling site can provide the user with information to help identify and / or resolve the root cause of the defect on the substrate.

可使用圖案係缺陷之機率來導引藉由器件製造程序產生之基板之檢測。具有較高缺陷機率之圖案例項可在具有較低缺陷機率之圖案例項的檢測中被優先化。圖12示意性地展示根據一實施例之使用圖案例項係缺陷之機率來判定應檢測基板上之哪些圖案例項及應檢測此等圖案例項之次序之方法的流程圖。例如,使用圖6及圖7中所展示之方法,獲得基板上之一組圖案例項分別為缺陷之機率1110。圖案例項可具有相同的圖案類型或不同的圖案類型。亦可例如使用在基板上表示之設計佈局來獲得圖案例項之部位1120。The probability of a pattern-based defect can be used to guide the inspection of a substrate generated by a device manufacturing process. Pattern instances with a higher probability of defect can be prioritized in the detection of pattern instances with a lower probability of defect. FIG. 12 schematically shows a flowchart of a method of determining which pattern instances on a substrate should be detected and the order in which such pattern instances should be detected according to the probability of using pattern instances as defects according to an embodiment. For example, using the method shown in FIG. 6 and FIG. 7, the probability of obtaining a set of pattern examples on the substrate is 1110 is a defect, respectively. The legend items can have the same pattern type or different pattern types. For example, the design layout shown on the substrate may be used to obtain the portion 1120 of the pattern example.

在1130處,基於機率1110,且視情況基於部位1120而判定待檢測之圖案例項的有序清單1140。在1150處,遵循有序清單之次序而檢測有序清單1140中之圖案例項。At 1130, an ordered list 1140 of pattern instances to be detected is determined based on the probability 1110, and optionally based on the location 1120. At 1150, pattern instances in the ordered list 1140 are detected following the order of the ordered list.

在一實施例中,可基於對每個圖案類型或特定複數個圖案類型之集合的缺陷數目的統計期望,判定每一此圖案類型或特定複數個圖案類型之集合要檢測的圖案例項之數目(或彼等圖案例項所位於的量測部位)。在一實施例中,對於每一圖案類型或特定複數個圖案類型之集合,可基於例如(i)缺陷之機率,及(ii)相關聯的圖案例項在基板上之空間分佈來判定檢測部位,以便例如最大化藉由度量衡工具獲得的量測光點、FOV或影像之效益。在一實施例中,可將固定分數之檢測時間指派給均一取樣及量測某些錨定特徵。In one embodiment, the number of pattern instances to be detected for each pattern type or a specific set of pattern types may be determined based on a statistical expectation of the number of defects for each pattern type or a set of specific pattern types. (Or the measurement part where their pattern examples are located). In one embodiment, for each pattern type or a specific set of pattern types, the detection location can be determined based on, for example, (i) the probability of defects and (ii) the spatial distribution of the associated pattern instances on the substrate. To, for example, maximize the benefits of measuring light spots, FOVs, or images obtained with metrology tools. In one embodiment, a fixed fraction of the detection time may be assigned to uniform sampling and measurement of certain anchoring features.

在一實例中,有序清單1140包括具有最高缺陷機率之彼等圖案例項;換言之,有序清單1140包括圖案例項之集合當中的圖案例項之子集,其中子集中之圖案例項係缺陷之機率比集合而非子集中之圖案例項高。可由檢測處理量判定或可憑經驗判定有序清單1140中之圖案例項之數目。有序清單1140中之圖案例項之數目可能受用於檢測之下一基板到達之前的時間量限制。有序清單1140中之圖案例項之數目可能受允許基板在檢測期間接收之輻射量限制。在一實例中,有序清單1140中之圖案例項之次序可為下降機率次序。換言之,次序可為在具有較低缺陷機率之圖案例項之前檢測具有較高缺陷機率之圖案例項(「下降機率次序」)。在一實例中,有序清單1140中之圖案例項的次序可為致使成本函數處於極值之次序。在一實施例中,成本函數係圖案例項之次序的函數,且可表示機率、檢測圖案例項所需的時間量、一個圖案例項至下一圖案例項之距離,及/或檢測執行之其他指示符。在視情況選用之步驟1160中,基於自檢測有序清單1140中之圖案例項獲得的資料而更新機率1110。In an example, the ordered list 1140 includes their pattern instances with the highest probability of defects; in other words, the ordered list 1140 includes a subset of the pattern instances in the set of pattern instances, where the pattern instances in the subset are defects The probability is higher than the pattern instances in the set instead of the subset. The number of pattern instances in the ordered list 1140 can be determined by the detection processing amount or empirically. The number of pattern instances in the ordered list 1140 may be limited by the amount of time used to detect the arrival of the next substrate. The number of pattern instances in the ordered list 1140 may be limited by the amount of radiation allowed to the substrate during the inspection. In an example, the order of the pattern items in the ordered list 1140 may be a descending probability order. In other words, the order may be to detect pattern instances with a higher probability of defects before the pattern instances with a lower probability of occurrence ("decreasing probability order"). In an example, the order of the pattern instances in the ordered list 1140 may be the order that caused the cost function to be at its extreme value. In one embodiment, the cost function is a function of the order of the pattern instances, and may represent the probability, the amount of time required to detect the pattern instances, the distance from one pattern instance to the next pattern instance, and / or detection execution Other indicators. In the optional step 1160, the probability 1110 is updated based on the data obtained from detecting the pattern items in the ordered list 1140.

圖13A及圖13B示意性地展示相比於另一次序,下降機率次序在檢測處理量方面可能較差。在圖13A及圖13B中之實例中,基板上存在三個圖案例項1211、1212及1213。圖案例項1211為缺陷之機率最大(如由圓之大小表示)。圖案例項1212為缺陷之機率為第二大的,該機率略微小於圖案1211之機率。圖案例項1213為缺陷之機率最小,該機率比圖案1211之機率及圖案1212之機率小得多。圖案例項1212遠離圖案例項1211及1213;圖案例項1211及1213彼此接近。圖13A展示圖案例項1211→圖案例項1212→圖案例項1213之有序清單的次序,其係下降機率次序。圖13B展示圖案例項1211→圖案例項1213→圖案例項1212之有序清單的不同次序,其並非下降機率次序。藉由遵循圖13A中之次序,度量衡工具必須自圖案例項1211至圖案例項1212且自圖案例項1212至圖案例項1213行進相對長的距離。藉由遵循圖13B中之次序,度量衡工具必須自圖案例項1211至圖案例項1213行進一個相對短的距離,且自圖案例項1213至圖案例項1212行進一個相對長的距離。因此,藉由遵循圖13B中之次序,檢測三個圖案例項所需的總時間較短(且因此檢測處理量較高)。13A and 13B schematically show that the descending probability order may be worse in terms of detection processing amount compared to another order. In the example in FIGS. 13A and 13B, there are three pattern items 1211, 1212, and 1213 on the substrate. Case item 1211 in the figure shows the highest probability of defects (as indicated by the size of the circle). Case item 1212 in the figure is the second largest probability of defects, which is slightly smaller than the probability of pattern 1211. The case item 1213 in the figure is that the probability of the defect is the smallest, which is much smaller than the probability of the pattern 1211 and the probability of the pattern 1212. The figure case item 1212 is far from the pattern case items 1211 and 1213; the pattern case items 1211 and 1213 are close to each other. FIG. 13A shows the order of the ordered list of pattern items 1211 → pattern example 1212 → pattern example 1213, which is a descending probability order. FIG. 13B shows the different order of the ordered list of pattern items 1211 → pattern example 1213 → pattern example 1212, which is not a descending probability order. By following the order in FIG. 13A, the weights and measures tool must travel a relatively long distance from the pattern instance 1211 to the pattern instance 1212 and from the pattern instance 1212 to the pattern instance 1213. By following the order in FIG. 13B, the weights and measures tool must travel a relatively short distance from the pattern instance 1211 to the pattern instance 1213, and a relatively long distance from the pattern instance 1213 to the pattern instance 1212. Therefore, by following the order in FIG. 13B, the total time required to detect the three pattern instances is shorter (and therefore the amount of detection processing is higher).

度量衡工具能夠檢測多個圖案例項而不移動視場(「FOV」)或量測光點。舉例而言,使用帶電粒子束之一些度量衡工具具有可涵蓋多個圖案例項之FOV,但移動FOV相對較慢。在移動度量衡工具之前檢測的多個圖案例項可被視為經一次性檢測。圖14A及圖14B示意性地展示,當使用此度量衡工具進行檢測時,相比於另一次序,下降機率次序在檢測處理量方面可能較差。在圖14A及圖14B中所展示之實例中,基板上存在十七個圖案例項,且此等圖案例項可被三個FOV 1311、1312及1313包圍。圖案例項由+符號表示,且相關聯的圓表示圖案例項有缺陷的機率,圓愈大,機率愈大。因為移動FOV相對較慢,所以使用多於三個FOV來檢測圖案例項會減少檢測處理量。在三個FOV當中,FOV 1311內之缺陷之總機率(亦即,FOV 1311中之圖案例項中之每一者為缺陷之機率的總和)最大。FOV 1313內之缺陷之總機率最小,但FOV 1313具有十七個圖案例項當中最可能為缺陷之圖案(如由其相對較大的圓所展示)。FOV 1312遠離FOV 1311及1313;FOV 1311與1313彼此接近。圖14A展示FOV 1311中之圖案例項→FOV 1312中之圖案例項→FOV 1313中之圖案例項之有序清單的次序。圖14B展示FOV 1311中之圖案例項→FOV 1313中之圖案例項→FOV 1312中之圖案例項之有序清單的不同次序。藉由遵循圖14A中之次序,度量衡工具必須自FOV 1311至FOV 1312且自FOV 1312至FOV 1313使FOV移動相對長的距離。藉由遵循圖14B中之次序,度量衡工具必須自FOV 1311至FOV 1313行進一個相對短的距離且自FOV 1313至FOV 1312行進一個相對長的距離。因此,藉由遵循圖14B中之次序,檢測三個圖案例項所需的總時間較短。The metrology tool is capable of detecting multiple pattern instances without moving the field of view ("FOV") or measuring the light spot. For example, some metrology tools that use charged particle beams have FOVs that can cover multiple pattern instances, but moving FOVs is relatively slow. Multiple pattern instances detected before moving the weights and measures tool can be considered as one-time detection. FIG. 14A and FIG. 14B schematically show that, when using this measurement and weighing tool for detection, compared with another order, the descending probability order may be worse in terms of detection processing amount. In the example shown in FIGS. 14A and 14B, there are seventeen pattern items on the substrate, and these pattern items can be surrounded by three FOVs 1311, 1312, and 1313. The case item in the figure is represented by a + sign, and the associated circle represents the probability that the pattern item is defective. The larger the circle, the greater the chance. Because moving FOV is relatively slow, using more than three FOVs to detect pattern instances reduces the amount of detection processing. Of the three FOVs, the total probability of defects within FOV 1311 (that is, the sum of the probability of each of the pattern instances in FOV 1311 being the defect) is the largest. The total probability of defects in FOV 1313 is the smallest, but FOV 1313 has patterns that are most likely to be defective among the seventeen pattern instances (as shown by its relatively large circle). FOV 1312 is far from FOV 1311 and 1313; FOV 1311 and 1313 are close to each other. FIG. 14A shows the order of an ordered list of pattern items in FOV 1311 → pattern items in FOV 1312 → pattern examples in FOV 1313. FIG. 14B shows different orders of an ordered list of pattern instances in FOV 1311 → pattern instances in FOV 1313 → pattern instances in FOV 1312. By following the order in FIG. 14A, the weights and measures tool must move the FOV a relatively long distance from FOV 1311 to FOV 1312 and from FOV 1312 to FOV 1313. By following the order in FIG. 14B, the metrology tool must travel a relatively short distance from FOV 1311 to FOV 1313 and a relatively long distance from FOV 1313 to FOV 1312. Therefore, by following the order in FIG. 14B, the total time required to detect the three pattern instances is shorter.

因此,在一實施例中,提供一種機率計算方法,用於基於對模型殘差之判定,例如,藉由使用對基板之量測(例如,量測特性(例如,CD)值與預測特性值之間的差),為一或多個圖案例項類型(例如,一或多個熱點例項類型)指派機率分佈。機率分佈可用於判定例如一或多個圖案例項類型之圖案例項的缺陷機率。彼缺陷機率可用於統計程序控制(例如,藉由使用統計缺陷)。彼缺陷機率可用於輔助利用度量衡工具尋找基板上之實際缺陷,理想地,高俘獲率及/或低妨害率及/或改良式檢測時間(例如,在目標準則(例如,缺陷大小,及例如,俘獲率及/或妨害率)的情況下,不需要或不少於所需的量測。Therefore, in one embodiment, a probability calculation method is provided for determining a model residual, for example, by using a measurement of a substrate (for example, a measurement characteristic (for example, CD) value and a prediction characteristic value). Difference between), assigning a probability distribution to one or more pattern instance types (eg, one or more hotspot instance types). The probability distribution can be used to determine, for example, the probability of a defect in a pattern instance of one or more pattern instance types. The probability of defects can be used for statistical process control (for example, by using statistical defects). That defect probability can be used to assist in finding actual defects on the substrate using a metrology tool, ideally, high capture rates and / or low obstruction rates and / or improved inspection times (e.g., within target criteria (e.g., defect size, and, for example, Capture rate and / or obstruction rate), no or less than the required measurement is required.

現在,如上文所論述,基於具有相同圖案類型之複數個圖案例項或包含複數個不同圖案類型之集合來計算殘差分佈的屬性660/880 (其中圖案類型通常共用相似行為,如上文所描述)。接著,在圖6及圖7之機率方法中使用屬性660/880來判定缺陷機率(其接著可用於如上文所描述之各種目的,諸如為度量衡工具建立取樣部位清單)。在一實施例中,一或多個圖案類型係熱點。Now, as discussed above, the properties of the residual distribution are calculated based on a plurality of pattern instances with the same pattern type or a collection containing a plurality of different pattern types (where pattern types often share similar behavior, as described above) ). Next, the attribute probability 660/880 is used in the probability method of FIGS. 6 and 7 to determine the probability of a defect (which can then be used for various purposes as described above, such as establishing a list of sampling locations for a metrology tool). In one embodiment, one or more pattern types are hot spots.

但是,相比於單一圖案例項與規格偏離的機率,相對較大的圖案例項集合內之任何一個圖案例項與規格顯著偏離(例如,其實際上係缺陷)的機率更加局部化並達至峰值。因此,在一實施例中,提供對圖案例項之集合內的缺陷度的預測,此意謂能夠預測圖案例項之群組的統計行為以判定任一群組成員是否為缺陷的機率計算方法。因此,在一實施例中,此可更好地區分存在缺陷之檢測部位(例如,FOV)與不具有缺陷之檢測部位。反過來,與例如導引度量衡工具單獨訪問圖案例項部位之情形相比較,此應減少藉由度量衡工具取樣以俘獲缺陷之部位之數目。However, compared to the probability that a single pattern instance deviates from the specification, the probability that any one pattern instance in a relatively large set of pattern instances deviates significantly from the specification (for example, it is actually a defect) is more localized and reaches To peak. Therefore, in one embodiment, prediction of the degree of defects in a set of pattern instances is provided, which means that a probability calculation method that can predict the statistical behavior of a group of pattern instances to determine whether any group member is a defect. Therefore, in an embodiment, this can better distinguish the detection site (eg, FOV) with a defect from the detection site without a defect. In turn, this should reduce the number of sites that are sampled by the metrology tool to capture defects, as compared to, for example, a situation where a metrology tool is directed to individually access the pattern instance parts.

參看圖15,呈現了基於圖案例項之集合的統計行為獲得此機率計算方法之殘差分佈的屬性660/880的實例方法。在1400處,使用例如關於圖7及圖8所描述之技術來判定非機率(例如,確定性)模型殘差(「雜訊」)之分佈的屬性1410 (例如,屬性660/880)。簡言之,舉例而言,獲得自在標稱條件下產生之基板量測的複數個圖案例項中之每一者之特性(例如,CD)的檢驗值。此外,使用例如用於預測特性之非機率(例如,確定性)模型來獲得圖案例項之特性(例如,CD)的預測值。接著,藉由例如判定圖案例項之預測特性(例如,CDpred )與相同圖案例項之檢驗特性(例如,CDmeas )之間的圖案例項差異來獲得殘差之分佈(例如,ΔCD = CDmeas - CDpred )。呈自合成資料判定之此殘差分佈之PDF形式的屬性1410之實例在圖16中展示為曲線1500,其中水平軸係以奈米為單位的ΔCD,且豎軸係在0至1之範圍內的機率分數。在此實例中,殘差之分佈的特徵在於σ = 1奈米之標準偏差。呈PDF之形式的此屬性1410可用於統計預測,如上文關於圖6及圖7所論述。根據PDF,可藉由整合PDF來計算CDF或eCDF。因此,屬性1410可呈CDF或eCDF之形式,且與呈PDF之形式的屬性1410一樣,可用於統計預測,如上文關於圖6及圖7所論述。呈自對應於PDF 1500之合成資料判定的殘差分佈之eCDF之形式的屬性1410的實例在圖16中展示為曲線1510。如上文關於圖6及圖7所描述,可針對特定圖案類型或複數個圖案類型之集合的複數個圖案例項計算PDF、CDF或eCDF,其中可自在基板上散佈之複數個圖案例項獲得資料。Referring to FIG. 15, an example method of obtaining the attribute 660/880 of the residual distribution of the probability calculation method based on the statistical behavior of the set of pattern instances is presented. At 1400, an attribute 1410 (e.g., attribute 660/880) of the distribution of non-probabilistic (e.g., deterministic) model residuals ("noise") is determined using techniques such as described with respect to FIGS. 7 and 8. In short, for example, a test value of a characteristic (eg, CD) obtained from each of a plurality of pattern instances measured on a substrate produced under nominal conditions is obtained. In addition, a non-probabilistic (eg, deterministic) model for predicting characteristics is used to obtain a predicted value of a characteristic (eg, CD) of a pattern instance. Then, the distribution of the residuals is obtained by, for example, determining a pattern difference between a prediction characteristic (for example, CD pred ) of the pattern instance and a test characteristic (for example, CD meas ) of the same pattern instance (for example, ΔCD = CD meas -CD pred ). An example of attribute 1410 in PDF form of this residual distribution determined from synthetic data is shown in Figure 16 as curve 1500, where the horizontal axis is ΔCD in nanometers and the vertical axis is in the range of 0 to 1. Probability score. In this example, the distribution of residuals is characterized by a standard deviation of σ = 1 nm. This attribute 1410 in the form of a PDF can be used for statistical prediction, as discussed above with respect to FIGS. 6 and 7. According to PDF, CDF or eCDF can be calculated by integrating PDF. Therefore, the attribute 1410 may be in the form of a CDF or an eCDF and, like the attribute 1410 in the form of a PDF, may be used for statistical prediction, as discussed above with respect to FIGS. 6 and 7. An example of the attribute 1410 in the form of an eCDF from the residual distribution determined from the synthetic data corresponding to PDF 1500 is shown as a curve 1510 in FIG. 16. As described above with reference to FIGS. 6 and 7, PDF, CDF, or eCDF can be calculated for a plurality of pattern instances of a specific pattern type or a collection of a plurality of pattern types, where data can be obtained from the plurality of pattern instances scattered on a substrate .

在1420處,引入了預測圖案例項之集合內之缺陷度的概念。在一實施例中,此涉及針對N個圖案例項之集合計算殘差的屬性1430。在一實施例中,基於N個圖案例項之集合的至少圖案類型之一或多個圖案例項之殘差的屬性(例如,PDF、CDF或eCDF),判定該集合之殘差的屬性1430。在一實施例中,屬性1430包含N個圖案例項之集合的累積分佈函數或簡稱為eCDFN 。此屬性有時亦可被稱作極值統計特性。在一實施例中,針對特定圖案類型及/或複數個圖案類型之集合指定屬性1430。因此,在存在複數個屬性1430的情況下,可針對不同圖案類型及/或複數個圖案類型之不同集合指定每一屬性。At 1420, the concept of defectivity within the set of predicted pattern instances is introduced. In one embodiment, this involves calculating the attributes 1430 of the residuals for a set of N pattern instances. In an embodiment, the attribute of the residual of the set is determined 1430 based on the attribute (eg, PDF, CDF, or eCDF) of the residual of at least one or more of the pattern types of the set of N pattern instances. . In one embodiment, the attribute 1430 includes a cumulative distribution function of a set of N pattern instances or simply eCDF N. This attribute is sometimes referred to as the extreme statistical property. In an embodiment, the attribute 1430 is specified for a specific pattern type and / or a set of a plurality of pattern types. Therefore, in the case where there are a plurality of attributes 1430, each attribute may be specified for a different pattern type and / or a different set of a plurality of pattern types.

在一實施例中,集合之N個圖案例項包含一或多個熱點例項。在集合之N個圖案例項對應於量測部位(諸如FOV或影像)的情況下,本文中所描述之建模技術可用於識別至少一個圖案係熱點之一或多個量測部位,並判定量測部位中之相同或相似圖案(如熱點)之數目,以在此量測部位內形成圖案之集合。In one embodiment, the N pattern instances of the set include one or more hotspot instances. In the case where the N pattern instances of the set correspond to the measurement site (such as FOV or image), the modeling technology described in this article can be used to identify one or more measurement sites of at least one pattern system hot spot and determine The number of the same or similar patterns (such as hot spots) in the measurement part is measured to form a collection of patterns in the measurement part.

在一實施例中,N之值對應於度量衡工具之量測部位內的所關注(且與eCDF相關聯)圖案例項之數目。在一實施例中,N之值對應於量測部位內的所有所關注圖案例項。在一實施例中,量測部位對應於度量衡工具(諸如電子束度量衡工具)之視場或量測光點。在一實施例中,量測部位對應於可藉由適用的度量衡工具一次性有效地量測之量測部位。針對量測部位指定N之值的原因係為了能夠更有效地選擇預期實際上發生缺陷之量測部位;自一個量測部位轉移至另一量測部位將不可避免地存在某一時間量,因此希望能夠識別有可能實際上發生之缺陷的彼等一或多個量測部位,此可減少例如浪費的量測及/或在量測部位之間轉移的時間。在一實施例中,N之值係10或更大、20或更大、50或更大、100或更大、200或更大、400或更大、500或更大、1000或更大、2000或更大或5000或更大。在一實施例中,對於不同量測部位(例如,FOV或影像),N之值可為不同的。In one embodiment, the value of N corresponds to the number of pattern instances of interest (and associated with the eCDF) within the measurement site of the metrology tool. In one embodiment, the value of N corresponds to all the patterns of interest in the measurement site. In one embodiment, the measurement site corresponds to a field of view or a measurement light spot of a metrology tool, such as an electron beam metrology tool. In one embodiment, the measurement site corresponds to a measurement site that can be effectively measured at one time by a suitable metrology tool. The reason for specifying the value of N for the measurement site is to be able to more effectively select the measurement site where the defect is expected to actually occur; transferring from one measurement site to another will inevitably exist for a certain amount of time, so It is desirable to be able to identify their one or more measurement sites for defects that may actually occur, which may reduce, for example, wasteful measurement and / or time between measurement sites. In one embodiment, the value of N is 10 or more, 20 or more, 50 or more, 100 or more, 200 or more, 400 or more, 500 or more, 1000 or more, 2000 or greater or 5000 or greater. In one embodiment, the value of N may be different for different measurement locations (for example, FOV or image).

在一實施例中,eCDFN 可計算為:eCDFN = [1 - (1-eCDF)N ]。在一實施例中,使用eCDFN = [1 - (1-eCDF)N ],其中吾人對量測區域內之最小圖案或熱點例項(例如,FOV內之最小CD)的機率有興趣。在數學上,N個相似圖案例項(例如,在FOV內)之集合的累積機率分佈(eCDFN )因此比單一圖案例項之累積機率分佈(eCDF1 )更清晰:eCDFN = eCDF1 ^N。因此,對於希望找到圖案例項之集合的特性值小於某一臨限值(或大於某一臨限值)之累積機率的情形,使用屬性1430 (例如,呈eCDFN 之形式,其中集合中之圖案例項的數目係N)來計算此機率。In one embodiment, eCDF N can be calculated as: eCDF N = [1-(1-eCDF) N ]. In one embodiment, eCDF N = [1-(1-eCDF) N ] is used, and we are interested in the probability of the smallest pattern or hotspot instance in the measurement area (for example, the smallest CD in FOV). Mathematically, the cumulative probability distribution (eCDF N ) of a collection of N similar pattern instances (e.g., within FOV) is therefore clearer than the cumulative probability distribution (eCDF 1 ) of a single pattern instance: eCDF N = eCDF 1 ^ N. Therefore, for the case where it is desired to find the cumulative probability of the characteristic value of the set of pattern instances being less than a certain threshold (or greater than a certain threshold), the attribute 1430 (for example, in the form of eCDF N , where The number of case items in the graph is N) to calculate this probability.

呈對應於PDF 1500及eCDF 1510的自合成資料判定之殘差分佈之eCDFN 之形式的屬性1430的實例在圖16中展示為曲線1520。在此實例中,針對在此實例中電子束檢測工具之視場內的N = 400個相似圖案例項之集合判定eCDFN 1520。An example of attribute 1430 in the form of eCDF N of the residual distribution determined from the self-synthesized data corresponding to PDF 1500 and eCDF 1510 is shown as curve 1520 in FIG. 16. In this example, eCDF N 1520 is determined for the set of N = 400 similar pattern instances in the field of view of the electron beam detection tool in this example.

在建立了屬性1430的情況下,如關於圖6及圖7所描述之基本上相同的機率計算方法1440可用於計算圖案例項之機率1450。舉例而言,代替使用PDF、CDF或eCDF,方法可使用屬性1430,例如,呈eCDFN 之形式。在一實施例中,計算量測部位(例如,FOV)含有至少一個缺陷之機率。在一實施例中,可針對基板上之所有量測部位(例如,基板上之所有可能的FOV)計算機率(若存在)。機率1450可用於如本文中所描述之用途,諸如用於統計程序圖建立、用於取樣計劃建立等等。In the case where the attribute 1430 is established, substantially the same probability calculation method 1440 as described with reference to FIGS. 6 and 7 can be used to calculate the probability 1450 of the pattern instance. For example, instead of using PDF, CDF, or eCDF, the method may use attributes 1430, for example, in the form of eCDF N. In one embodiment, the probability that the measurement site (eg, FOV) contains at least one defect is calculated. In one embodiment, the computer rate (if any) can be calculated for all measurement locations on the substrate (for example, all possible FOVs on the substrate). Probabilities 1450 can be used for purposes as described herein, such as for statistical program chart establishment, for sampling plan establishment, and so on.

舉例而言,視情況,機率1450可用於建立用於藉由基板之度量衡工具進行量測的取樣計劃。取樣計劃可用於識別基板上之缺陷;所識別缺陷可用於器件製造程序修改、控制、設計等等。某一量測部位(例如,FOV或影像)之極值特性(例如,CD)超過控制極限(亦即,含有缺陷)的機率提供優先化待用度量衡工具檢測之部位的方法。舉例而言,自具有最高機率之量測部位開始,可定義取樣方案,其中添加部位直至實現期望的缺陷俘獲準則(例如,實現俘獲率或妨害率之位準,或例如,當取樣部位之機率總和達到80%或更高、達到85%或更高、達到90%或更高、達到95%或更高時)。以此方式,可實現改良式(較短)檢測時間。For example, as appropriate, the probability 1450 can be used to establish a sampling plan for measurement by a substrate metrology tool. The sampling plan can be used to identify defects on the substrate; the identified defects can be used for device manufacturing process modification, control, design, etc. The probability that an extreme value characteristic (eg, CD) of a certain measurement site (eg, FOV or image) exceeds a control limit (ie, contains defects) provides a method of prioritizing a site to be detected with a metrology tool. For example, starting with the measurement site with the highest probability, a sampling scheme can be defined in which sites are added until the desired defect capture criteria are achieved (e.g., the level of capture rate or obstruction rate is achieved, or, for example, the probability When the sum reaches 80% or higher, 85% or higher, 90% or higher, or 95% or higher). In this way, an improved (shorter) detection time can be achieved.

作為更具體的實例,在一實施例中,可例如按增大值之次序對量測部位之機率值進行排序,接著將其用於計算累積機率。圖17中展示了表示為數個預測缺陷之此累積機率的實例,其中水平軸對應於排序之量測部位(例如,FOV或影像)之數目,且豎軸對應於針對量測部位之數目預測的缺陷之累積數目。曲線1600係基於用於合成資料集之PDF、CDF或eCDF的機率計算方法之預測缺陷之累積數目的實例。曲線1610係基於用於合成資料集之屬性1430,在此狀況下,呈eCDFN 之形式的機率計算方法之預測缺陷之累積數目的實例。藉由截取量測部位之數目來給出要找到的量測部位之取樣數目,例如,90%或更多的缺陷(或85%或更高,或95%或更高),曲線處於缺陷總數目的90%。在此實例中,如曲線中所見,缺陷總數目為約29 (曲線1600及1610之漸近線)。因此,其中90%為約26個缺陷,其由線1620展示。在線1620與曲線1600及1610相交的情況下,分別指示應檢測之各別排序的量測部位之數目以便偵測26個缺陷。在曲線1600的狀況下,此由線1630展示為約220個影像。對於對應於曲線1600之計算的彼數目個影像,計算出妨害率為約86%。相反,在曲線1610的狀況下,此由線1640展示為約103個影像。對於對應於曲線1610之計算的彼數目個影像,計算出妨害率為約71%。因此,對於此特定狀況,檢測時間改良約2倍(103個影像對220個影像),且有效妨害率相對改良約18%。As a more specific example, in one embodiment, the probability values of the measurement locations may be sorted in order of increasing values, and then used to calculate the cumulative probability. An example of this cumulative probability represented as several predicted defects is shown in Figure 17, where the horizontal axis corresponds to the number of ordered measurement locations (e.g., FOV or image) and the vertical axis corresponds to the predicted number of measurement locations Cumulative number of defects. Curve 1600 is an example of the cumulative number of predicted defects based on the probability calculation method of PDF, CDF, or eCDF used to synthesize a data set. Curve 1610 is an example of the cumulative number of predicted defects based on the attribute calculation method 1430 for the synthetic data set, in which case the probability calculation method in the form of eCDF N. The number of samples to be found is given by intercepting the number of measurement sites. For example, 90% or more defects (or 85% or higher, or 95% or higher), and the curve is at the total number of defects. Purpose 90%. In this example, as seen in the curve, the total number of defects is about 29 (asymptotic lines for curves 1600 and 1610). Therefore, 90% of them are about 26 defects, which are shown by line 1620. In the case where the line 1620 intersects the curves 1600 and 1610, the number of the measurement positions of the respective sorts to be detected should be respectively indicated so as to detect 26 defects. In the case of curve 1600, this is shown by line 1630 as approximately 220 images. For the calculated number of images corresponding to curve 1600, the obstruction rate is calculated to be about 86%. In contrast, in the case of curve 1610, this is shown by line 1640 as approximately 103 images. For the calculated number of images corresponding to curve 1610, the obstruction rate is calculated to be approximately 71%. Therefore, for this specific situation, the detection time is improved by about 2 times (103 images to 220 images), and the effective interference rate is improved by about 18%.

利用根據曲線1600及曲線1610之兩個取樣計劃,可視化兩個取樣計劃如何比較(亦即,藉由PDF、CDF或eCDF針對特定圖案例項(例如,熱點)之預測對藉由圖案例項之集合(例如,針對諸如FOV或影像之量測而定義)使用例如eCDFN 之預測)含有缺陷之實際量測部位。圖18展示在基板1700之至少部分上的量測部位之此高度示意性可視化,其中實心圓1710對應於具有實際缺陷之量測部位,內部(或更小)空心圓對應於藉由PDF、CDF或eCDF針對特定圖案例項(例如,熱點)預測之取樣量測部位,且外部(或更大)空心圓對應於藉由圖案例項之集合(例如,針對諸如FOV或影像之量測而定義)使用例如eCDFN 預測之取樣量測部位。如圖18中所見,相較於藉由PDF、CDF或eCDF針對特定圖案例項(例如,熱點)預測之取樣量測部位,藉由圖案例項之集合(例如,針對諸如FOV或影像之量測而定義)使用例如eCDFN 預測之取樣量測部位明顯更接近地匹配於缺陷指紋1710。Using two sampling plans according to curves 1600 and 1610, it is visualized how the two sampling plans are compared (i.e., predictions for specific pattern instances (e.g., hot spots) by PDF, CDF or eCDF versus The set (eg, defined for measurements such as FOV or imaging) using, for example, the prediction of eCDF N ) contains the actual measurement site of the defect. Figure 18 shows this highly schematic visualization of the measurement site on at least a portion of the substrate 1700, where the solid circle 1710 corresponds to the measurement site with actual defects, and the internal (or smaller) hollow circle corresponds to the PDF, CDF Or eCDF is a sample measurement location predicted for a specific pattern instance (e.g., a hot spot), and the outer (or larger) hollow circle corresponds to a definition by a collection of pattern instances (e.g., for measurements such as FOV or images ) Sampling measurement sites using eCDF N prediction, for example. As seen in FIG. 18, compared to sample measurement locations predicted by PDF, CDF, or eCDF for specific pattern instances (e.g., hot spots), a set of pattern instances (e.g., for quantities such as FOV or image) (Definition and definition) using, for example, eCDF N prediction, the sample measurement site is significantly closer to the defective fingerprint 1710.

因此,在一實施例中,提供一種機率計算方法,其基於相似圖案例項(例如,熱點例項)之集合(或群組)的統計數據來執行缺陷預測。利用彼方法,在實施例中,可形成具有至少一個缺陷之量測部位(例如,FOV或影像)的機率分佈,且可建立基於排序之預測機率或缺陷數目之取樣策略。Therefore, in one embodiment, a probability calculation method is provided that performs defect prediction based on statistical data of a set (or group) of similar pattern instances (eg, hotspot instances). Using the other method, in the embodiment, a probability distribution of at least one measurement site (for example, FOV or image) with a defect can be formed, and a sampling strategy based on the predicted probability or the number of defects can be established based on the ranking.

在一實施例中,此機率計算方法涉及在例如諸如度量衡工具(例如,屬於電子度量衡系統)之視場(FOV)的量測部位內計算圖案例項之集合的統計量,以便建立對於產生此圖案例項之基板上的特定部位,特定圖案例項(例如,熱點)成為缺陷的機率。藉由比較基板上之圖案例項之特性(例如,CD)的量測值與彼等圖案例項之預測值,可判定此統計量。在一實施例中,該集合之統計量可用於預測圖案例項之缺陷的機率。舉例而言,可使用指定量測部位(例如,FOV或影像)內的圖案例項之群組之集合的統計量來判定量測部位內的群組之任一圖案例項是否被預測為缺陷。舉例而言,相對大的視場度量衡系統可基本上一次性量測圖案例項之集合。因此,考慮基板上之特定FOV之集合的機率可為有利的,以使得能夠更有效地判定是否檢測對應於FOV之基板上的量測部位及/或選擇量測部位,以便例如縮減檢測時間。在一實施例中,彼等一或多個量測部位具有缺陷之機率較高,接著可檢測該一或多個量測部位。In one embodiment, this probability calculation method involves calculating statistics for a set of pattern instances within a measurement site such as a field of view (FOV) of a metrology tool (eg, an electronic metrology system) in order to establish The probability that a specific pattern instance (for example, a hot spot) becomes a defect on a specific part of the substrate of the case item. This statistic can be determined by comparing the measured values (eg, CD) of the pattern instances on the substrate with the predicted values of their pattern instances. In one embodiment, the statistics of the set can be used to predict the probability of defects in the pattern instances. For example, the statistics of a set of groups of pattern instances in a specified measurement site (for example, FOV or image) can be used to determine whether any pattern instance of a group within a measurement site is predicted to be a defect . For example, a relatively large field-of-view metrology system can measure a collection of pattern instances substantially at a time. Therefore, it may be advantageous to consider the probability of a specific set of FOVs on the substrate, so that it is possible to more effectively determine whether to measure the measurement site on the substrate corresponding to the FOV and / or select the measurement site, for example to reduce the detection time. In one embodiment, the probability that the one or more measurement sites have defects is high, and then the one or more measurement sites may be detected.

在一實施例中,此方法使用殘差之實際分佈函數(例如,基於量測),該等殘差被預期為非高斯(non-Gaussian)。因此,此分佈之實際使用應在取樣方面帶來另外益處(例如,較低有效妨害率)。In one embodiment, this method uses the actual distribution function of the residuals (eg, based on measurements), which are expected to be non-Gaussian. Therefore, the practical use of this distribution should bring additional benefits in terms of sampling (e.g., lower effective interference rates).

在一實施例中,機率計算考量基板上之殘差分佈的變化。即,屬性660/880/1430可在基板上變化。此可藉由多種方式中之一者完成。舉例而言,藉由在基板上之特定區域(例如,在每一量測部位(例如,FOV或影像)上、在每一晶粒上、在可由適用製造裝置(諸如微影裝置等等)之控制致動器定址的區域上計算的局部特性分佈(例如,CDU分佈)之範圍或標準偏差,使屬性縮放。此將進一步改良取樣純度(例如,實現較低有效妨害率)。In one embodiment, the probability calculation takes into account changes in the residual distribution on the substrate. That is, the attributes 660/880/1430 may vary on the substrate. This can be done in one of several ways. For example, by using a specific area on the substrate (e.g., at each measurement site (e.g., FOV or image), on each die, on a device that can be manufactured (such as a lithographic device, etc.) The range or standard deviation of the local characteristic distribution (e.g., CDU distribution) calculated over the area where the control actuator is addressed, scales the attributes. This will further improve the purity of the sampling (e.g., achieve a lower effective interference rate).

在一實施例中,評估用於在任何給定基板上產生圖案例項之器件製造程序已漂移抑或遭受特定偏移。藉由比較針對特定基板判定之統計缺陷數目與在一或多個先前基板上計算之一或多個先前統計缺陷數目及/或在一或多個先前基板上觀測到之一或多個實際缺陷數目,可完成此評估。舉例而言,若統計缺陷數目超過此先前缺陷數目某一量(例如,5%或更大、10%或更大、15%或更大、20%或更大),則可對使用者標記此漂移/偏移,可移除應用統計缺陷數目之基板免受處理,可停止器件製造程序,可修改、控制等等器件製造程序,以消除或縮減漂移/偏移等等。在一實施例中,此類型之評估亦可用於評估用於機率計算方法之資料。在一實施例中,可量測基板當中的錨定特徵,以判定當前量測是否與基線(例如,先前量測)在統計上不同(例如,使用置信區間)。若認為當前量測偏離基線,則可警示所預測缺陷由於漂移或偏移而無效或可能無效。In one embodiment, the device manufacturing process used to generate pattern instances on any given substrate has been evaluated to have drifted or suffered a particular offset. By comparing the number of statistical defects determined for a particular substrate with one or more previous statistical defects calculated on one or more previous substrates and / or one or more actual defects observed on one or more previous substrates Number to complete this assessment. For example, if the number of statistical defects exceeds this previous number of defects by a certain amount (for example, 5% or greater, 10% or greater, 15% or greater, 20% or greater), the user may be flagged This drift / offset can remove the substrate from which the statistical defect number is applied from being processed, stop the device manufacturing process, and modify, control, etc. the device manufacturing process to eliminate or reduce drift / offset and so on. In one embodiment, this type of evaluation can also be used to evaluate the data used in the probability calculation method. In an embodiment, the anchoring feature in the substrate may be measured to determine whether the current measurement is statistically different from the baseline (eg, previous measurement) (eg, using a confidence interval). If the current measurement is considered to be off baseline, it can alert that the predicted defect is invalid or potentially invalid due to drift or offset.

在一實施例中,對照每個熱點例項類型或複數個相似熱點例項類型之集合的熱點例項資料變化性(局部臨界尺寸均一性(LCDU)、線邊緣粗糙度(LER)等等)的預測大小,可分析彼變化性(例如,使用例如Z方向上之影像對數斜率(ILS)、MSD等等),以獲得如上文所論述用於預測變化性之相似屬性,其可為可用於機率方法中以增強缺陷預測的一或多個預測因子。舉例而言,基於使用某一聚焦值產生之熱點例項,特定熱點例項類型或複數個相似熱點例項類型之集合的PDF可能變化,此係因為與焦點對準之彼等特徵相比較,焦點外之特徵具有較低ILS,且因此將具有較寬PDF。In one embodiment, the variability of hotspot instance data (local critical size uniformity (LCDU), line edge roughness (LER), etc.) is compared with each hotspot instance type or a set of multiple similar hotspot instance types. Can be analyzed for variability (for example, using, for example, the image logarithmic slope (ILS), MSD, etc. in the Z direction) to obtain similar attributes for predicting variability as discussed above, which can be used for One or more predictors in probabilistic methods to enhance defect prediction. For example, based on hotspot instances generated using a certain focus value, the PDF of a particular hotspot instance type or a collection of multiple similar hotspot instance types may change, because it is compared with other features in focus, Out-of-focus features have lower ILS and therefore will have wider PDFs.

因此,本文中提供了例如計算藉由器件製造程序產生之基板上的缺陷機率之方法、獲得非機率模型之殘差之分佈的屬性的方法,及基於缺陷機率而判定待檢測之圖案例項的有序清單的方法。Therefore, this article provides, for example, a method of calculating the probability of defects on a substrate produced by a device manufacturing process, a method of obtaining the attributes of the distribution of residuals of a non-probability model, and a method of determining pattern instances to be detected based on the probability of defects. An ordered list approach.

在一實施例中,提供一種方法,其包含:使用一非機率模型獲得藉由一器件製造程序產生之一基板上的一圖案例項之一特性的一值;獲得該非機率模型之一殘差之一分佈的一屬性;基於該殘差之該分佈的該屬性且基於該圖案例項之該特性的該值,判定該特性之一分佈的一屬性;及基於該特性之該分佈的該屬性,判定該圖案例項係一缺陷的一機率。In one embodiment, a method is provided, which includes: using a non-probability model to obtain a value of a characteristic of a pattern instance on a substrate generated by a device manufacturing process; and obtaining a residual of the non-probability model. An attribute of a distribution; an attribute of a distribution of the characteristic is determined based on the attribute of the distribution of the residual and the value of the characteristic of the pattern instance; and the attribute of the distribution based on the characteristic , Determine that the pattern instance is a probability of a defect.

根據一實施例,該殘差之該分佈的該屬性包含該殘差之一機率密度函數(PDF)。根據一實施例,該殘差之該分佈的該屬性包含該殘差之一累積分佈函數(CDF)或該殘差之一經驗累積分佈函數(eCDF)。根據一實施例,該殘差之該分佈的該屬性表示該殘差之該分佈的一散佈。根據一實施例,該殘差之該分佈的該屬性係該殘差之該分佈的一方差或標準偏差。根據一實施例,該特性選自以下各者中之一或多者:相對於該基板之一位置、相對於該基板上之一或多個其他圖案例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。根據一實施例,判定該特性之該分佈的該屬性包含添加該殘差之該分佈的該屬性及該特性之該值。根據一實施例,該特性之該分佈的該屬性係該特性之一PDF。根據一實施例,判定該機率包含在該特性之一範圍內整合該特性之該PDF。根據一實施例,該方法進一步包含使該特性之該分佈的該屬性正規化。根據一實施例,判定該特性之該分佈的該屬性係進一步基於該特性之一範圍,在該範圍內,該圖案被視為一缺陷。According to an embodiment, the attribute of the distribution of the residual includes a probability density function (PDF) of the residual. According to an embodiment, the attribute of the distribution of the residual includes a cumulative distribution function (CDF) of the residual or an empirical cumulative distribution function (eCDF) of the residual. According to an embodiment, the attribute of the distribution of the residuals represents a dispersion of the distribution of the residuals. According to an embodiment, the attribute of the distribution of the residual is the variance or standard deviation of the distribution of the residual. According to an embodiment, the characteristic is selected from one or more of the following: a position relative to the substrate, a position relative to one or more other pattern instances on the substrate, a geometric size, a Geometry, a measure of a random effect, and / or any combination selected from the foregoing. According to an embodiment, determining the attribute of the distribution of the characteristic includes adding the attribute of the distribution of the residual and the value of the characteristic. According to an embodiment, the attribute of the distribution of the characteristic is a PDF of the characteristic. According to an embodiment, determining the probability includes integrating the PDF with the characteristic within a range of the characteristic. According to an embodiment, the method further includes normalizing the attribute of the distribution of the characteristic. According to an embodiment, the attribute determining the distribution of the characteristic is further based on a range of the characteristic, within which the pattern is considered a defect.

在一實施例中,提供一種方法,其包含:獲得藉由一器件製造程序產生之一基板上的複數個圖案例項之一特性的檢驗值;使用一非機率模型獲得該特性之計算值;基於該等檢驗值及該等計算值而獲得該非機率模型之一殘差的值;及基於該殘差的該等值而獲得該殘差之一分佈的一屬性。In one embodiment, a method is provided, including: obtaining a test value of a characteristic of a plurality of pattern instances on a substrate generated by a device manufacturing process; using a non-probability model to obtain a calculated value of the characteristic; Obtaining a value of a residual of the non-probability model based on the test values and the calculated values; and obtaining an attribute of a distribution of the residual based on the values of the residual.

根據一實施例,該特性選自以下各者中之一或多者:相對於該基板之一位置、相對於該基板上之一或多個其他圖案例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。根據一實施例,獲得該等檢驗值包含使用一度量衡工具來量測該等圖案例項或使用一嚴格模型進行模擬。根據一實施例,該度量衡工具經組態以使用一帶電粒子束來量測該等圖案例項。根據一實施例,獲得該殘差的該等值包含獲得該等計算值與該等檢驗值之間的差。根據一實施例,該殘差之該分佈的該屬性包含該殘差之該分佈的一PDF。根據一實施例,該方法進一步包含基於該複數個圖案例項之圖案類型的形狀、大小、功能或空間鄰近度而獲得該等圖案例項。According to an embodiment, the characteristic is selected from one or more of the following: a position relative to the substrate, a position relative to one or more other pattern instances on the substrate, a geometric size, a Geometry, a measure of a random effect, and / or any combination selected from the foregoing. According to an embodiment, obtaining the inspection values includes using a metrology tool to measure the pattern instances or using a strict model for simulation. According to an embodiment, the metrology tool is configured to measure the pattern instances using a charged particle beam. According to an embodiment, obtaining the values of the residual includes obtaining the difference between the calculated values and the test values. According to an embodiment, the attribute of the distribution of the residual includes a PDF of the distribution of the residual. According to an embodiment, the method further includes obtaining the pattern instances based on the shape, size, function, or spatial proximity of the pattern type of the plurality of pattern instances.

在一實施例中,提供一種方法,其包含:獲得藉由一器件製造程序產生之一基板上的一組圖案例項分別為缺陷的機率;基於該等機率判定待檢測之圖案例項的一有序清單;及遵循該有序清單之一次序來檢測該有序清單中之圖案例項。In one embodiment, a method is provided, which includes: obtaining a probability that a set of pattern instances on a substrate generated by a device manufacturing process are defects, respectively; and determining a pattern instance to be detected based on the probabilities. An ordered list; and following one of the ordered lists to detect pattern items in the ordered list.

根據一實施例,該方法進一步包含獲得圖案例項之該集合的部位。根據一實施例,判定該有序清單係進一步基於該等部位。根據一實施例,該有序清單包含圖案例項之集合當中的圖案例項之一子集,其中該子集中之圖案例項係缺陷的機率比該集合而非該子集中之圖案例項高。根據一實施例,判定該有序清單係進一步基於一檢測處理量、允許檢測之一時間量,及/或允許該基板在檢測期間接收之一輻射量。根據一實施例,該次序係一下降機率次序。根據一實施例,判定該有序清單包含計算作為該次序之一函數的一成本函數。根據一實施例,該成本函數表示該等機率、檢測該組圖案例項之一時間量,及/或該組圖案例項當中的距離。根據一實施例,該方法進一步包含基於自檢測該有序清單中之該等圖案例項獲得的資料而更新該等機率。According to an embodiment, the method further includes obtaining parts of the set of pattern instances. According to an embodiment, determining the ordered list is further based on the locations. According to an embodiment, the ordered list includes a subset of the pattern instances in the set of pattern instances, wherein the pattern instances in the subset are more likely to be defective than the pattern instances in the set and not the subset . According to an embodiment, determining the ordered list is further based on a detection throughput, an amount of time allowed to be detected, and / or an amount of radiation allowed to be received by the substrate during the inspection. According to an embodiment, the order is a descending probability order. According to an embodiment, determining that the ordered list includes calculating a cost function as a function of the order. According to an embodiment, the cost function represents the probabilities, an amount of time to detect the set of pattern instances, and / or a distance among the set of pattern instances. According to an embodiment, the method further comprises updating the probabilities based on data obtained from detecting the pattern instances in the ordered list.

在一實施例中,提供一種方法,其包含:使用一非機率模型獲得一實體系統或物件之一實體項目例項之一特性的一值;獲得該非機率模型之一殘差相對於實體項目例項之一集合之一分佈的一屬性,該殘差相對於實體項目例項之一集合之該分佈的該屬性基於該非機率模型之一殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之一分佈的一屬性;基於該殘差相對於實體項目例項之一集合之該分佈的該屬性且基於該實體項目例項之該特性的該值,判定該特性之一分佈的一屬性;及基於該特性之該分佈的該屬性,判定該實體項目例項超出規格的一機率。In one embodiment, a method is provided, which includes: using a non-probability model to obtain a value of a property of an entity item instance of an entity system or object; obtaining a residual of the non-probability model relative to the entity item instance An attribute of a distribution of one set of items, the attribute of the residual relative to that of a set of entity item instances. The attribute of the distribution is based on a residual of the non-probability model relative to at least one entity item type corresponding to the set. An attribute of at least one entity item instance distribution; based on the residual relative to the attribute of the distribution of a set of entity item instances and the value of the characteristic of the entity item instance, determining the characteristic An attribute of a distribution; and a probability of determining that the entity item instance exceeds the specification based on the attribute of the distribution of the characteristic.

在一實施例中,該殘差相對於該集合之該分佈的該屬性包含實體項目例項之該集合的一累積分佈函數。在一實施例中,該殘差相對於該集合之該分佈的該屬性涉及該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之該分佈的該屬性比該集合中之數個實體項目例項的功率。在一實施例中,該殘差相對於該集合之該分佈的該屬性係至少由[1 - (1-eCDF)N ]或[1 - (1-CDF)N ]定義之一函數,其中N係該集合中實體項目例項之數目,CDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一累積分佈函數,且eCDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一經驗累積分佈函數。在一實施例中,該集合中實體項目例項之該數目大於10。在一實施例中,該實體項目例項對應於藉由一器件製造程序產生之一基板上的一圖案例項。在一實施例中,在一度量衡工具之一量測部位或視場內,該集合中實體項目例項之該數目對應於一特定實體項目類型或特定複數個實體項目類型之一集合的該等實體項目例項之一數目。在一實施例中,該方法進一步包含基於該機率,判定超出規格之至少一個實體項目例項在一度量衡工具之一量測部位或視場內的預測存在。在一實施例中,存在該殘差相對於該集合之該分佈的複數個屬性,且對於不同實體部位,該殘差相對於該集合之該分佈的該複數個屬性中之每一屬性係不同的。在一實施例中,該特性選自以下各者中之一或多者:相對於一基板之一位置、相對於一或多個其他實體項目例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。在一實施例中,判定該特性之該分佈的該屬性包含相對於該集合添加該殘差之該分佈的該屬性及該特性之該值。在一實施例中,判定該機率係進一步基於該特性之一範圍,在該範圍內,該實體項目例項被視為超出規格。在一實施例中,該方法進一步包含基於該機率,判定用於量測之量測部位的一取樣計劃,以判定超出規格之實體項目例項(若存在)。In one embodiment, the attribute of the residual relative to the distribution of the set includes a cumulative distribution function of the set of entity item instances. In one embodiment, the attribute of the residual relative to the distribution of the set relates to the attribute of the residual relative to the distribution of at least one entity item instance corresponding to at least one entity item type of the set being greater than the The power of several physical item instances in the collection. In one embodiment, the property of the residual relative to the distribution of the set is at least a function defined by [1-(1-eCDF) N ] or [1-(1-CDF) N ], where N Is the number of entity item instances in the set, CDF is a cumulative distribution function of the residual relative to at least one entity item instance corresponding to at least one entity item type of the set, and eCDF is the residual relative to the corresponding An empirical cumulative distribution function for at least one entity item instance of at least one entity item type in the set. In one embodiment, the number of entity item instances in the set is greater than ten. In one embodiment, the physical item instance corresponds to a pattern instance on a substrate generated by a device manufacturing process. In one embodiment, in a measurement site or field of view of a metrology tool, the number of entity item instances in the set corresponds to a specific entity item type or a set of a specific plurality of entity item types. The number of entity item instances. In an embodiment, the method further includes determining, based on the probability, that at least one physical item instance exceeding a specification exists in a measurement location or field of view of one of the metrology tools. In one embodiment, there are a plurality of attributes of the distribution relative to the distribution of the residual, and for different physical parts, the residual is different with respect to each of the plurality of attributes of the distribution of the collection. of. In one embodiment, the characteristic is selected from one or more of the following: a position relative to a substrate, a position relative to one or more other entity item instances, a geometric size, a geometric shape , A measure of a random effect, and / or any combination selected from the foregoing. In one embodiment, determining the attribute of the distribution of the characteristic includes the attribute of the distribution and the value of the characteristic relative to the set to which the residual is added. In one embodiment, determining the probability is further based on a range of the characteristics, within which the entity item instance is considered to be out of specification. In an embodiment, the method further includes determining a sampling plan of the measurement site for measurement based on the probability to determine an entity item instance (if any) that exceeds the specification.

在一實施例中,提供一種方法,其包含:獲得一實體系統或物件之複數個實體項目例項之一特性的檢驗值;使用一非機率模型獲得該特性之計算值;基於該等檢驗值及該等計算值而獲得該非機率模型之一殘差的值;基於該殘差之該等值而獲得該殘差之一第一分佈的一屬性;及基於該第一分佈的該屬性,獲得該非機率模型之一殘差相對於實體項目例項之一集合之一第二分佈的一屬性。In one embodiment, a method is provided, including: obtaining a test value of a characteristic of a plurality of entity item instances of an entity system or object; using a non-probability model to obtain a calculated value of the characteristic; and based on the test values And the calculated values to obtain a value of a residual of the non-probability model; to obtain an attribute of a first distribution of the residual based on the values of the residual; and to obtain an attribute of the first distribution based on the attribute of the first distribution, obtain An attribute of a residual of the non-probability model relative to a second distribution of a set of entity item instances.

在一實施例中,該殘差相對於該集合之該第二分佈的該屬性包含實體項目例項之該集合的一累積分佈函數。在一實施例中,該殘差相對於該集合之該第二分佈的該屬性涉及該殘差之該第一分佈的該屬性比該集合中之數個實體項目例項的功率。在一實施例中,該殘差相對於該集合之該第二分佈的該屬性係至少由[1 - (1-eCDF)N ]或[1 - (1-CDF)N ]定義之一函數,其中N係該集合中實體項目例項之數目,CDF係相對於該第一分佈的一累積分佈函數,且eCDF係相對於該第一分佈的一經驗累積分佈。在一實施例中,該集合中實體項目例項之該數目大於10。在一實施例中,該等實體項目例項對應於藉由一器件製造程序產生之一基板上的圖案例項。在一實施例中,在一度量衡工具之一量測部位或視場內,該集合中實體項目例項之該數目對應於一特定實體項目類型或特定複數個實體項目類型之一集合的該等實體項目例項之一數目。在一實施例中,存在該殘差相對於該集合之該第二分佈的複數個屬性,且對於不同實體部位,該殘差相對於該集合之該第二分佈的該複數個屬性中之每一屬性係不同的。在一實施例中,該特性選自以下各者中之一或多者:相對於一基板之一位置、相對於一或多個其他實體項目例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。在一實施例中,獲得該等檢驗值包含使用一度量衡工具來量測該等實體項目例項或使用一嚴格模型進行模擬。在一實施例中,該度量衡工具經組態以使用一帶電粒子束來量測該等實體項目例項。在一實施例中,獲得該殘差的該等值包含獲得該等計算值與該等檢驗值之間的差。在一實施例中,該殘差之該第一分佈的該屬性係該殘差之該第一分佈的一CDF或eCDF。在一實施例中,該方法進一步包含基於形狀、大小、功能或空間鄰近度而獲得該複數個實體項目例項。In one embodiment, the attribute of the residual relative to the second distribution of the set includes a cumulative distribution function of the set of entity item instances. In an embodiment, the attribute of the residual relative to the second distribution of the set relates to the power of the attribute of the first distribution of the residual to a number of entity item instances in the set. In an embodiment, the attribute of the residual relative to the second distribution of the set is at least one function defined by [1-(1-eCDF) N ] or [1-(1-CDF) N ], Where N is the number of physical item instances in the set, CDF is a cumulative distribution function with respect to the first distribution, and eCDF is an empirical cumulative distribution with respect to the first distribution. In one embodiment, the number of entity item instances in the set is greater than ten. In one embodiment, the physical item instances correspond to pattern instances on a substrate generated by a device manufacturing process. In one embodiment, in a measurement site or field of view of a metrology tool, the number of entity item instances in the set corresponds to a specific entity item type or a set of a specific plurality of entity item types. The number of entity item instances. In one embodiment, there are a plurality of attributes of the residual relative to the second distribution of the set, and for different entity parts, the residual is relative to each of the plurality of attributes of the second distribution of the set One property is different. In one embodiment, the characteristic is selected from one or more of the following: a position relative to a substrate, a position relative to one or more other entity item instances, a geometric size, a geometric shape , A measure of a random effect, and / or any combination selected from the foregoing. In one embodiment, obtaining the inspection values includes using a metrology tool to measure the entity project instances or using a rigorous model for simulation. In one embodiment, the metrology tool is configured to measure the physical item instances using a charged particle beam. In one embodiment, obtaining the values of the residual includes obtaining the difference between the calculated values and the test values. In one embodiment, the attribute of the first distribution of the residual is a CDF or eCDF of the first distribution of the residual. In one embodiment, the method further includes obtaining the plurality of physical item instances based on shape, size, function, or spatial proximity.

在一實施例中,提供一種方法,其包含:獲得一實體系統或物件之一組實體項目例項分別超出規格的機率,使用一非機率模型之一殘差相對於實體項目例項之一集合之一分佈的一屬性來判定該等機率,該判定係基於該非機率模型之一殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之一分佈的一屬性;及基於該等機率來判定待檢測之實體項目例項之一有序清單。In one embodiment, a method is provided, which includes: obtaining a probability that a group of entity items of an entity system or object will exceed the specifications, using a non-probability model of a residual relative to a set of entity item instances Determining a probability based on an attribute of a distribution based on an attribute of a distribution of a residual of the non-probability model relative to one of at least one entity item instance corresponding to at least one entity item type of the set; and An ordered list of entity item instances to be detected based on these probabilities.

在一實施例中,該殘差相對於該集合之該分佈的該屬性包含實體項目例項之該集合的一累積分佈函數。在一實施例中,該殘差相對於該集合之該分佈的該屬性涉及該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之該分佈的該屬性比該集合中之數個實體項目例項的功率。在一實施例中,該殘差相對於該集合之該分佈的該屬性係至少由[1 - (1-eCDF)N ]或[1 - (1-CDF)N ]定義之一函數,其中N係該集合中實體項目例項之數目,CDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一累積分佈函數,且eCDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一經驗累積分佈函數。在一實施例中,該集合中實體項目例項之該數目大於10。在一實施例中,該等實體項目例項對應於藉由一器件製造程序產生之一基板上的圖案例項。在一實施例中,在度量衡工具之一量測部位或視場內,該集合中實體項目例項之該數目對應於一特定實體項目類型或特定複數個實體項目類型之一集合的該等實體項目例項之一數目。在一實施例中,判定該有序清單進一步包含基於該等機率,判定至少一個缺陷在該度量衡工具之一量測部位或視場內的預測存在。在一實施例中,存在該殘差相對於該集合之該分佈的複數個屬性,且對於不同實體部位,該殘差相對於該集合之該分佈的該複數個屬性中之每一屬性係不同的。在一實施例中,該特性選自以下各者中之一或多者:相對於一基板之一位置、相對於一或多個其他實體項目例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。在一實施例中,該方法進一步包含獲得實體項目例項之該集合的部位,且判定該有序清單係進一步基於該等部位。在一實施例中,該有序清單包含實體項目例項之該集合當中的實體項目例項之一子集,其中該子集中之實體項目例項超出規格的機率比該集合而非該子集中之實體項目例項高。在一實施例中,判定該有序清單係進一步基於一檢測處理量、允許檢測之一時間量,及/或允許一基板在檢測期間接收之一輻射量。在一實施例中,該有序清單係按一下降機率次序。在一實施例中,該方法進一步包含基於自檢測該有序清單中之該等實體項目例項獲得的資料而更新該等機率。在一實施例中,該方法進一步包含遵循該有序清單之該次序來檢測該有序清單中之實體項目例項。In one embodiment, the attribute of the residual relative to the distribution of the set includes a cumulative distribution function of the set of entity item instances. In one embodiment, the attribute of the residual relative to the distribution of the set relates to the attribute of the residual relative to the distribution of at least one entity item instance corresponding to at least one entity item type of the set being greater than the The power of several physical item instances in the collection. In one embodiment, the property of the residual relative to the distribution of the set is at least a function defined by [1-(1-eCDF) N ] or [1-(1-CDF) N ], where N Is the number of entity item instances in the set, CDF is a cumulative distribution function of the residual relative to at least one entity item instance corresponding to at least one entity item type of the set, and eCDF is the residual relative to the corresponding An empirical cumulative distribution function for at least one entity item instance of at least one entity item type in the set. In one embodiment, the number of entity item instances in the set is greater than ten. In one embodiment, the physical item instances correspond to pattern instances on a substrate generated by a device manufacturing process. In one embodiment, in a measurement site or field of view of a metrology tool, the number of entity item instances in the set corresponds to a specific entity item type or a set of specific entity item types in the collection. Number of project instances. In an embodiment, determining the ordered list further includes determining, based on the probabilities, the predicted existence of at least one defect in a measurement site or field of view of the metrology tool. In one embodiment, there are a plurality of attributes of the distribution relative to the distribution of the residual, and for different physical parts, the residual is different with respect to each of the plurality of attributes of the distribution of the collection. of. In one embodiment, the characteristic is selected from one or more of the following: a position relative to a substrate, a position relative to one or more other entity item instances, a geometric size, a geometric shape , A measure of a random effect, and / or any combination selected from the foregoing. In an embodiment, the method further includes obtaining parts of the set of entity item instances, and determining the ordered list is further based on the parts. In an embodiment, the ordered list includes a subset of the entity item instances in the set of entity item instances, where the probability of the entity item instances in the subset exceeding the specification is greater than the set and not the subset. The physical item count is high. In an embodiment, determining the ordered list is further based on an inspection throughput, an amount of time allowed to be detected, and / or an amount of radiation allowed to be received by a substrate during the inspection. In an embodiment, the ordered list is in descending order of probability. In one embodiment, the method further includes updating the probabilities based on information obtained from detecting the entity item instances in the ordered list. In an embodiment, the method further includes detecting the physical item instances in the ordered list following the order of the ordered list.

圖19係說明可輔助實施本文中所揭示之方法及流程之全部或部分之電腦系統100的方塊圖。電腦系統100包括用以傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以處理資訊之處理器104 (或多個處理器104及105)。電腦系統100亦可包括耦接至匯流排102以儲存及/或供應待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106可用以在待由處理器104執行之指令之執行期間儲存及/或供應暫時性變數或其他中間資訊。電腦系統100可進一步包括耦接至匯流排102以儲存及/或供應用於處理器104之靜態資訊及指令的唯讀記憶體(ROM) 108或其他靜態儲存器件。可提供諸如磁碟或光碟之儲存器件110,且可將儲存器件110耦接至匯流排102以儲存及/或供應資訊及指令。FIG. 19 is a block diagram illustrating a computer system 100 that can assist in implementing all or part of the methods and processes disclosed herein. The computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or multiple processors 104 and 105) coupled to the bus 102 to process information. The computer system 100 may also include a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to the bus 102 to store and / or supply information and instructions to be executed by the processor 104. The main memory 106 may be used to store and / or supply temporary variables or other intermediate information during execution of instructions to be executed by the processor 104. The computer system 100 may further include a read-only memory (ROM) 108 or other static storage device coupled to the bus 102 to store and / or supply static information and instructions for the processor 104. A storage device 110 such as a magnetic disk or an optical disk may be provided, and the storage device 110 may be coupled to the bus 102 to store and / or supply information and instructions.

電腦系統100可經由匯流排102而耦接至用以向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(cathode ray tube;CRT)或平板顯示器或觸控面板顯示器。可將包括文數字及其他按鍵之輸入器件114耦接至匯流排102以將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件可為用以將方向資訊及命令選擇傳達至處理器104且控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常在兩個軸,第一軸(例如,x)及第二軸(例如,y)上具有兩個自由度,其允許器件指定平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入器件。The computer system 100 may be coupled to a display 112, such as a cathode ray tube (CRT) or a flat panel display or a touch panel display, for displaying information to a computer user via a bus 102. An input device 114 including alphanumeric and other keys can be coupled to the bus 102 to communicate information and command selections to the processor 104. Another type of user input device may be a cursor control 116, such as a mouse, trackball, or cursor direction button, used to communicate direction information and command choices to the processor 104 and control the movement of the cursor on the display 112. This input device typically has two degrees of freedom on two axes, a first axis (eg, x) and a second axis (eg, y), which allows the device to specify a position in a plane. Touch panel (screen) displays can also be used as input devices.

根據一個實施例,可回應於處理器104執行主記憶體106中所含有之一或多個指令之一或多個序列而由電腦系統100執行本文中所揭示之方法之部分。可將此等指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中含有之指令序列的執行致使處理器104執行本文中所描述之程序步驟。可使用多處理配置中之一或多個處可使用多處理配置中之一或多個處理器,以執行主記憶體106中所含有之指令序列。在一實施例中,可代替或結合軟體指令來使用硬佈線電路。因此,本文中之描述不限於硬體電路及軟體之任何特定組合。According to one embodiment, part of the method disclosed herein may be performed by the computer system 100 in response to the processor 104 executing one or more sequences of one or more instructions contained in the main memory 106. Such instructions may be read into the main memory 106 from another computer-readable medium, such as the storage device 110. Execution of the sequence of instructions contained in the main memory 106 causes the processor 104 to execute the program steps described herein. One or more processors in a multi-processing configuration may be used to execute a sequence of instructions contained in the main memory 106. In one embodiment, hard-wired circuits may be used instead of or in combination with software instructions. Therefore, the description herein is not limited to any specific combination of hardware circuits and software.

如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,包括包含匯流排102的線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟性磁碟、可撓性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。The term "computer-readable medium" as used herein refers to any medium that participates in providing instructions to the processor 104 for execution. This media can take many forms, including but not limited to non-volatile media, volatile media, and transmission media. Non-volatile media includes, for example, optical or magnetic disks, such as the storage device 110. Volatile media includes dynamic memory, such as main memory 106. Transmission media include coaxial cables, copper wires, and optical fibers, including wires including the busbar 102. Transmission media can also be in the form of sound or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, floppy disks, flexible disks, hard disks, magnetic tapes, any other magnetic media, CD-ROMs, DVDs, any other optical media, punched cards, paper tape, patterned with holes Any other physical media, RAM, PROM and EPROM, FLASH-EPROM, any other memory chip or cassette, carrier wave as described below, or any other media that can be read by a computer.

可在將一或多個指令之一或多個序列攜載至處理器104以供執行時涉及各種形式之電腦可讀媒體。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟或記憶體上。遠端電腦可將該等指令載入至其動態記憶體中,且在通信路徑上方發送該等指令。電腦系統100可自路徑接收資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體擷取指令且執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。Various forms of computer-readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may initially be carried on a disk or memory of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions above the communication path. The computer system 100 can receive data from the path and place the data on the bus 102. The bus 102 carries data to the main memory 106, and the processor 104 fetches instructions from the main memory and executes the instructions. The instructions received by the main memory 106 may be stored on the storage device 110 before or after being executed by the processor 104 as appropriate.

電腦系統100可包括耦接至匯流排102之通信介面118。通信介面118提供至網路鏈路120之雙向資料通信耦接,網路鏈路120連接至網路122。舉例而言,通信介面118可提供有線或無線資料通信連接。在任何此實施方案中,通信介面118發送且接收攜載表示各種類型之資訊的數位資料串流之電信號、電磁信號或光學信號。The computer system 100 may include a communication interface 118 coupled to the bus 102. The communication interface 118 provides a two-way data communication coupling to the network link 120, and the network link 120 is connected to the network 122. For example, the communication interface 118 may provide a wired or wireless data communication connection. In any such implementation, the communication interface 118 sends and receives electrical, electromagnetic, or optical signals that carry digital data streams representing various types of information.

網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料器件。舉例而言,網路鏈路120可經由網路122而向主機電腦124或向由網際網路服務提供者(ISP) 126操作之資料設備提供連接。ISP 126繼而經由全球封包資料通信網路(現在通常被稱作「網際網路」) 128而提供資料通信服務。網路122及網際網路128皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100且自電腦系統100攜載數位資料)係輸送資訊的例示性形式之載波。The network link 120 typically provides data communication to other data devices via one or more networks. For example, the network link 120 may provide a connection to the host computer 124 or to a data device operated by an Internet Service Provider (ISP) 126 via the network 122. The ISP 126 then provides data communication services via a global packet data communication network (now commonly referred to as the "Internet") 128. Both the network 122 and the Internet 128 use electrical signals, electromagnetic signals, or optical signals that carry digital data streams. The signals via various networks and the signals on the network link 120 and via the communication interface 118 (the signals carry digital data to and from the computer system 100) are exemplary for conveying information Form of carrier.

電腦系統100可經由網絡、網路鏈路120及通信介面118發送訊息並接收資料,包括程式碼。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、網路122及通信介面118而傳輸用於應用程式之經請求程式碼。舉例而言,一個此類經下載應用可提供用以實施本文中之方法的程式碼。所接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存體中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。The computer system 100 can send messages and receive data, including code, via the network, the network link 120, and the communication interface 118. In the Internet example, the server 130 may transmit the requested code for the application program via the Internet 128, ISP 126, network 122, and communication interface 118. For example, one such downloaded application may provide code to implement the methods herein. The received code may be executed by the processor 104 when it is received, and / or stored in the storage device 110 or other non-volatile storage for later execution. In this way, the computer system 100 can obtain application code in the form of a carrier wave.

圖20示意性地描繪另外例示性微影裝置1000。微影裝置1000包括:FIG. 20 schematically depicts another exemplary lithographic apparatus 1000. The lithographic apparatus 1000 includes:

- 源收集器模組SO-Source collector module SO

- 照明系統(照明器) IL,其經組態以調節輻射光束B (例如,EUV輻射)。-Lighting system (illuminator) IL, which is configured to regulate the radiation beam B (e.g. EUV radiation).

- 支撐結構(例如,光罩台) MT,其經建構以支撐圖案化器件(例如,光罩或倍縮光罩) MA,且連接至經組態以準確地定位圖案化器件之第一定位器PM;-A support structure (e.g., a photomask stage) MT, which is constructed to support a patterned device (e.g., a photomask or a reduction mask) MA, and is connected to a first positioning configured to accurately position the patterned device器 PM; PM

- 基板台(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位基板之第二定位器PW;及-A substrate table (e.g., wafer table) WT configured to hold a substrate (e.g., resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and

- 投影系統(例如,反射投影系統) PS,其經組態以將藉由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上。-A projection system (e.g., a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by the patterning device MA onto a target portion C (e.g., containing one or more dies) of a substrate W on.

如此處所描繪,裝置1000屬於反射類型(例如,使用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化器件可具有包含例如鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬及矽之40層對。可運用X射線微影來產生甚至更小的波長。由於大多數材料在EUV及x射線波長下具吸收性,所以圖案化器件構形上之薄片圖案化吸收材料(例如,在多層反射器之頂部上的TaN吸收體)界定特徵將打印(正性抗蝕劑)或不打印(負性抗蝕劑)的位置。As depicted herein, the device 1000 is of a reflective type (eg, using a reflective mask). It should be noted that because most materials are absorptive in the EUV wavelength range, the patterned device may have a multi-layer reflector including multiple stacks such as molybdenum and silicon. In one example, the multi-stack reflector has a 40-layer pair of molybdenum and silicon. X-ray lithography can be used to generate even smaller wavelengths. Since most materials are absorptive at EUV and x-ray wavelengths, a thin patterned absorbing material on the patterned device configuration (e.g., a TaN absorber on top of a multilayer reflector) defining features will print (positive Resist) or not printed (negative resist).

參看圖20,照明器IL自源收集器模組SO接收極紫外線(EUV)輻射光束。產生EUV輻射之方法包括但不必限於將材料轉換成電漿狀態,其具有至少一種元素,例如,氙、鋰或錫,具有在EUV範圍內之一或多個發射譜線。在一種此類方法(常常被稱為雷射產生電漿「LPP」)中,可藉由運用雷射光束來輻照燃料(諸如,具有該譜線發射元素之材料小液滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖20中未展示)之EUV輻射系統之部件,該雷射用以提供用以激發燃料之雷射光束。所得電漿發射輸出輻射(例如,EUV輻射),該輸出輻射係使用被安置於源收集器模組中之輻射收集器而予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離的實體。Referring to FIG. 20, the illuminator IL receives an extreme ultraviolet (EUV) radiation beam from a source collector module SO. Methods of generating EUV radiation include, but are not necessarily limited to, converting a material into a plasma state, which has at least one element, for example, xenon, lithium, or tin, with one or more emission lines in the EUV range. In one such method (often referred to as laser-generated plasma "LPP"), a fuel (such as a small droplet, stream, or Cluster) to produce plasma. The source collector module SO may be a component of an EUV radiation system including a laser (not shown in FIG. 20), which is used to provide a laser beam for exciting the fuel. The resulting plasma emits output radiation (e.g., EUV radiation), which is collected using a radiation collector disposed in a source collector module. For example, when a CO2 laser is used to provide a laser beam for fuel excitation, the laser and source collector modules may be separate entities.

在此等狀況下,雷射不被視為形成微影裝置之部件,且輻射光束係憑藉包含例如合適導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部件。Under these conditions, the laser is not considered to be a component forming a lithographic device, and the radiation beam is transmitted from the laser to the source collector module by means of a beam delivery system including, for example, a suitably guided mirror and / or a beam expander . In other situations, for example, when the source is a plasma generating EUV generator (often referred to as a DPP source), the source may be an integral part of the source collector module.

照明器IL可包含經組態以調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部(σ-outer)及σ內部(σ-inner))。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可被用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。The illuminator IL may include an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer radial range and / or the inner radial range of the intensity distribution in the pupil plane of the illuminator can be adjusted (usually referred to as σ-outer and σ-inner, respectively). In addition, the illuminator IL may include various other components such as a faceted field mirror device and a faceted pupil mirror device. The illuminator can be used to condition the radiation beam to have the desired uniformity and intensity distribution in its cross section.

輻射光束B入射於被固持於支撐結構(例如,光罩台) MT上之圖案化器件(例如,光罩) MA上,且由圖案化器件而圖案化。在自圖案化器件(例如,光罩) MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如,干涉量測器件、線性編碼器或電容式感測器),可準確地移動基板台WT,例如,以便在輻射光束B之路徑中定位不同目標部分C。相似地,第一定位器PM及另一位置感測器PS1可用於相對於輻射光束B之路徑準確地定位圖案化器件(例如,光罩) MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩)MA及基板W。The radiation beam B is incident on a patterned device (eg, a photomask) MA that is held on a support structure (eg, a photomask stage) MT, and is patterned by the patterned device. After being reflected from the patterned device (eg, the mask) MA, the radiation beam B is passed through the projection system PS, which focuses the beam on the target portion C of the substrate W. With the second positioner PW and the position sensor PS2 (for example, an interference measurement device, a linear encoder, or a capacitive sensor), the substrate table WT can be accurately moved, for example, for positioning in the path of the radiation beam B Different Objectives Part C. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterned device (eg, the mask) MA relative to the path of the radiation beam B. The patterned device alignment marks M1, M2 and the substrate alignment marks P1, P2 may be used to align the patterned device (for example, a photomask) MA and the substrate W.

所描繪裝置可在以下模式中之至少一者中使用:The depicted device may be used in at least one of the following modes:

1. 在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上同時,使支撐結構(例如,光罩台) MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,以使得可曝光不同目標部分C。1. In the step mode, while supporting the entire pattern given to the radiation beam onto the target portion C at one time, the supporting structure (for example, the mask stage) MT and the substrate stage WT are kept substantially stationary (that is, , Single static exposure). Next, the substrate table WT is shifted in the X and / or Y direction so that different target portions C can be exposed.

2. 在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上同時,在給定方向(所謂的「掃描方向」)上同步地掃描支撐結構(例如,光罩台) MT及基板台WT (亦即,單次動態曝光)。基板台WT相對於支撐結構(例如,光罩台) MT之速度及方向可由投影系統PS之放大率(縮小率)及影像反轉特性判定。2. In the scan mode, while projecting a pattern imparted to the radiation beam onto the target portion C, the supporting structure (for example, a mask stage) is simultaneously scanned in a given direction (the so-called "scanning direction") MT And substrate stage WT (ie, single dynamic exposure). The speed and direction of the substrate stage WT relative to the supporting structure (eg, a mask stage) MT can be determined from the magnification (reduction rate) and image inversion characteristics of the projection system PS.

3. 在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上同時,使支撐結構(例如,光罩台) MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每次移動之後或在掃描期間在順次輻射脈衝之間視需要更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型的可程式化鏡面陣列)之無光罩微影。3. In another mode, while the pattern imparted to the radiation beam is projected onto the target portion C, the supporting structure (for example, the photomask stage) MT is kept substantially stationary, thereby holding the programmable patterned device, And the substrate table WT is moved or scanned. In this mode, a pulsed radiation source is typically used and the programmable patterned device is updated as needed after each movement of the substrate table WT or between successive radiation pulses during scanning. This mode of operation can be easily applied to maskless lithography using a programmable patterned device such as a programmable mirror array of the type mentioned above.

圖21更詳細地展示裝置1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置以使得可將真空環境維持於源收集器模組SO之圍封結構2120中。可藉由放電產生電漿源形成EUV輻射發射電漿2110。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)而產生EUV輻射,其中產生極熱電漿2110以發射在電磁光譜之EUV範圍內的輻射。舉例而言,藉由引起至少部分地離子化電漿之放電而產生極熱電漿2110。為了輻射之高效產生,可需要為例如10帕之分壓的Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。FIG. 21 shows the device 1000 in more detail, which includes a source collector module SO, a lighting system IL, and a projection system PS. The source collector module SO is constructed and configured so that a vacuum environment can be maintained in the enclosure structure 2120 of the source collector module SO. An EUV radiation-emitting plasma 2110 can be formed by generating a plasma source by discharging. EUV radiation may be generated by a gas or vapor (eg, Xe gas, Li vapor, or Sn vapor), with an extreme pyroelectric plasma 2110 being generated to emit radiation in the EUV range of the electromagnetic spectrum. For example, an extremely hot plasma 2110 is generated by causing a discharge of at least partially ionized plasma. For efficient generation of radiation, Xe, Li, Sn vapors, or any other suitable gas or vapor, may be required, for example, at a partial pressure of 10 Pa. In one embodiment, an excited tin (Sn) plasma is provided to generate EUV radiation.

由熱電漿2110發射之輻射係經由定位於源腔室2111中之開口中或後方的視情況選用之氣體障壁或污染物截留器2130 (在一些狀況下,其亦被稱作污染物障壁或箔片截留器)而自源腔室2111傳遞至收集器腔室2112中。污染物截留器2130可包括通道結構。污染物截留器2130亦可包括氣體障壁,或氣體障壁與通道結構之組合。如此項技術中已知,本文中進一步指示之污染物截留器或污染物截留器2130至少包括通道結構。The radiation emitted by the thermoelectric plasma 2110 is via a gas barrier or a contaminant trap 2130 (in some cases, also called a pollutant barrier or foil, if appropriate) positioned in or behind the opening in the source chamber 2111 Sheet retainer) from the source chamber 2111 into the collector chamber 2112. The contaminant trap 2130 may include a channel structure. The pollutant trap 2130 may also include a gas barrier, or a combination of a gas barrier and a channel structure. As is known in the art, the contaminant trap or contaminant trap 2130 further indicated herein includes at least a channel structure.

源腔室2111可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側2151及下游輻射收集器側2152。橫穿收集器CO之輻射可自光柵光譜濾光器2140反射以沿著由點虛線「O」指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置以使得中間焦點IF位於圍封結構2120中之開口2121處或靠近開口2121。虛擬源點IF係輻射發射電漿2110之影像。The source chamber 2111 may include a radiation collector CO, which may be a so-called grazing incidence collector. The radiation collector CO has an upstream radiation collector side 2151 and a downstream radiation collector side 2152. The radiation traversing the collector CO may be reflected from the grating spectral filter 2140 to focus in the virtual source point IF along the optical axis indicated by the dotted-line "O". The virtual source point IF is often referred to as an intermediate focus, and the source collector module is configured such that the intermediate focus IF is located at or near the opening 2121 in the enclosure structure 2120. The virtual source point IF is an image of the radiation-emitting plasma 2110.

隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件2192及琢面化光瞳鏡面器件2194,琢面化場鏡面器件2192及琢面化光瞳鏡面器件2194經配置以提供在圖案化器件MA處之輻射光束2191的所要角度分佈,以及在圖案化器件MA處之輻射強度的所要均一性。在由支撐結構MT固持之圖案化器件MA處的輻射光束2191之反射後,就形成經圖案化光束2196,且由投影系統PS將經圖案化光束2196經由反射元件2198、3190而成像至由基板台WT固持之基板W上。Subsequently, the radiation traverses the illumination system IL, which may include a faceted field mirror device 2192 and a faceted pupil mirror device 2194, a faceted field mirror device 2192, and a faceted pupil mirror device 2194 configured to The desired angular distribution of the radiation beam 2191 at the patterned device MA and the required uniformity of the radiation intensity at the patterned device MA are provided. After the reflection of the radiation beam 2191 at the patterned device MA held by the support structure MT, a patterned beam 2196 is formed, and the patterned beam 2196 is imaged by the projection system PS to the substrate through the reflection elements 2198, 3190. The substrate WT is held on the substrate W.

比所展示之元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,可視情況存在光柵光譜濾波器2140。此外,可存在比諸圖中所展示之鏡面更多的鏡面,例如,在投影系統PS中可存在比圖21所展示之反射元件多1至6個的額外反射元件。More elements than those shown may generally be present in the illumination optics unit IL and the projection system PS. Depending on the type of lithographic device, a grating spectral filter 2140 may be present as appropriate. In addition, there may be more specular surfaces than those shown in the figures, for example, there may be 1 to 6 additional reflective elements in the projection system PS than the reflective elements shown in FIG. 21.

如圖21中說明之收集器光學件CO被描繪為具有掠入射反射器2153、2154及2155之巢套式收集器,而僅作為收集器(或收集器鏡面)之實例。掠入射反射器2153、2154及2155經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO理想地結合放電產生電漿源(常常被稱為DPP源)予以使用。替代地,源收集器模組SO可為LPP輻射系統之部分。The collector optics CO as illustrated in FIG. 21 is depicted as a nested collector with grazing incidence reflectors 2153, 2154, and 2155, and is merely an example of a collector (or collector mirror). The grazing incidence reflectors 2153, 2154, and 2155 are arranged axially symmetrically about the optical axis O, and this type of collector optics CO is ideally used in combination with a discharge generating plasma source (often referred to as a DPP source). Alternatively, the source collector module SO may be part of an LPP radiation system.

可使用以下條項進一步描述實施例:
1. 一種方法,其包含:
使用一非機率模型獲得一實體系統或物件之一實體項目例項之一特性的一值;
獲得該非機率模型之一殘差相對於實體項目例項之一集合之一分佈的一屬性,該殘差相對於實體項目例項之一集合之該分佈的該屬性基於該非機率模型之一殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之一分佈的一屬性;
基於該殘差相對於實體項目例項之一集合之該分佈的該屬性且基於該實體項目例項之該特性的該值,判定該特性之一分佈的一屬性;及
基於該特性之該分佈的該屬性,判定該實體項目例項超出規格的一機率。
2. 如條項1之方法,其中該殘差相對於該集合之該分佈的該屬性包含實體項目例項之該集合的一累積分佈函數。
3. 如條項1或條項2之方法,其中該殘差相對於該集合之該分佈的該屬性涉及該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之該分佈的該屬性比該集合中之數個實體項目例項的功率。
4. 如條項1至3中任一項之方法,其中該殘差相對於該集合之該分佈的該屬性係至少由[1 - (1-eCDF)N ]或[1 - (1-CDF)N ]定義之一函數,其中N係該集合中實體項目例項之數目,CDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一累積分佈函數,且eCDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一經驗累積分佈函數。
5. 如條項1至4中任一項之方法,其中該集合中實體項目例項之該數目大於10。
6. 如條項1至5中任一項之方法,其中該實體項目例項對應於藉由一器件製造程序產生之一基板上的一圖案例項。
7. 如條項1至6中任一項之方法,其中在一度量衡工具之一量測部位或視場內,該集合中實體項目例項之該數目對應於一特定實體項目類型或特定複數個實體項目類型之一集合的該等實體項目例項之一數目。
8. 如條項1至7中任一項之方法,其進一步包含基於該機率,判定超出規格之至少一個實體項目例項在一度量衡工具之一量測部位或視場內的預測存在。
9. 如條項1至8中任一項之方法,其中存在該殘差相對於該集合之該分佈的複數個屬性,且對於不同實體部位,該殘差相對於該集合之該分佈的該複數個屬性中之每一屬性係不同的。
10. 如條項中1至9中任一項之方法,其中該特性選自以下各者中之一或多者:相對於一基板之一位置、相對於一或多個其他實體項目例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。
11. 如條項1至10中任一項之方法,其中判定該特性之該分佈的該屬性包含相對於該集合添加該殘差之該分佈的該屬性及該特性之該值。
12. 如條項1至11中任一項之方法,其中判定該機率係進一步基於該特性之一範圍,在該範圍內,該實體項目例項被視為超出規格。
13. 如條項1至12中任一項之方法,其進一步包含基於該機率,判定用於量測之量測部位的一取樣計劃,以判定超出規格之實體項目例項(若存在)。
14. 一種方法,其包含:
獲得一實體系統或物件之複數個實體項目例項之一特性的檢驗值;
使用一非機率模型獲得該特性之計算值;
基於該等檢驗值及該等計算值而獲得該非機率模型之一殘差的值;
基於該殘差之該等值而獲得該殘差之一第一分佈的一屬性;及
基於該第一分佈的該屬性,獲得該非機率模型之一殘差相對於實體項目例項之一集合之一第二分佈的一屬性。
15. 如條項14之方法,其中該殘差相對於該集合之該第二分佈的該屬性包含實體項目例項之該集合的一累積分佈函數。
16. 如條項14或條項15之方法,其中該殘差相對於該集合之該第二分佈的該屬性涉及該殘差之該第一分佈的該屬性比該集合中之數個實體項目例項的功率。
17. 如條項14至16中任一項之方法,其中該殘差相對於該集合之該第二分佈的該屬性係至少由[1 - (1-eCDF)N ]或[1 - (1-CDF)N ]定義之一函數,其中N係該集合中實體項目例項之數目,CDF係相對於該第一分佈的一累積分佈函數,且eCDF係相對於該第一分佈的一經驗累積分佈。
18. 如條項14至17中任一項之方法,其中該集合中實體項目例項之該數目大於10。
19. 如條項14至18中任一項之方法,其中該等實體項目例項對應於藉由一器件製造程序產生之一基板上的圖案例項。
20. 如條項14至19中任一項之方法,其中在一度量衡工具之一量測部位或視場內,該集合中實體項目例項之該數目對應於一特定實體項目類型或特定複數個實體項目類型之一集合的該等實體項目例項之一數目。
21. 如條項14至20中任一項之方法,其中存在該殘差相對於該集合之該第二分佈的複數個屬性,且對於不同實體部位,該殘差相對於該集合之該第二分佈的該複數個屬性中之每一屬性係不同的。
22. 如條項中14至21中任一項之方法,其中該特性選自以下各者中之一或多者:相對於一基板之一位置、相對於一或多個其他實體項目例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。
23. 如條項14至22中任一項之方法,其中獲得該等檢驗值包含使用一度量衡工具來量測該等實體項目例項或使用一嚴格模型進行模擬。
24. 如條項23之方法,其中該度量衡工具經組態以使用一帶電粒子束來量測該等實體項目例項。
25. 如條項14至24中任一項之方法,其中獲得該殘差之該等值包含獲得該等計算值與該等檢驗值之間的差。
26. 如條項14至25中任一項之方法,其中該殘差之該第一分佈的該屬性係該殘差之該第一分佈的一CDF或eCDF。
27. 如條項14至26中任一項之方法,其進一步包含基於形狀、大小、功能或空間鄰近度而獲得該複數個實體項目例項。
28. 一種方法,其包含:
獲得一實體系統或物件之一組實體項目例項分別超出規格的機率,使用一非機率模型之一殘差相對於實體項目例項之一集合之一分佈的一屬性來判定該等機率,該判定係基於該非機率模型之一殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之一分佈的一屬性;及
基於該等機率而判定待檢測之實體項目例項之一有序清單。
29. 如條項28之方法,其中該殘差相對於該集合之該分佈的該屬性包含實體項目例項之該集合的一累積分佈函數。
30. 如條項28或條項29之方法,其中該殘差相對於該集合之該分佈的該屬性涉及該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之該分佈的該屬性比該集合中之數個實體項目例項的功率。
31. 如條項28至30中任一項之方法,其中該殘差相對於該集合之該分佈的該屬性係至少由[1 - (1-eCDF)N ]或[1 - (1-CDF)N ]定義之一函數,其中N係該集合中實體項目例項之數目,CDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一累積分佈函數,且eCDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一經驗累積分佈函數。
32. 如條項28至31中任一項之方法,其中該集合中實體項目例項之該數目大於10。
33. 如條項28至32中任一項之方法,其中該等實體項目例項對應於藉由一器件製造程序產生之一基板上的圖案例項。
34. 如條項28至33中任一項之方法,其中在度量衡工具之一量測部位或視場內,該集合中實體項目例項之該數目對應於一特定實體項目類型或特定複數個實體項目類型之一集合的該等實體項目例項之一數目。
35. 如條項28至34中任一項之方法,其中判定該有序清單進一步包含基於該等機率,判定至少一個缺陷在該度量衡工具之一量測部位或視場內的預測存在。
36. 如條項28至35中任一項之方法,其中存在該殘差相對於該集合之該分佈的複數個屬性,且對於不同實體部位,該殘差相對於該集合之該分佈的該複數個屬性中之每一屬性係不同的。
37. 如條項中28至36中任一項之方法,其中該特性選自以下各者中之一或多者:相對於一基板之一位置、相對於一或多個其他實體項目例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。
38. 如條項28至37中任一項之方法,其進一步包含獲得實體項目例項之該集合的部位,且判定該有序清單係進一步基於該等部位。
39. 如條項28至38中任一項之方法,其中該有序清單包含實體項目例項之該集合當中的實體項目例項之一子集,其中該子集中之實體項目例項超出規格的機率比該集合而非該子集中之實體項目例項高。
40. 如條項28至39中任一項之方法,其中判定該有序清單係進一步基於一檢測處理量、允許檢測之一時間量,及/或允許一基板在檢測期間接收之一輻射量。
41. 如條項28至40中任一項之方法,其中該有序清單係按一下降機率次序。
42. 如條項28至41中任一項之方法,其進一步包含基於自檢測該有序清單中之該等實體項目例項獲得的資料而更新該等機率。
43. 如條項28至42中任一項之方法,其進一步包含遵循該有序清單之該次序來檢測該有序清單中之實體項目例項。
44. 一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦系統執行時實施如條項1至43中任一項之方法。
Embodiments may be further described using the following items:
1. A method comprising:
Use a non-probability model to obtain a value of a property of a physical system instance of a physical system or object;
Obtain an attribute of a distribution of a residual of the non-probability model relative to one of a set of entity item instances, and an attribute of the distribution of the residual relative to a set of an entity item instance based on a residual of the non-probability model An attribute corresponding to one of at least one entity item instance corresponding to at least one entity item type of the set;
Determining an attribute of a distribution of the characteristic based on the attribute of the distribution with respect to the distribution of a set of entity item instances and based on the value of the characteristic of the entity item instance; and the distribution based on the characteristic This attribute determines the probability that the entity project instance exceeds the specification.
2. The method of item 1, wherein the attribute of the residual relative to the distribution of the set includes a cumulative distribution function of the set of entity item instances.
3. The method of clause 1 or clause 2, wherein the attribute of the distribution of the residual relative to the set relates to the instance of the residual relative to at least one entity item of at least one entity item type corresponding to the set The property of the distribution is greater than the power of several physical item instances in the set.
4. The method of any one of clauses 1 to 3, wherein the attribute of the residual relative to the distribution of the set is at least [1-(1-eCDF) N ] or [1-(1-CDF ) N ] defines a function, where N is the number of entity item instances in the set, and CDF is a cumulative distribution function of the residual relative to at least one entity item instance corresponding to at least one entity item type of the set And eCDF is an empirical cumulative distribution function of the residual relative to at least one entity item instance corresponding to at least one entity item type of the set.
5. The method of any one of clauses 1 to 4, wherein the number of entity item instances in the set is greater than 10.
6. The method of any one of clauses 1 to 5, wherein the physical item instance corresponds to a pattern instance on a substrate generated by a device manufacturing process.
7. The method of any one of clauses 1 to 6, wherein the number of entity item instances in the set corresponds to a specific entity item type or a specific plural number in a measurement site or field of view of a metrology tool The number of one of these entity item instances that is a collection of one entity item type.
8. The method of any one of clauses 1 to 7, further comprising determining, based on the probability, that at least one physical item instance that exceeds the specification exists in a measurement location or field of view in one of the metrology tools.
9. The method of any one of clauses 1 to 8, wherein there are a plurality of attributes of the distribution of the residual relative to the set, and for different entity parts, the residual relative to the distribution of the set Each of the plurality of attributes is different.
10. The method of any one of clauses 1 to 9, wherein the characteristic is selected from one or more of the following: relative to a position of a substrate, relative to one or more other entity project instances A position, a geometric size, a geometric shape, a measure of a random effect, and / or any combination selected from the foregoing.
11. The method of any one of clauses 1 to 10, wherein the attribute of the distribution of the characteristic is determined to include the attribute of the distribution and the value of the characteristic relative to the set to which the residual is added.
12. The method of any one of clauses 1 to 11, wherein the probability is determined further based on a range of the characteristics, within which the entity project instance is considered to be out of specification.
13. The method of any one of clauses 1 to 12, further comprising determining a sampling plan for a measurement site for measurement based on the probability to determine a physical item instance (if any) that exceeds the specification.
14. A method comprising:
Obtaining a test value of one of a plurality of entity item instances of an entity system or object;
Use a non-probability model to obtain the calculated value of the characteristic;
Obtaining the value of a residual of the non-probability model based on the test values and the calculated values;
Obtaining an attribute of a first distribution of the residuals based on the values of the residuals; and obtaining a set of residuals of the non-probability model relative to a set of entity item instances based on the attributes of the first distributions An attribute of a second distribution.
15. The method of clause 14, wherein the attribute of the residual relative to the second distribution of the set includes a cumulative distribution function of the set of entity item instances.
16. The method of clause 14 or clause 15, wherein the attribute of the residual relative to the second distribution of the set relates to the attribute of the first distribution of the residual to the number of physical items in the set The power of the instance.
17. The method of any of clauses 14 to 16, wherein the attribute of the residual relative to the second distribution of the set is at least [1-(1-eCDF) N ] or [1-(1 -CDF) N ] defines a function, where N is the number of entity item instances in the set, CDF is a cumulative distribution function with respect to the first distribution, and eCDF is an empirical accumulation with respect to the first distribution distributed.
18. The method of any one of clauses 14 to 17, wherein the number of entity item instances in the set is greater than ten.
19. The method of any one of clauses 14 to 18, wherein the physical item instances correspond to pattern instances on a substrate generated by a device manufacturing process.
20. The method according to any one of clauses 14 to 19, wherein the number of entity item instances in the set corresponds to a specific entity item type or a specific plural within a measurement site or field of view of a metrology tool The number of one of these entity item instances that is a collection of one entity item type.
21. The method of any one of clauses 14 to 20, wherein there are a plurality of attributes of the residual relative to the second distribution of the set, and for different entity parts, the residual relative to the first Each of the plurality of attributes of the two distributions is different.
22. The method of any of clauses 14 to 21, wherein the characteristic is selected from one or more of the following: relative to a position of a substrate, relative to one or more other entity project instances A position, a geometric size, a geometric shape, a measure of a random effect, and / or any combination selected from the foregoing.
23. The method of any one of clauses 14 to 22, wherein obtaining the test values comprises measuring the entity project instances using a weights and measures tool or using a rigorous model for simulation.
24. The method of clause 23, wherein the weights and measures tool is configured to use a charged particle beam to measure the physical item instances.
25. The method of any of clauses 14 to 24, wherein obtaining the values of the residual includes obtaining the difference between the calculated values and the test values.
26. The method of any one of clauses 14 to 25, wherein the attribute of the first distribution of the residuals is a CDF or eCDF of the first distribution of the residuals.
27. The method of any one of clauses 14 to 26, further comprising obtaining the plurality of entity project instances based on shape, size, function, or spatial proximity.
28. A method comprising:
Obtain the probability that a group of entity items of an entity system or object will exceed the specifications, and use an attribute of a residual of a non-probability model to a distribution of a set of entity items to determine the probability. The determination is based on an attribute of a residual of the non-probability model relative to one of at least one entity item instance corresponding to at least one entity item type of the set; and an entity item instance to be detected based on the probabilities An ordered list.
29. The method of clause 28, wherein the attribute of the distribution relative to the distribution of the set includes a cumulative distribution function of the set of entity item instances.
30. The method of clause 28 or clause 29, wherein the attribute of the distribution of the residual relative to the set relates to at least one entity item instance of the residual relative to at least one entity item type corresponding to the set The property of the distribution is greater than the power of several physical item instances in the set.
31. The method of any one of clauses 28 to 30, wherein the attribute of the distribution relative to the distribution of the set is at least [1-(1-eCDF) N ] or [1-(1-CDF ) N ] defines a function, where N is the number of entity item instances in the set, and CDF is a cumulative distribution function of the residual relative to at least one entity item instance corresponding to at least one entity item type of the set And eCDF is an empirical cumulative distribution function of the residual relative to at least one entity item instance corresponding to at least one entity item type of the set.
32. The method of any one of clauses 28 to 31, wherein the number of entity item instances in the set is greater than ten.
33. The method of any one of clauses 28 to 32, wherein the physical item instances correspond to pattern instances on a substrate generated by a device manufacturing process.
34. The method of any one of clauses 28 to 33, wherein the number of entity item instances in the set corresponds to a specific entity item type or a specific plurality of objects in a measurement site or field of view of one of the metrology tools The number of one of these entity item instances that is a collection of entity item types.
35. The method of any one of clauses 28 to 34, wherein determining the ordered list further comprises determining, based on the probabilities, the predicted presence of at least one defect in a measurement site or field of view of the metrology tool.
36. The method of any one of clauses 28 to 35, wherein there are a plurality of attributes of the distribution relative to the distribution of the set, and for different entities, the residual is relative to the distribution of the set Each of the plurality of attributes is different.
37. The method of any one of clauses 28 to 36, wherein the characteristic is selected from one or more of the following: relative to a position of a substrate, relative to one or more other entity project instances A position, a geometric size, a geometric shape, a measure of a random effect, and / or any combination selected from the foregoing.
38. The method of any one of clauses 28 to 37, further comprising obtaining locations of the set of entity item instances, and determining the ordered list is further based on those locations.
39. The method of any one of clauses 28 to 38, wherein the ordered list includes a subset of the entity item instances in the set of entity item instances, wherein the entity item instances in the subset exceed the specification The probability is higher than the physical item instances in the set rather than the subset.
40. The method of any one of clauses 28 to 39, wherein determining the ordered list is further based on an inspection throughput, an amount of time allowed for inspection, and / or an amount of radiation allowed to be received by a substrate during the inspection .
41. The method of any one of clauses 28 to 40, wherein the ordered list is in descending order of probability.
42. The method of any one of clauses 28 to 41, further comprising updating the probabilities based on information obtained from detecting the entity item instances in the ordered list.
43. The method of any one of clauses 28 to 42, further comprising detecting entity items in the ordered list following the order of the ordered list.
44. A computer program product comprising a non-transitory computer-readable medium having recorded thereon instructions which, when executed by a computer system, implement the method of any one of clauses 1 to 43.

微影裝置可屬於如下類型:其中基板之至少一部分可被具有相對高折射率之液體,例如,水覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如圖案化器件與投影系統之間的空間。浸潤技術被已知用於增大投影系統之數值孔徑。如本文中所使用之術語「浸潤」不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂在曝光期間,液體位於投影系統與基板之間及/或圖案化器件與投影系統之間。The lithographic device may be of a type in which at least a portion of the substrate may be covered with a liquid having a relatively high refractive index, for example, water, so as to fill a space between the projection system and the substrate. Wetting liquid can also be applied to other spaces in the lithographic apparatus, such as the space between the patterning device and the projection system. Infiltration techniques are known to increase the numerical aperture of projection systems. The term "wetting" as used herein does not mean that a structure such as a substrate must be immersed in a liquid, but only means that the liquid is located between the projection system and the substrate and / or the patterned device and the projection system during exposure. .

如本文中所使用之術語「投影系統」或「投影光學件」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。術語「投影光學件」或「投影系統」亦可包括根據此等設計類型中之任一者操作以用於共同地或單獨地引導、塑形或控制投影輻射光束之組件。The term "projection system" or "projection optics" as used herein should be broadly interpreted to cover any type suitable for the exposure radiation used or for other factors such as the use of immersion liquids or the use of vacuum Projection systems, including refraction, reflection, refraction, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof. The term "projection optics" or "projection system" may also include components that operate in accordance with any of these design types for collectively or individually directing, shaping, or controlling a beam of projection radiation.

本文中所揭示之概念可用以模擬或數學地模型化涉及圖案轉印步驟之任何器件製造程序,且可在使用能夠產生愈來愈小大小之波長之新興成像技術的情況下尤其有用。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193奈米波長且甚至能夠藉由使用氟雷射來產生157奈米波長之深紫外線(DUV)微影。此外,EUV微影能夠例如藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在5至20奈米之範圍內的波長,以便產生在此範圍內的光子。The concepts disclosed herein can be used to simulate or mathematically model any device manufacturing process that involves a pattern transfer step, and can be particularly useful when using emerging imaging technologies that can produce increasingly smaller wavelengths. Emerging technologies that are already in use include the ability to generate deep ultraviolet (DUV) lithography with a wavelength of 193 nanometers by using ArF lasers and even 157 nanometers by using fluorine lasers. In addition, EUV lithography can generate wavelengths in the range of 5 to 20 nanometers, for example, by using a synchrotron or by using high-energy electrons to strike a material (solid or plasma) in order to generate photons in this range.

如本文中所使用之術語「光罩」或「圖案化器件」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化器件,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除經典光罩(透射或反射;二元、相移、混合式等等)以外,其他此類圖案化器件之實例包括:可程式化鏡面陣列及可程式化LCD陣列。The term "mask" or "patterned device" as used herein can be broadly interpreted to mean a general patterned device that can be used to impart a patterned cross section to an incident radiation beam, which corresponds to The pattern to be generated in the target portion of the substrate; the term "light valve" can also be used in the context of this content. In addition to classic photomasks (transmission or reflection; binary, phase shift, hybrid, etc.), examples of other such patterned devices include: programmable mirror arrays and programmable LCD arrays.

上文所提及之圖案化器件包含或可形成設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局。此程序常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循預定設計規則之集合,以便產生功能設計佈局/圖案化器件。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路器件(諸如閘、電容器等等)或互連線之間的空間容許度,以便確保該等電路器件或線彼此不會以不良方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。當然,積體電路製作中的目標中之一者為在基板上如實地再生原始電路設計(經由圖案化器件)。The patterned devices mentioned above include or can form a design layout. Computer-aided design (CAD) programs can be used to generate design layouts. This procedure is often referred to as Electronic Design Automation (EDA). Most CAD programs follow a set of predetermined design rules to produce a functional design layout / patterned device. These rules are set by processing and design constraints. For example, design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines in order to ensure that these circuit devices or lines do not interact with each other in an undesirable manner. Design rule limits are often referred to as "critical dimensions" (CD). The critical dimension of a circuit can be defined as the minimum width of a line or hole, or the minimum space between two lines or two holes. Therefore, the CD determines the total size and density of the designed circuit. Of course, one of the goals in the fabrication of integrated circuits is to faithfully reproduce the original circuit design (via patterned devices) on a substrate.

雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上的器件製造,但應理解,所揭示之概念可與任何類型之圖案轉印系統一起使用,例如,用於在不同於矽晶圓的基板上之成像的圖案轉印系統。Although the concepts disclosed herein can be used for device fabrication on substrates such as silicon wafers, it should be understood that the concepts disclosed can be used with any type of pattern transfer system, for example, An imaged pattern transfer system on a round substrate.

如所提及,微影蝕刻術係製造諸如IC之器件的重要步驟,其中形成於基板上之圖案定義IC之功能元件,諸如微處理器、記憶體晶片等等。相似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。儘管在本文中可特定參考積體電路之製造,但應明確地理解,本文中之描述具有許多其他可能的應用。舉例而言,其可用於製造整合式光學系統、用於磁域記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭、微機械系統(MEM)等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,在本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應被視為分別與更一般術語「圖案化器件」、「基板」及「目標部分」同義或可與該等更一般術語互換。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光之抗蝕劑之工具)或度量衡或檢測工具中處理本文中所提及之基板。在適用情況下,可將本文中之揭示內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,例如以便產生例如多層IC,使得本文中所使用之術語基板亦可指已經含有多個經處理層之基板。As mentioned, lithography is an important step in the manufacture of devices such as ICs, where patterns formed on a substrate define functional elements of the IC, such as microprocessors, memory chips, and so on. Similar lithographic techniques are also used to form flat panel displays, micro-electromechanical systems (MEMS), and other devices. Although specific reference may be made to the fabrication of integrated circuits herein, it should be clearly understood that the description herein has many other possible applications. For example, it can be used to manufacture integrated optical systems, guidance and detection patterns for magnetic domain memory, liquid crystal display panels, thin-film magnetic heads, micro-mechanical systems (MEM), and the like. Those skilled in the art should understand that in the context of these alternative applications, any use of the terms "reduction mask", "wafer", or "die" in this article should be considered separately and more generally The terms "patterned device", "substrate" and "target portion" are synonymous or interchangeable with these more general terms. The substrates mentioned herein can be processed before or after exposure in, for example, a coating development system (a tool that typically applies a resist layer to a substrate and develops the exposed resist) or a metrology or inspection tool. Where applicable, the disclosure herein can be applied to these and other substrate processing tools. In addition, the substrate may be processed more than once, for example in order to produce, for example, a multilayer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

在本發明文件中,術語「輻射」及「光束」用於涵蓋所有類型之輻射,包括紫外線輻射(例如,波長為365奈米、248奈米、193奈米、157奈米或126奈米)及極紫外線輻射(EUV,例如,波長介於5至20奈米之範圍內),以及諸如離子束或電子束之粒子束。In this document, the terms "radiation" and "beam" are used to cover all types of radiation, including ultraviolet radiation (e.g., 365 nm, 248 nm, 193 nm, 157 nm, or 126 nm) And extreme ultraviolet radiation (EUV, for example, in the wavelength range of 5 to 20 nm), and particle beams such as ion beams or electron beams.

儘管上文可能已經特定地參考在光學微影之內容背景中對實施例之使用,但應瞭解,本發明之一實施例可用於其他應用(例如,壓印微影)中,且在內容背景允許的情況下,不限於光學微影。在壓印微影中,圖案化器件中之構形界定產生於基板上之圖案。可將圖案化器件之構形壓入至被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑被固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。因此,使用壓印技術之微影裝置通常包括用以固持壓印模板之一模板固持器、用以固持基板之一基板台,及用以造成基板與壓印模板之間的相對移動使得可將壓印模板之圖案壓印至基板之層上的一或多個致動器。Although the above may have specifically referred to the use of embodiments in the context of optical lithography, it should be understood that one embodiment of the present invention can be used in other applications (e.g., embossed lithography) and in the context of content Where permitted, it is not limited to optical lithography. In embossed lithography, the configuration in a patterned device defines a pattern that is generated on a substrate. The configuration of the patterned device may be pressed into a resist layer supplied to a substrate, and on the substrate, the resist is cured by applying electromagnetic radiation, heat, pressure, or a combination thereof. After the resist is cured, the patterned device is removed from the resist, leaving a pattern in it. Therefore, a lithographic apparatus using imprint technology usually includes a template holder for holding an imprint template, a substrate table for holding a substrate, and a relative movement between the substrate and the imprint template so that the The pattern of the embossing template is embossed onto one or more actuators on a layer of the substrate.

本發明之態樣可以任何方便形式予以實施。舉例而言,可藉由一或多個適當電腦程式來實施實施例,該一或多個適當電腦程式可在可為有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上進行。可使用可特定地採取可程式化電腦之形式的合適裝置來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文中所描述的方法之電腦程式。因此,本發明之實施例可以硬體、韌體、軟體或其任何組合予以實施。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸以可由機器(例如,計算器件)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括唯讀記憶體(ROM);隨機存取記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體器件;電、光學、聲學或其他形式之傳播信號(例如,載波、紅外信號、數位信號等等),以及其他媒體。此外,韌體、軟體、常式、指令可在本文中被描述為執行特定動作。然而,應瞭解,此等描述僅僅係出於方便起見,且此等動作事實上係由計算器件、處理器、控制器或執行韌體、軟體、常式、指令等等之其他器件引起。Aspects of the invention may be implemented in any convenient form. For example, embodiments may be implemented by one or more suitable computer programs, which may be on a tangible carrier medium (e.g., a magnetic disk) or an intangible carrier medium (e.g., a communication signal) Appropriate carrier media. Embodiments of the invention may be implemented using suitable devices that may specifically take the form of a programmable computer that executes a computer program configured to implement the methods as described herein. Therefore, the embodiments of the present invention can be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the invention may also be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (eg, a computing device). For example, machine-readable media may include read-only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustic, or other forms Signals (such as carrier waves, infrared signals, digital signals, etc.), and other media. In addition, firmware, software, routines, and instructions may be described herein as performing specific actions. It should be understood, however, that such descriptions are merely for convenience and that such actions are actually caused by computing devices, processors, controllers, or other devices executing firmware, software, routines, instructions, and so on.

在方塊圖中,所說明之組件被描繪為離散功能區塊,但實施例不限於本文中所描述之功能性如所說明來組織之系統。由組件中之每一者提供的功能性可由軟體或硬體模組提供,該等模組以與目前所描繪之方式不同之方式組織,例如,可摻和、結合、複寫、分解、分配(例如,在資料中心內或地理上),或以另外不同方式組織此軟體或硬體。本文中所描述之功能性可由執行儲存於有形的、非暫時性機器可讀媒體上之程式碼之一或多個電腦的一或多個處理器提供。在一些狀況下,第三方內容遞送網路可主控經由網路傳送之資訊中的一些或全部,在此狀況下,在據稱供應或以另外方式提供資訊(例如,內容)的情況下,可藉由發送指令以自內容遞送網路擷取彼資訊提供該資訊。In the block diagrams, the illustrated components are depicted as discrete functional blocks, but embodiments are not limited to systems where the functionality described herein is organized as illustrated. The functionality provided by each of the components can be provided by software or hardware modules that are organized in a different way than currently depicted, for example, can be blended, combined, replicated, decomposed, distributed ( (E.g., within a data center or geographically), or organize this software or hardware differently. The functionality described herein may be provided by one or more processors executing one or more computers of code stored on a tangible, non-transitory machine-readable medium. In some cases, a third-party content delivery network may host some or all of the information transmitted over the network, in which case, where the information (e.g., content) is allegedly supplied or otherwise provided, This information can be provided by sending instructions to retrieve that information from the content delivery network.

除非另外特定陳述,否則如自論述顯而易見,應瞭解,貫穿本說明書,利用諸如「處理」、「計算(computing/calculating)」、「判定」或其類似者之術語的論述係指諸如專用電腦或相似專用電子處理/計算器件之特定裝置的動作或程序。Unless specifically stated otherwise, it should be understood that throughout this specification, discussions using terms such as "processing", "computing / calculating", "judgment" or the like refer to, for example, a dedicated computer or The action or program of a particular device similar to a dedicated electronic processing / computing device

讀者應瞭解,本申請案描述若干發明。此等發明已經分組成單一文件,而非將彼等發明分離成多個單獨的專利申請案,此係因為該等發明之相關主題在應用程序中有助於經濟發展。但不應合併此等發明之相異優點及態樣。在一些狀況下,實施例解決本文中所提及之所有不足,但應理解,該等發明係獨立地有用,且一些實施例僅解決此等問題之子集或提供其他未經提及之益處,該等益處對於檢閱本發明之熟習此項技術者將顯而易見。歸因於成本約束,目前可不主張本文中所揭示之一些發明,且可在稍後申請案(諸如接續申請案或藉由修正本技術方案)中主張該等發明。相似地,歸因於空間限制,本發明文件之[發明摘要]及[發明內容]章節皆不應被視為含有所有此等發明之全面清單或此等發明之所有態樣。The reader should be aware that this application describes several inventions. These inventions have been grouped into a single document rather than separating their inventions into separate patent applications, because the subject matter of those inventions contributes to economic development in the application. But the distinct advantages and aspects of these inventions should not be combined. In some cases, embodiments address all of the deficiencies mentioned herein, but it should be understood that the inventions are independently useful, and some embodiments address only a subset of these issues or provide other unmentioned benefits, These benefits will be apparent to those skilled in the art who review the present invention. Due to cost constraints, some of the inventions disclosed herein may not be claimed at present, and such inventions may be claimed in later applications (such as continuing applications or by amending this technical solution). Similarly, due to space constraints, neither the [Abstracts] nor [Summary] sections of this document should be considered as containing a comprehensive list of all such inventions or all aspects of these inventions.

應理解,描述及圖式不意欲將本發明限制於所揭示之特定形式,但相反,意欲涵蓋屬於如由所附申請專利範圍所界定的本發明之精神及範疇內之所有修改、等效者及替代例。It should be understood that the description and drawings are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications and equivalents falling within the spirit and scope of the invention as defined by the scope of the appended patents And alternatives.

鑒於此描述,本發明之各個態樣之修改及替代實施例對於熟習此項技術者而言將顯而易見。因此,此描述及圖式應被理解為僅為說明性的且係出於教示熟習此項技術者執行本發明之一般方式之目的。應理解,本文中所展示且描述之本發明之形式應被視為實施例之實例。元件及材料可替代本文中所說明且描述之元件及材料,部分及程序可被反轉或被省略,可獨立利用某些特徵,且可組合實施例或實施例之特徵,此皆如對熟習此項技術者在獲得此描述之益處之後將顯而易見。在不脫離如在以下申請專利範圍中所描述之本發明之精神及範疇的情況下,可對本文中所描述之元件作出改變。本文中所使用之標題僅出於組織性目的且不意謂用以限制描述之範疇。In view of this description, modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art. Accordingly, this description and drawings are to be interpreted as illustrative only and for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It should be understood that the forms of the invention shown and described herein should be considered as examples of embodiments. Elements and materials can replace the elements and materials described and described herein, parts and procedures can be reversed or omitted, certain features can be used independently, and embodiments or features of the embodiments can be combined, as they are familiar with It will be apparent to those skilled in the art after obtaining the benefits of this description. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following patent application scope. The headings used herein are for organizational purposes only and are not meant to limit the scope of the description.

如貫穿本申請案所使用,詞「可」係以准許之意義(亦即,意謂有可能)而非強制性之意義(亦即,意謂必須)來使用。詞語「包括(include/including/includes)」及其類似者意謂包括但不限於。如貫穿本申請案所使用,單數形式「a/an/the」包括複數個參照物,除非內容另有明確地指示。因此,舉例而言,「元件(an element/a element)」之提及包括兩個或多於兩個元件之組合,儘管會針對一或多個元件使用其他術語及片語,諸如「一或多個」。除非另有指示,否則術語「或」係非獨占式的,亦即,涵蓋「及」與「或」兩者。描述條件關係之術語,例如,「回應於X,而Y」、「在X後,即Y」、「若X,則Y」、「當X時,Y」及其類似者涵蓋因果關係,其中前提為必要的因果條件,前提為充分的因果條件,或前提為結果的貢獻因果條件,例如,「在條件Y獲得後,即出現狀態X」對於「僅在Y後,才出現X」及「在Y及Z後,即出現X」係通用的。此等條件關係不限於即刻遵循前提而獲得之結果,此係由於可延遲一些結果,且在條件陳述中,前提連接至其結果,例如,前提係與出現結果之可能性相關。除非另有指示,否則複數個屬性或功能經映射至複數個物件(例如,執行步驟A、B、C及D之一或多個處理器)之陳述涵蓋所有此等屬性或功能經映射至所有此等物件及屬性或功能之子集經映射至屬性或功能之子集兩者(例如,所有處理器各自執行步驟A至D,及其中處理器1執行步驟A,處理器2執行步驟B及步驟C之一部分,且處理器3執行步驟C之一部分及步驟D之狀況)。此外,除非另有指示,否則一個值或動作係「基於」另一條件或值之陳述涵蓋條件或值為單獨因數之情況及條件或值為複數個因數當中之一個因數之情況兩者。除非另有指示,否則不應讀取某一集合之每一「例項」具有某一性質的陳述,以排除較大集合中之一些其他相同或相似成員不具有該性質的狀況,亦即每一未必意謂每一個。對自範圍選擇之參考包括範圍之端點。As used throughout this application, the word "may" is used in a permitted meaning (ie, meaning possible) rather than in a mandatory meaning (ie, meaning necessary). The word "include / including / includes" and the like means including but not limited to. As used throughout this application, the singular form "a / an / the" includes plural references unless the content clearly dictates otherwise. Thus, for example, reference to "an element / a element" includes a combination of two or more elements, although other terms and phrases such as "a or Multiple. " Unless otherwise indicated, the term "or" is non-exclusive, that is, it covers both "and" and "or". Terms that describe conditional relationships, such as "response to X while Y", "after X, that is Y", "if X, then Y", "when X, Y", and the like cover causality, where The premise is a necessary causal condition, the premise is a sufficient causal condition, or the premise is a contributing causal condition of the result, for example, "the condition X appears after condition Y is obtained", for "only X appears after Y" and " After Y and Z, X "appears universally. These conditional relationships are not limited to the results obtained by immediately following the premise. This is because some results can be delayed, and in the conditional statement, the premise is connected to its result, for example, the premise is related to the possibility of the result. Unless otherwise indicated, a statement that a plurality of attributes or functions are mapped to a plurality of objects (e.g., performing one or more processors of steps A, B, C, and D) covers all such attributes or functions that are mapped to all These subsets of objects and attributes or functions are mapped to both subsets of attributes or functions (for example, all processors perform steps A through D, respectively, among which processor 1 performs step A, processor 2 performs steps B and C Part of the process, and the processor 3 executes part of step C and the status of step D). In addition, unless otherwise indicated, a statement of a value or action is "based on" another condition or value, and the description covers both the case where the condition or value is a separate factor and the case where the condition or value is one of a plurality of factors. Unless otherwise instructed, each "instance" of a set should not be read to have a certain property to exclude the condition that some other same or similar members in the larger set do not have that property, that is, every One does not necessarily mean every one. References to selection from a range include the endpoints of the range.

在以上描述中,流程圖中之任何程序、描述或區塊應理解為表示程式碼之模組、區段或部分,其包括用於實施該程序中之特定的邏輯功能或步驟之一或多個可執行指令,且替代實施方案包括於本發明進展之例示性實施例之範疇內,其中功能可取決於所涉及之功能性不按照所展示或論述之次序執行,包括實質上同時或以相反次序執行,如熟習此項技術者應理解。In the above description, any procedure, description or block in the flowchart should be understood as a module, section or part representing code, which includes one or more of the specific logical functions or steps for implementing the procedure Executable instructions, and alternative implementations are included within the scope of the illustrative examples of progress of the present invention, where functions may be performed out of the order shown or discussed depending on the functionality involved, including substantially simultaneously or in the opposite order Sequential execution, as understood by those skilled in the art.

在某些美國專利、美國專利申請案或其他材料(例如,論文)已以引用方式併入之情況下,此等美國專利、美國專利申請案及其他材料之文字僅在此材料與本文中所闡述之陳述及圖式之間不存在衝突之情況下以引用的方式併入。在存在此類衝突的情況下,在此類以引用方式併入的美國專利、美國專利申請案及其他材料中之任何此類衝突文字並不特定地以引用方式併入本文中。Where certain U.S. patents, U.S. patent applications, or other materials (e.g., papers) have been incorporated by reference, the text of these U.S. patents, U.S. patent applications, and other materials has The stated statements and drawings are incorporated by reference without conflict. Where such conflicts exist, any such conflicting text in such incorporated U.S. patents, U.S. patent applications, and other materials is not specifically incorporated herein by reference.

雖然已描述某些實施例,但此等實施例僅作為實例來呈現,且並不意欲限制本發明之範疇。實際上,本文中所描述之新穎方法、裝置及系統可以多種其他形式實施;此外,在不脫離本發明之精神的情況下,可對本文中所描述之方法、裝置及系統的形式進行各種省略、替代及改變。隨附申請專利範圍及其等效者意欲涵蓋將屬於本發明之範疇及精神內的此類形式或修改。Although certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the invention. In fact, the novel methods, devices, and systems described herein may be implemented in many other forms; furthermore, various forms of methods, devices, and systems described herein may be omitted without departing from the spirit of the present invention. , Replacement and change. The scope of the accompanying patent application and its equivalent are intended to cover such forms or modifications as would fall within the scope and spirit of the invention.

31‧‧‧照明模型31‧‧‧lighting model

32‧‧‧投影光學件模型 32‧‧‧ Projection Optics Model

33‧‧‧圖案化器件圖案模型/圖案化器件模型 33‧‧‧Pattern device pattern model / patterned device model

36‧‧‧空中影像/抗蝕劑影像 36‧‧‧ aerial image / resist image

37‧‧‧抗蝕劑模型 37‧‧‧ resist model

38‧‧‧抗蝕劑影像 38‧‧‧resist image

39‧‧‧圖案轉印後程序模型 39‧‧‧Procedure model after pattern transfer

40‧‧‧蝕刻後影像/蝕刻影像 40‧‧‧Etched image / Etched image

100‧‧‧電腦系統 100‧‧‧ computer system

102‧‧‧匯流排 102‧‧‧Bus

104‧‧‧處理器 104‧‧‧Processor

105‧‧‧處理器 105‧‧‧ processor

106‧‧‧主記憶體 106‧‧‧Main memory

108‧‧‧唯讀記憶體 108‧‧‧Read Only Memory

110‧‧‧儲存器件 110‧‧‧Storage device

112‧‧‧顯示器 112‧‧‧Display

114‧‧‧輸入器件 114‧‧‧input devices

116‧‧‧游標控制件 116‧‧‧Cursor Control

118‧‧‧通信介面 118‧‧‧ communication interface

120‧‧‧網路鏈路 120‧‧‧ network link

122‧‧‧網路 122‧‧‧Internet

124‧‧‧主機電腦 124‧‧‧Host computer

126‧‧‧網際網路服務提供者(ISP) 126‧‧‧Internet Service Provider (ISP)

128‧‧‧網際網路 128‧‧‧Internet

130‧‧‧伺服器 130‧‧‧Server

211‧‧‧程序參數 211‧‧‧program parameters

212‧‧‧佈局參數 212‧‧‧Layout parameters

213‧‧‧步驟 213‧‧‧step

214‧‧‧特性 214‧‧‧Features

215‧‧‧步驟 215‧‧‧step

410‧‧‧臨限值 410‧‧‧Threshold

420‧‧‧值 420‧‧‧value

421‧‧‧值 421‧‧‧value

430‧‧‧分佈 430‧‧‧ distribution

431‧‧‧分佈 431‧‧‧ distribution

510‧‧‧圖案 510‧‧‧ pattern

520‧‧‧值 520‧‧‧value

530‧‧‧分佈 530‧‧‧ distribution

540‧‧‧分佈 540‧‧‧ distribution

551‧‧‧臨限值 551‧‧‧Threshold

552‧‧‧臨限值 552‧‧‧Threshold

555‧‧‧非機率模型 555‧‧‧ Non-Probability Model

610‧‧‧步驟 610‧‧‧step

620‧‧‧屬性 620‧‧‧Attribute

630‧‧‧步驟 630‧‧‧step

640‧‧‧值 640‧‧‧value

650‧‧‧步驟 650‧‧‧step

660‧‧‧屬性 660‧‧‧Attribute

670‧‧‧步驟 670‧‧‧step

680‧‧‧機率 680‧‧‧ chance

710a‧‧‧圖案例項 710a‧‧‧Pattern example

710b‧‧‧圖案例項 710b‧‧‧Pattern example

710c‧‧‧圖案例項 710c‧‧‧Pattern example

710d‧‧‧圖案例項 710d‧‧‧Pattern example

710e‧‧‧圖案例項 710e‧‧‧Pattern example

710f‧‧‧圖案例項 710f‧‧‧Pattern example

710g‧‧‧圖案例項 710g‧‧‧Illustration item

710h‧‧‧圖案例項 710h‧‧‧Pattern example

710i‧‧‧圖案例項 710i‧‧‧Pattern example

720a‧‧‧計算值 720a‧‧‧Calculated

720b‧‧‧計算值 720b‧‧‧Calculated

720c‧‧‧計算值 720c‧‧‧calculated value

720d‧‧‧計算值 720d‧‧‧calculated value

720e‧‧‧計算值 720e‧‧‧Calculated

720f‧‧‧計算值 720f‧‧‧Calculated value

720g‧‧‧計算值 720g‧‧‧calculated value

720h‧‧‧計算值 720h‧‧‧Calculated value

720i‧‧‧計算值 720i‧‧‧calculated value

730a‧‧‧計算值 730a‧‧‧Calculated

730b‧‧‧計算值 730b‧‧‧Calculated

730c‧‧‧計算值 730c‧‧‧Calculated

730d‧‧‧計算值 730d‧‧‧Calculated

730e‧‧‧計算值 730e‧‧‧Calculated

730f‧‧‧計算值 730f‧‧‧Calculated

730g‧‧‧計算值 730g‧‧‧Calculated

730h‧‧‧計算值 730h‧‧‧Calculated value

730i‧‧‧計算值 730i‧‧‧Calculated

810‧‧‧步驟 810‧‧‧step

820‧‧‧檢驗值 820‧‧‧test value

830‧‧‧步驟 830‧‧‧step

840‧‧‧計算值 840‧‧‧Calculated value

850‧‧‧步驟 850‧‧‧step

860‧‧‧值 860‧‧‧value

870‧‧‧步驟 870‧‧‧step

880‧‧‧屬性 880‧‧‧Attribute

1010‧‧‧臨限值 1010‧‧‧Threshold

1020‧‧‧實際考慮因素 1020‧‧‧actual considerations

1030‧‧‧機率密度函數(PDF) 1030‧‧‧ Probability Density Function (PDF)

1110‧‧‧機率 1110‧‧‧ chance

1120‧‧‧部位 1120‧‧‧parts

1130‧‧‧步驟 1130‧‧‧step

1140‧‧‧有序清單 1140 ‧ ‧ ‧ ordered list

1150‧‧‧步驟 1150‧‧‧step

1160‧‧‧步驟 1160‧‧‧step

1211‧‧‧圖案例項/圖案 1211‧‧‧ Pattern Examples / Patterns

1212‧‧‧圖案例項 1212‧‧‧ Pattern Examples

1213‧‧‧圖案例項 1213‧‧‧ Pattern Examples

1311‧‧‧視場(FOV) 1311‧‧‧Field of View (FOV)

1312‧‧‧視場(FOV) 1312‧‧‧Field of View (FOV)

1313‧‧‧視場(FOV) 1313‧‧‧Field of View (FOV)

1400‧‧‧步驟 1400‧‧‧step

1410‧‧‧屬性 1410‧‧‧ Properties

1420‧‧‧步驟 1420‧‧‧step

1430‧‧‧屬性 1430‧‧‧ Properties

1440‧‧‧機率計算方法 1440‧‧‧ Probability calculation method

1450‧‧‧機率 1450‧‧‧ chance

1500‧‧‧曲線 1500‧‧‧curve

1510‧‧‧曲線 1510‧‧‧ curve

1520‧‧‧曲線 1520‧‧‧ curve

1600‧‧‧曲線 1600‧‧‧ curve

1610‧‧‧曲線 1610‧‧‧ Curve

1620‧‧‧線 1620‧‧‧line

1630‧‧‧線 1630‧‧‧line

1640‧‧‧線 1640‧‧‧line

1700‧‧‧基板 1700‧‧‧ substrate

1710‧‧‧實心圓/缺陷指紋 1710‧‧‧Solid circle / defective fingerprint

AS‧‧‧對準感測器 AS‧‧‧ Alignment Sensor

B‧‧‧輻射光束 B‧‧‧ radiation beam

BD‧‧‧光束遞送系統 BD‧‧‧Beam Delivery System

BK‧‧‧烘烤板 BK‧‧‧Baking plate

C‧‧‧目標部分 C‧‧‧ Target section

CH‧‧‧冷卻板 CH‧‧‧ cooling plate

CO‧‧‧聚光器/輻射收集器/收集器光學件 CO‧‧‧ Concentrator / radiation collector / collector optics

DE‧‧‧顯影器 DE‧‧‧Developer

IF‧‧‧位置感測器/虛擬源點 IF‧‧‧Position Sensor / Virtual Source

IL‧‧‧照明系統/照明器/照明光學件單元 IL‧‧‧lighting system / luminaire / lighting optics unit

IN‧‧‧積光器 IN‧‧‧Light Accumulator

I/O1‧‧‧輸入/輸出埠 I / O1‧‧‧ input / output port

I/O2‧‧‧輸入/輸出埠 I / O2‧‧‧ input / output port

LA‧‧‧微影裝置 LA‧‧‧lithography device

LACU‧‧‧微影控制單元 LACU ‧ ‧ lithography control unit

LB‧‧‧裝載匣 LB‧‧‧Loading Box

LC‧‧‧微影製造單元 LC‧‧‧Weiying Manufacturing Unit

LS‧‧‧位階感測器 LS‧‧‧Order Sensor

MA‧‧‧圖案化器件 MA‧‧‧ Patterned Device

MT‧‧‧支撐結構 MT‧‧‧ support structure

M1‧‧‧圖案化器件對準標記 M1‧‧‧ Patterned Device Alignment Mark

M2‧‧‧圖案化器件對準標記 M2‧‧‧ patterned device alignment mark

O‧‧‧光軸 O‧‧‧ Optical axis

PM‧‧‧第一定位器 PM‧‧‧First Positioner

PS‧‧‧投影系統 PS‧‧‧ projection system

PS1‧‧‧位置感測器 PS1‧‧‧Position Sensor

PS2‧‧‧位置感測器 PS2‧‧‧Position Sensor

PW‧‧‧第二定位器 PW‧‧‧Second Positioner

P1‧‧‧基板對準標記 P1‧‧‧Substrate alignment mark

P2‧‧‧基板對準標記 P2‧‧‧ substrate alignment mark

RF‧‧‧參考框架 RF‧‧‧ Reference Frame

RO‧‧‧基板處置器或機器人 RO‧‧‧ substrate handler or robot

SC‧‧‧旋塗器 SC‧‧‧ Spinner

SCS‧‧‧監督控制系統 SCS‧‧‧Supervision Control System

SO‧‧‧輻射源/源收集器模組 SO‧‧‧ radiation source / source collector module

TCU‧‧‧塗佈顯影系統控制單元 TCU‧‧‧ Coating Development System Control Unit

W‧‧‧基板 W‧‧‧ substrate

WT‧‧‧基板台 WT‧‧‧ Substrate

WTa‧‧‧基板台 WTa‧‧‧ Substrate

WTb‧‧‧基板台 WTb‧‧‧ Substrate

對於一般熟習此項技術者而言,在結合隨附圖式而檢閱特定實施例之以下描述後,以上態樣以及其他態樣及特徵就將變得顯而易見,在該等圖式中:For those skilled in the art, after reviewing the following description of a specific embodiment in conjunction with the accompanying drawings, the above and other aspects and features will become apparent, in these drawings:

圖1係微影裝置之示意性方塊圖。FIG. 1 is a schematic block diagram of a lithographic apparatus.

圖2示意性地描繪微影製造單元或叢集之一實施例。FIG. 2 schematically depicts one embodiment of a lithographic manufacturing unit or cluster.

圖3示意性地描繪預測器件製造程序中之缺陷的方法。FIG. 3 schematically depicts a method for predicting defects in a device manufacturing process.

圖4說明模擬圖案之至少一部分或影像中之圖案之特性之方法的流程圖。FIG. 4 is a flowchart illustrating a method of simulating at least a portion of a pattern or a characteristic of a pattern in an image.

圖5A、圖5B、圖5C及圖5D示意性地展示相較於僅使用非機率模型之方法,機率計算方法可更好地考慮隨機變化,且因此例如更好地指導檢測在器件製造程序中產生之基板。5A, 5B, 5C, and 5D schematically show that the probability calculation method can better consider the random variation than the method using only the non-probability model, and thus, for example, better guides the inspection in the device manufacturing process The resulting substrate.

圖6示意性地展示根據一實施例如何使用機率計算方法來預測缺陷。FIG. 6 schematically shows how a probability calculation method is used to predict defects according to an embodiment.

圖7展示根據一實施例之計算藉由器件製造程序產生之基板上的缺陷之機率之方法的流程圖。FIG. 7 shows a flowchart of a method of calculating a probability of a defect on a substrate generated by a device manufacturing process according to an embodiment.

圖8示意性地展示根據一實施例如何可獲得非機率模型之殘差之分佈的屬性。FIG. 8 schematically shows how the properties of the distribution of the residuals of the non-probability model can be obtained according to an embodiment.

圖9展示根據一實施例之獲得非機率模型之殘差之分佈的屬性之方法的流程圖。FIG. 9 shows a flowchart of a method for obtaining attributes of a distribution of residuals of a non-probability model according to an embodiment.

圖10A、圖10B、圖10C、圖10D、圖10E、圖10F及圖10G各自展示殘差之直方圖作為殘差之分佈的屬性的實例。10A, 10B, 10C, 10D, 10E, 10F, and 10G each show an example of the residual histogram as an attribute of the distribution of the residual.

圖11示意性地展示一實例,在該實例中,圖案係缺陷之機率為PDF在自負無窮至一臨限值之範圍內的整合。FIG. 11 schematically shows an example in which the probability of a pattern-based defect is the integration of PDF within a range from infinity to a threshold value.

圖12示意性地展示根據一實施例之使用圖案係缺陷之機率來判定應檢測基板上之哪些圖案例項及應檢測此等圖案例項之次序之方法的流程圖。FIG. 12 schematically shows a flowchart of a method for determining which pattern instances on a substrate should be detected and the order in which such pattern instances should be detected according to an embodiment using the probability of pattern-based defects.

圖13A及圖13B示意性地展示相比於另一次序,下降機率次序在檢測處理量方面可能較差。13A and 13B schematically show that the descending probability order may be worse in terms of detection processing amount compared to another order.

圖14A及圖14B示意性地展示相比於另一次序,下降機率次序在檢測處理量方面可能較差。14A and 14B schematically show that the descending probability order may be worse in terms of detection processing amount compared to another order.

圖15係根據一實施例之獲得非機率模型之殘差之分佈的屬性、計算藉由器件製造程序產生之基板上的缺陷之機率及使用該機率來判定基板上之哪些圖案例項待檢測之方法的流程圖。FIG. 15 shows the attributes of the distribution of the residuals of the non-probability model, the probability of calculating defects on the substrate generated by the device manufacturing process, and using the probability to determine which pattern instances on the substrate are to be detected according to an embodiment Method flow chart.

圖16係基於合成資料之圖案例項之特性之機率分佈的實例曲線圖。FIG. 16 is a graph showing an example of the probability distribution based on the characteristics of the pattern instances of the synthesized data.

圖17係基於合成資料作為量測部位之數目的函數的預測缺陷之累積數目的實例曲線圖。FIG. 17 is an example graph of the cumulative number of predicted defects based on synthetic data as a function of the number of measurement sites.

圖18係用於量測基板之取樣計劃的實例可視化。FIG. 18 is an example visualization of a sampling plan for measuring substrates.

圖19係實例電腦系統之方塊圖。Figure 19 is a block diagram of an example computer system.

圖20係另外微影裝置之示意圖。FIG. 20 is a schematic diagram of another lithographic apparatus.

圖21係圖20中之裝置的更詳細視圖。FIG. 21 is a more detailed view of the device in FIG. 20.

現在將參看圖式詳細地描述實施例,該等圖式被提供為說明性實例以便使熟習此項技術者能夠實踐該等實施例。特別地,圖及實例不意謂將範疇限於單一實施例,而是藉由所描述或所說明元件之一些或全部的互換之其他實施例係可能的。在任何方便之處,將貫穿圖式使用相同參考編號以指相同或類似部件。在可使用已知組件來部分地或完全地實施此等實施例之某些元素的情況下,僅將描述為理解該等實施例所必需的此等已知組件之彼等部分,且將省略此等已知組件的其他部分之詳細描述,以免混淆該等實施例之描述。在本說明書中,展示單數組件之實施例不應被視為限制性的;實情為,範疇意欲涵蓋包括複數個同一組件之其他實施例,且反之亦然,除非本文中另有明確陳述。此外,除非如此明確闡述,否則申請人不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特定涵義。此外,範疇涵蓋本文中作為說明而提及之組件的目前及未來已知等效者。Embodiments will now be described in detail with reference to the drawings, which are provided as illustrative examples to enable those skilled in the art to practice the embodiments. In particular, the figures and examples are not meant to limit the scope to a single embodiment, but other embodiments are possible through the interchange of some or all of the described or illustrated elements. Wherever convenient, the same reference numbers will be used throughout the drawings to refer to the same or like parts. Where known components may be used to partially or fully implement certain elements of these embodiments, only those portions of these known components necessary to understand the embodiments will be described and will be omitted Detailed descriptions of other parts of these known components, so as not to confuse the description of the embodiments. In this description, embodiments showing singular components should not be considered limiting; in fact, the category is intended to cover other embodiments including a plurality of the same components, and vice versa, unless explicitly stated otherwise herein. Furthermore, unless so explicitly stated, applicants do not intend to attribute any term in this specification or the scope of the patent application to an unusual or specific meaning. In addition, the scope covers the present and future known equivalents of the components mentioned herein as illustrations.

Claims (15)

一種方法,其包含: 使用一非機率模型獲得一實體系統或物件之一實體項目例項之一特性的一值; 獲得該非機率模型之一殘差相對於實體項目例項之一集合之一分佈的一屬性,該殘差相對於實體項目例項之一集合之該分佈的該屬性基於該非機率模型之一殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之一分佈的一屬性; 基於該殘差相對於實體項目例項之一集合之該分佈的該屬性且基於該實體項目例項之該特性的該值,判定該特性之一分佈的一屬性;及 基於該特性之該分佈的該屬性,判定該實體項目例項超出規格的一機率。A method comprising: Use a non-probability model to obtain a value of a property of a physical system instance of a physical system or object; Obtain an attribute of a distribution of a residual of the non-probability model relative to one of a set of entity item instances, and an attribute of the distribution of the residual relative to a set of an entity item instance based on a residual of the non-probability model An attribute corresponding to one of at least one entity item instance corresponding to at least one entity item type of the set; Determine an attribute of a distribution of the characteristic based on the attribute of the distribution with respect to the distribution of the entity item instance and based on the value of the characteristic of the entity item instance; and Based on the attribute of the distribution of the characteristic, a probability that the entity item instance exceeds the specification is determined. 如請求項1之方法,其中該殘差相對於該集合之該分佈的該屬性包含實體項目例項之該集合的一累積分佈函數。The method of claim 1, wherein the attribute of the residual relative to the distribution of the set includes a cumulative distribution function of the set of entity item instances. 如請求項1之方法,其中該殘差相對於該集合之該分佈的該屬性涉及該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項之該分佈的該屬性比該集合中之數個實體項目例項的功率。The method as claimed in item 1, wherein the attribute of the residual relative to the distribution of the set relates to the attribute of the residual relative to the distribution of at least one entity item instance corresponding to at least one entity item type of the set Than the power of several physical item instances in the set. 如請求項1之方法,其中該殘差相對於該集合之該分佈的該屬性係至少由[1 - (1-eCDF)N ]或[1 - (1-CDF)N ]定義之一函數,其中N係該集合中實體項目例項之數目,CDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一累積分佈函數,且eCDF係該殘差相對於對應於該集合之至少一個實體項目類型之至少一個實體項目例項的一經驗累積分佈函數。The method of claim 1, wherein the attribute of the residual relative to the distribution of the set is at least one function defined by [1-(1-eCDF) N ] or [1-(1-CDF) N ], Where N is the number of entity item instances in the set, CDF is a cumulative distribution function of the residual relative to at least one entity item instance corresponding to at least one entity item type of the set, and eCDF is relative to the residual An empirical cumulative distribution function for at least one entity item instance corresponding to at least one entity item type of the set. 如請求項1之方法,其中該集合中實體項目例項之該數目大於10。The method as claimed in item 1, wherein the number of entity item instances in the set is greater than ten. 如請求項1之方法,其中該實體項目例項對應於藉由一器件製造程序產生之一基板上的一圖案例項。The method of claim 1, wherein the physical item instance corresponds to a pattern instance on a substrate generated by a device manufacturing process. 如請求項1之方法,其中在一度量衡工具之一量測部位或視場內,該集合中實體項目例項之該數目對應於一特定實體項目類型或特定複數個實體項目類型之一集合的該等實體項目例項之一數目。The method of claim 1, wherein the number of entity item instances in the set corresponds to a specific entity item type or a specific entity item type set in a measurement site or field of view of a metrology tool. One of these entity project instances. 如請求項1之方法,其進一步包含基於該機率,判定超出規格之至少一個實體項目例項在一度量衡工具之一量測部位或視場內的預測存在。The method of claim 1, further comprising determining, based on the probability, that at least one physical item instance that exceeds the specification exists in a measurement location or field of view of one of the metrology tools. 如請求項8之方法,其中該度量衡工具經組態以使用一帶電粒子束來量測該等實體項目例項。The method of claim 8, wherein the metrology tool is configured to measure the entity project instances using a charged particle beam. 如請求項1之方法,其中存在該殘差相對於該集合之該分佈的複數個屬性,且對於不同實體部位,該殘差相對於該集合之該分佈的該複數個屬性中之每一屬性係不同的。As in the method of claim 1, wherein there are a plurality of attributes of the distribution relative to the distribution of the residual, and for different entity parts, each of the plurality of attributes of the distribution relative to the distribution of the residual Department is different. 如請求項1之方法,其中該特性選自以下各者中之一或多者:相對於一基板之一位置、相對於一或多個其他實體項目例項之一位置、一幾何大小、一幾何形狀、一隨機效應之一量度,及/或選自前述各者之任何組合。The method of claim 1, wherein the characteristic is selected from one or more of the following: a position relative to a substrate, a position relative to one or more other entity item instances, a geometric size, a Geometry, a measure of a random effect, and / or any combination selected from the foregoing. 如請求項1之方法,其中判定該特性之該分佈的該屬性包含相對於該集合添加該殘差之該分佈的該屬性及該特性之該值。The method of claim 1, wherein the attribute of the distribution of the characteristic is determined to include the attribute of the distribution and the value of the characteristic relative to the set to which the residual is added. 如請求項1之方法,其中判定該機率係進一步基於該特性之一範圍,在該範圍內,該實體項目例項被視為超出規格。As in the method of claim 1, wherein the probability is determined further based on a range of the characteristics, within which the entity project instance is considered to be out of specification. 如請求項1之方法,其進一步包含基於該機率,判定用於量測之量測部位的一取樣計劃,以判定超出規格之實體項目例項(若存在)。The method of claim 1, further comprising, based on the probability, determining a sampling plan for a measurement site for measurement to determine an entity item instance (if any) that exceeds the specification. 一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦系統執行時實施如請求項1之方法。A computer program product comprising a non-transitory computer-readable medium having instructions recorded thereon, which when executed by a computer system implements the method of claim 1.
TW107144693A 2017-12-13 2018-12-12 Prediction of out of specification physical items TW201937300A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762598427P 2017-12-13 2017-12-13
US62/598,427 2017-12-13

Publications (1)

Publication Number Publication Date
TW201937300A true TW201937300A (en) 2019-09-16

Family

ID=64899251

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107144693A TW201937300A (en) 2017-12-13 2018-12-12 Prediction of out of specification physical items

Country Status (2)

Country Link
TW (1) TW201937300A (en)
WO (1) WO2019115426A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
WO2023156182A1 (en) * 2022-02-21 2023-08-24 Asml Netherlands B.V. Field of view selection for metrology associated with semiconductor manufacturing

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100958714B1 (en) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
NL1036189A1 (en) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
JP6080379B2 (en) * 2012-04-23 2017-02-15 株式会社日立ハイテクノロジーズ Semiconductor defect classification device and program for semiconductor defect classification device
KR102359050B1 (en) 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. Method of optimizing a process window
WO2016128189A1 (en) 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
KR102294366B1 (en) 2015-06-16 2021-08-27 에이에스엠엘 네델란즈 비.브이. Methods for Defect Verification
KR102190292B1 (en) 2015-12-31 2020-12-14 에이에스엠엘 네델란즈 비.브이. Selection of measurement locations for patterning processes
JP6812450B2 (en) * 2016-02-23 2021-01-13 エーエスエムエル ネザーランズ ビー.ブイ. How to control the pattern formation process, lithographic equipment, metrologistic equipment lithographic cells, and related computer programs
KR102188014B1 (en) * 2016-05-12 2020-12-08 에이에스엠엘 네델란즈 비.브이. Identification of defects or hot spots by machine learning

Also Published As

Publication number Publication date
WO2019115426A1 (en) 2019-06-20

Similar Documents

Publication Publication Date Title
US11681229B2 (en) Selection of measurement locations for patterning processes
TWI802185B (en) Semiconductor device geometry method and system
TWI808901B (en) A method for training a machine learning model of a patterning process and coumputer program product
TW201937305A (en) Process window based on defect probability
TWI749386B (en) Methods and computer program products for determining a probabilistic model configured to predict a characteristic of a pattern on a substrate subjected to a patterning process
TWI785504B (en) Methods for adjusting a process window and related non-transitory computer-readable medium
US20220035256A1 (en) Determining hot spot ranking based on wafer measurement
TW201937300A (en) Prediction of out of specification physical items
US11460784B2 (en) Method for determining candidate patterns from set of patterns of a patterning process
TWI625603B (en) Apparatus and method for process-window characterization
TWI779674B (en) Process window based on failure rate
TW201921125A (en) Defect prediction
TWI781374B (en) Improve gauge selection for model calibration
TWI831362B (en) Process window based on failure rate
EP4356201A1 (en) Inspection data filtering systems and methods
CN114341742A (en) Method of determining aberration sensitivity of pattern