TW201935604A - Auto-calibration to a station of a process module that spins a wafer - Google Patents

Auto-calibration to a station of a process module that spins a wafer Download PDF

Info

Publication number
TW201935604A
TW201935604A TW107143395A TW107143395A TW201935604A TW 201935604 A TW201935604 A TW 201935604A TW 107143395 A TW107143395 A TW 107143395A TW 107143395 A TW107143395 A TW 107143395A TW 201935604 A TW201935604 A TW 201935604A
Authority
TW
Taiwan
Prior art keywords
wafer
calibration
processing module
processing
offset
Prior art date
Application number
TW107143395A
Other languages
Chinese (zh)
Other versions
TWI797207B (en
Inventor
雅各 L 希斯特
理查 布蘭克
彼得 濤磊
保羅 孔科拉
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201935604A publication Critical patent/TW201935604A/en
Application granted granted Critical
Publication of TWI797207B publication Critical patent/TWI797207B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2446Position sensitive detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Processing Of Stones Or Stones Resemblance Materials (AREA)
  • Measuring Fluid Pressure (AREA)

Abstract

A method for calibration including determining a temperature induced offset in a pedestal of a process module under a temperature condition for a process. The method includes delivering a wafer to the pedestal of the process module by a robot, and detecting an entry offset. The method includes rotating the wafer over the pedestal by an angle. The method includes removing the wafer from the pedestal by the robot and measuring an exit offset. The method includes determining a magnitude and direction of the temperature induced offset using the entry offset and exit offset.

Description

對於旋轉晶圓之處理模組之處理站的自動校正Automatic calibration of processing stations for processing modules for rotating wafers

本發明實施例係關於機器人,尤其是用於晶圓處理系統中之機器人。 [優先權主張]Embodiments of the present invention relate to robots, especially robots used in wafer processing systems. [Priority claim]

本申請主張共同擁有之美國臨時申請案第62/595,454號「AUTO- CALIBRATION TO A STATION OF A PROCESS MODULE THAT SPINS A WAFER」(於2017年12月6日申請)的優先權及其權益,其整體內容皆併於此作為參考。 [相關申請案之相互引用]This application claims the priority of the co-owned U.S. Provisional Application No. 62 / 595,454 "AUTO-CALIBRATION TO A STATION OF A PROCESS MODULE THAT SPINS A WAFER" (filed on December 6, 2017) and its rights and interests as a whole The contents are incorporated herein by reference. [Cross References in Related Applications]

本申請係關於2016年10月12日申請之美國專利申請案第15/291,549號「WAFER POSITIONING PEDESTAL FOR SEMICONDUCTOR PROCESSING」。This application is about US Patent Application No. 15 / 291,549 "WAFER POSITIONING PEDESTAL FOR SEMICONDUCTOR PROCESSING" filed on October 12, 2016.

於半導體處理系統中,機器人係用以將晶圓從一位置移到另一位置。例如, 可採用一或更多機器人,以從裝載埠中之晶圓匣(wafer cassette)拾取晶圓,將晶圓移至負載鎖(load lock)、將晶圓移至一或更多中間位置(如輸送模組)、並將晶圓移至處理模組或反應器以進行晶圓處理。In semiconductor processing systems, robots are used to move wafers from one location to another. For example, one or more robots can be used to pick up wafers from a wafer cassette in the loading port, move the wafers to a load lock, and move the wafers to one or more intermediate positions (Such as a transport module), and move the wafer to a processing module or reactor for wafer processing.

為準確放置並拾取晶圓,機器人需知道晶圓處理系統中各個位置的座標。於機器人安裝於晶圓處理系統中後,可在設定過程中,將座標程式化至相應的機器人。依照該種方式,機器人所使用的交遞(hand-off, 如拾取及放置)位置便為已知。例如,機器人可用以將晶圓從輸送模組傳送至處理模組,如基座中心。一般而言,技術人員或現場服務工程師係於處理模組呈冷狀態時執行設定處理。然而,一旦處理模組處於真空下或升溫至較高溫時,處理模組內特定位置(如基座的中心)的座標可能已移動。據此,期望能夠在處理條件期間將晶圓準確地放置特定位置,以減少晶圓處理期間引起的誤差,並實現半導體裝置及/或積體電路之較小外型架構(form factor)。To accurately place and pick up a wafer, the robot needs to know the coordinates of each location in the wafer processing system. After the robot is installed in the wafer processing system, the coordinates can be programmed to the corresponding robot during the setting process. In this way, the hand-off positions used by the robot are known. For example, a robot can be used to transfer wafers from a transport module to a processing module, such as the center of a pedestal. Generally, a technician or a field service engineer performs a setup process when the processing module is cold. However, once the processing module is under vacuum or heated to a higher temperature, the coordinates of a specific location (such as the center of the base) within the processing module may have moved. Accordingly, it is desirable to be able to accurately place a wafer at a specific position during processing conditions to reduce errors caused during wafer processing and to achieve a smaller form factor of semiconductor devices and / or integrated circuits.

本文中所提供的背景描述係以總括地呈現本發明內容為目的。在本背景段落中所描述之目前所列名之發明人的工作成果,以及可能未在提出申請時以其他方式適格作為習知技術之說明的實施態樣,均並未明示或默示地被承認為是相對於本發明之習知技術。The background description provided herein is for the purpose of presenting the present invention in general. The work product of the presently named inventors described in this background paragraph, and implementations that may not otherwise qualify as a description of conventional technology when making an application, have not been explicitly or implicitly stated. It is recognized as a relative technique with respect to the present invention.

將於本文中提出揭示內容。The disclosure will be presented in this article.

本具體實施例係關於解決相關技術所發現之一或更多問題,尤其是關於測量處於條件下之處理模組內特定位置之偏移,如與裝置相關聯之位置。This specific embodiment relates to solving one or more of the problems found in the related technology, and in particular, to measuring an offset of a specific position within a processing module under conditions, such as a position associated with a device.

本發明之具體實施例包括一種校正方法,以包括:測定處理模組之基座於製程之溫度條件下因溫度導致的偏移。該方法包括:藉由機器人,將晶圓傳送至該處理模組之該基座,並偵測一移入偏移值。該方法包括:使該基座上之該晶圓旋轉一角度。該方法包括:藉由該機器人,將該晶圓從該基座移開,並測量一移出偏移值。該方法包括:利用該移入偏移值及該移出偏移值,測定該溫度導致偏移之量值及方向。A specific embodiment of the present invention includes a calibration method, including: determining a temperature-induced shift of a base of a processing module under a temperature condition of a manufacturing process. The method includes: transferring a wafer to the base of the processing module by a robot, and detecting a shift-in offset value. The method includes rotating the wafer on the base by an angle. The method includes: removing the wafer from the pedestal by the robot, and measuring a removal offset value. The method includes: using the shift-in offset value and the shift-out offset value to determine the magnitude and direction of the temperature-induced shift.

本發明之具體實施例包括一種校正方法。該方法包括:根據處理模組內旋轉裝置之旋轉軸的初始校正位置,建立一參考座標系統。該方法包括:施加一條件至該處理模組。該方法包括:使用輸送模組(TM)機器人,將校準晶圓從入站負載鎖取起,該輸送模組(TM)機器人係配置成用以將該校準晶圓輸送至該處理模組。該方法包括:當將該校準晶圓輸送至該處理模組時,使用測量裝置,於該參考座標系統內測定該校準晶圓之第一測量值,該測量裝置係固定於該參考座標系統內。該方法包括:使用該TM機器人,將該校準晶圓交遞至該處理模組。該方法包括:將該校準晶圓接置於該旋轉裝置。該方法包括:使用該旋轉裝置,使該校準晶圓旋轉一角度。該方法包括:使用該TM機器人,將該校準晶圓移出該處理模組。該方法包括:當將該校準晶圓輸送至出站負載鎖時,使用該測量裝置,於該參考座標系統內測定該校準晶圓之第二測量值。該方法包括:根據該第一測量值及該第二測量值,測定該旋轉軸之條件修正量,該條件修正量係對應於當該處理模組於該條件下時,該旋轉軸偏離該初始校正位置之偏移。A specific embodiment of the present invention includes a correction method. The method includes: establishing a reference coordinate system according to an initial correction position of a rotation axis of a rotation device in a processing module. The method includes applying a condition to the processing module. The method includes using a transfer module (TM) robot to pick up a calibration wafer from an inbound load lock, the transfer module (TM) robot configured to transfer the calibration wafer to the processing module. The method includes: when the calibration wafer is transferred to the processing module, using a measurement device to determine a first measurement value of the calibration wafer in the reference coordinate system, the measurement device is fixed in the reference coordinate system . The method includes using the TM robot to deliver the calibration wafer to the processing module. The method includes: placing the calibration wafer in the rotating device. The method includes using the rotating device to rotate the calibration wafer by an angle. The method includes using the TM robot to move the calibration wafer out of the processing module. The method includes: when the calibration wafer is delivered to an outbound load lock, using the measurement device to determine a second measurement value of the calibration wafer in the reference coordinate system. The method includes: determining a condition correction amount of the rotation axis according to the first measurement value and the second measurement value, the condition correction amount corresponding to that the rotation axis deviates from the initial value when the processing module is under the condition. Correct the position offset.

本發明之具體實施例包括另一種校正方法。該方法包括:根據處理模組內旋轉裝置之旋轉軸的初始校正位置,建立一參考座標系統。該方法包括:當使用輸送模組(TM)機器人將校準晶圓從該初始校正位置移出該處理模組時,使用固定於該參考座標系統內之測量裝置,於該參考座標系統內建立該校準晶圓之校正參考測量值。該校準晶圓設置成以該旋轉軸為中心,使校正參考測量值對準該旋轉軸之初始校正位置。該方法包括:根據該校準晶圓利用該處理模組內之該旋轉裝置繞著該旋轉軸旋轉一角度,測定該旋轉軸之條件修正量,該條件修正量係對應於當該處理模組於一條件下時,該旋轉軸偏離該初始校正位置之偏移。該方法包括:使用該TM機器人,將處理晶圓從入站負載鎖取起。該方法包括:當將該處理晶圓輸送至該處理模組時,使用該測量裝置,於該參考座標系統內測定該處理晶圓之對位測量值。該方法包括:根據該對位測量值,測定該處理晶圓之對位修正量,該對位修正量係對應於該處理晶圓偏離該校正參考測量值之偏移。該方法包括:使用該TM機器人,對該處理晶圓施以該條件修正量。該方法包括:使用該TM機器人,施以該對位修正量,以將該處理晶圓對準於自該初始校正位置偏移之該旋轉軸。A specific embodiment of the present invention includes another correction method. The method includes: establishing a reference coordinate system according to an initial correction position of a rotation axis of a rotation device in a processing module. The method includes: using a transfer module (TM) robot to move a calibration wafer out of the processing module from the initial calibration position, using a measurement device fixed in the reference coordinate system to establish the calibration in the reference coordinate system. Wafer calibration reference measurement. The calibration wafer is set to be centered on the rotation axis so that the calibration reference measurement value is aligned with the initial calibration position of the rotation axis. The method includes: using the rotating device in the processing module to rotate an angle around the rotation axis according to the calibration wafer, and measuring a condition correction amount of the rotation axis, the condition correction amount corresponding to when the processing module is at Under a condition, the rotation axis is offset from the initial correction position. The method includes using the TM robot to pick up a processing wafer from an inbound load lock. The method includes: when the processing wafer is transported to the processing module, using the measuring device to measure an alignment measurement value of the processing wafer in the reference coordinate system. The method includes: determining an alignment correction amount of the processing wafer according to the alignment measurement value, the alignment correction amount corresponding to an offset of the processing wafer from the correction reference measurement value. The method includes using the TM robot to apply the condition correction amount to the processing wafer. The method includes using the TM robot to apply the alignment correction amount to align the processing wafer with the rotation axis offset from the initial correction position.

本發明之實施例包括一種用以處理晶圓之系統。該系統包括:一處理模組,其包括具有一旋轉軸之一旋轉裝置。該系統包括:一參考座標系統,其係根據該旋轉裝置之該旋轉軸的初始校正位置。該系統包括:一輸送模組(TM)機器人,其配置成用以將晶圓移入或移出處理模組。該系統包括:一測量裝置,其固定於該參考座標系統內,該測量裝置截取移入或移出處理模組之晶圓。該系統包括:一處理器及一記憶體,該記憶體耦接至該處理器,並具有儲存於內的指令,當該些指令被處理器執行時,其使該處理器執行校正方法。該方法包括:根據該處理模組內旋轉裝置之旋轉軸的初始校正位置,建立一參考座標系統。該方法包括:施加一條件至該處理模組。該方法包括:使用該TM機器人,將校準晶圓從入站負載鎖取起,該TM機器人係配置成用以將該校準晶圓輸送至該處理模組。該方法包括:當將該校準晶圓輸送至該處理模組時,使用測量裝置,於該參考座標系統內測定該校準晶圓之第一測量值,該測量裝置係固定於該參考座標系統內。該方法包括:將該校準晶圓交遞至該處理模組。該方法包括:將該校準晶圓接置於該旋轉裝置。該方法包括:使用該旋轉裝置,使該校準晶圓旋轉一角度。該方法包括:使用該TM機器人,將該校準晶圓移出該處理模組。該方法包括:當將該校準晶圓輸送至出站負載鎖時,使用該測量裝置,於該參考座標系統內測定該校準晶圓之第二測量值。該方法包括:根據該第一測量值及該第二測量值,測定該旋轉軸之該條件修正量,該條件修正量係對應於當該處理模組於一條件下時,該旋轉軸偏離該初始校正位置之該偏移。Embodiments of the invention include a system for processing a wafer. The system includes a processing module including a rotating device having a rotating shaft. The system includes a reference coordinate system that is based on an initial correction position of the rotation axis of the rotation device. The system includes a transfer module (TM) robot configured to move a wafer into or out of a processing module. The system includes a measurement device fixed in the reference coordinate system, and the measurement device intercepts wafers moved into or out of the processing module. The system includes a processor and a memory. The memory is coupled to the processor and has instructions stored therein. When the instructions are executed by the processor, the processor executes a calibration method. The method includes: establishing a reference coordinate system according to an initial correction position of a rotation axis of a rotation device in the processing module. The method includes applying a condition to the processing module. The method includes using the TM robot to pick up a calibration wafer from an inbound load lock, the TM robot being configured to transfer the calibration wafer to the processing module. The method includes: when the calibration wafer is transferred to the processing module, using a measurement device to determine a first measurement value of the calibration wafer in the reference coordinate system, the measurement device is fixed in the reference coordinate system . The method includes: delivering the calibration wafer to the processing module. The method includes: placing the calibration wafer in the rotating device. The method includes using the rotating device to rotate the calibration wafer by an angle. The method includes using the TM robot to move the calibration wafer out of the processing module. The method includes: when the calibration wafer is delivered to an outbound load lock, using the measurement device to determine a second measurement value of the calibration wafer in the reference coordinate system. The method includes: determining the condition correction amount of the rotation axis according to the first measurement value and the second measurement value, the condition correction amount corresponding to that the rotation axis deviates from the rotation axis when the processing module is under a condition. The offset of the initial correction position.

藉由整份說明書及申請專利範圍,本領域技術人員將可理解此等及其他優點。Those skilled in the art will understand these and other advantages through the entire specification and the scope of patent application.

雖然以下詳細描述為了說明目的包含許多具體細節,但本技術領域中具有通常技術者將理解,以下細節之諸多變化及修改皆於本發明之範疇內。據此,下文所述之本發明態樣係於不對隨後申請專利範圍之一般性造成減縮且不加諸限制之情況下加以闡述。Although the following detailed description contains many specific details for the purpose of illustration, those skilled in the art will understand that many variations and modifications of the following details are within the scope of the present invention. Accordingly, the aspects of the present invention described below are described without reducing or reducing the generality of the scope of subsequent patent applications.

概括地說,本發明之各種具體實施例係描述用於校正處理模組內旋轉裝置(如旋轉基座)之旋轉軸偏移的系統及方法。如此一來,本發明之具體實施例可降低傳送至處理模組內校正位置(如旋轉軸,其中校正位置已於處理條件作用於處理模組上後移動)之載入晶圓發生錯位而導致的誤差。透過修正此條件偏移,即可降低半導體裝置及包含半導體裝置之積體電路的外型架構(form factor)。In summary, various embodiments of the present invention describe a system and method for correcting a rotation axis deviation of a rotating device (such as a rotating base) in a processing module. In this way, the specific embodiment of the present invention can reduce the misalignment of the loaded wafer that is transferred to a correction position (such as a rotating shaft, where the correction position has been moved after the processing conditions are applied to the processing module) in the processing module. The error. By correcting this conditional offset, the form factor of the semiconductor device and the integrated circuit including the semiconductor device can be reduced.

在對於諸多具體實施例有上述一般性理解下,現將參考各種圖式描述具體實施例之示例性細節。 一或更多圖式中有類似編號的元件及/或構件意指通常具有相同配置及/或功能。此外,圖式可能不是按比例繪製,而是意於說明及強調新概念。顯然地,本發明具體實施例可於不具某些或全部此等具體細節下實施。另一方面,本文不再詳細說明眾所周知的製程操作,以避免對本具體實施例造成不必要的混淆。With the foregoing general understanding of many specific embodiments, exemplary details of the specific embodiments will now be described with reference to various drawings. Similar numbered elements and / or components in one or more drawings mean generally the same configuration and / or function. In addition, the drawings may not be drawn to scale but are intended to illustrate and emphasize new concepts. Obviously, specific embodiments of the present invention may be implemented without some or all of these specific details. On the other hand, well-known process operations will not be described in detail herein to avoid unnecessary confusion to the specific embodiment.

本發明之具體實施例係關於用以校正耦接至電漿處理模組(如用於原子層沉積(ALD)及電漿輔助化學氣相沉積(PECVD)製程中之電漿處理模組)之機器人及/或工具系統的方法及設備。本發明之具體實施例可於各種處理模組配置中實施。此外,本發明之具體實施例不限於本文所提供的實施例,且可於採用不同配置、幾何構型及電漿產生技術(如電感耦合系統、電容耦合系統、電子迴旋共振系統、微波系統等)之不同電漿處理系統中實施。電漿處理系統及電漿處理模組揭露於共同擁有的美國專利案第8, 862,855號、第8,847,495號及第8,485,128號與美國專利申請案第15/369,110號中。A specific embodiment of the present invention relates to a calibration module coupled to a plasma processing module (such as a plasma processing module used in atomic layer deposition (ALD) and plasma-assisted chemical vapor deposition (PECVD) processes). Methods and equipment for robots and / or tool systems. The specific embodiments of the present invention can be implemented in various processing module configurations. In addition, the specific embodiments of the present invention are not limited to the embodiments provided herein, and may adopt different configurations, geometric configurations, and plasma generation technologies (such as inductive coupling systems, capacitive coupling systems, electronic cyclotron resonance systems, microwave systems, etc.) ) In different plasma treatment systems. Plasma processing systems and plasma processing modules are disclosed in co-owned US Patent Nos. 8,862,855, 8,847,495, and 8,485,128 and US Patent Application No. 15 / 369,110.

圖1為電漿處理系統100,其用以處理晶圓,例如於基板上形成膜,如於ALD及PECVD製程中所形成的膜。系統100係配置成用以處理晶圓,以生產半導體裝置,例如,前開式晶圓傳送盒(FOUPs)(圖未示)係配置成用以固持一或更多晶圓,並將晶圓移入及移出系統100以及於系統100中移動。FOUP可與裝載埠160連接,以傳送晶圓。尤其,於處理期間,藉由輸送模組190,晶圓可於FOUP內於設備前端模組(EFEM)150與各別處理模組(PM)110之間傳送。裝載埠160係配置成用以於前處理及後處理期間將晶圓移入和移出 EFEM 150。FIG. 1 is a plasma processing system 100 for processing a wafer, for example, forming a film on a substrate, such as a film formed in an ALD and PECVD process. System 100 is configured to process wafers to produce semiconductor devices. For example, front-open wafer transfer boxes (FOUPs) (not shown) are configured to hold one or more wafers and move wafers into And move out of the system 100 and move within the system 100. The FOUP can be connected to the load port 160 to transfer wafers. In particular, during the processing, the wafers can be transferred between the equipment front-end module (EFEM) 150 and the respective processing module (PM) 110 in the FOUP by the transfer module 190. The load port 160 is configured to move wafers into and out of the EFEM 150 during pre-processing and post-processing.

EFEM 150配置成用以於大氣與真空(PM 110之處理環境)之間移動晶圓。EFEM 150配置成用以於FOUP與負載鎖(load lock)170之間移動晶圓。輸送機器人131(如機械手臂及其相似物)係沿著軌道152,於裝載埠160與適當的負載鎖170之間傳送晶圓。與負載鎖170結合之諸多閘閥180、輸送模組190及處理模組110可用以保持或產生適當壓力(如大氣壓、真空及兩者間的過渡狀態)。閘閥180係配置成,於晶圓移動及/或處理期間用來隔絕構件,尤其是當晶圓暴露於處理系統100中之各種壓力下時。例如,閘閥180可隔絕EFEM 150、負載鎖170、輸送模組190及處理模組110。負載鎖170包含將基板(如FOUP中的晶圓)從EFEM 150傳遞至輸送模組190之輸送裝置。於進入輸送模組190所維持的真空環境前,負載鎖170可於壓力下抽真空,或是於進入EFEM 150前洩至大氣壓狀態。舉例說明,負載鎖170可耦接至真空源(圖未示),如此一來,當關上閘閥180時,可對負載鎖170進行抽氣。據此,負載鎖170可配置成用以保持所需壓力,例如在真空壓力下於負載鎖170與輸送模組190之間傳遞晶圓時,或者在大氣壓力下於負載鎖170與EFEM 150之間傳遞晶圓時。EFEM 150 is configured to move wafers between the atmosphere and vacuum (the processing environment of PM 110). The EFEM 150 is configured to move a wafer between a FOUP and a load lock 170. The transfer robot 131 (such as a robot arm and the like) transfers the wafer between the loading port 160 and the appropriate load lock 170 along the track 152. The plurality of gate valves 180, the conveying module 190, and the processing module 110 combined with the load lock 170 can be used to maintain or generate appropriate pressure (such as atmospheric pressure, vacuum, and the transition state between the two). The gate valve 180 is configured to isolate components during wafer movement and / or processing, especially when the wafer is exposed to various pressures in the processing system 100. For example, the gate valve 180 can isolate the EFEM 150, the load lock 170, the transfer module 190, and the processing module 110. The load lock 170 includes a transfer device that transfers a substrate (such as a wafer in a FOUP) from the EFEM 150 to the transfer module 190. Before entering the vacuum environment maintained by the conveying module 190, the load lock 170 can be evacuated under pressure or vented to atmospheric pressure before entering the EFEM 150. For example, the load lock 170 can be coupled to a vacuum source (not shown). In this way, when the gate valve 180 is closed, the load lock 170 can be evacuated. Accordingly, the load lock 170 may be configured to maintain a desired pressure, such as when transferring wafers between the load lock 170 and the transfer module 190 under vacuum pressure, or between the load lock 170 and the EFEM 150 under atmospheric pressure. Between wafers.

輸送模組190係配置成,透過閘閥180,將基板(如負載鎖170中的晶圓)移入及移出處理模組110。於一態樣中,該閘閥180包括可控開口(如載入門),以容許進入鄰近模組(如輸送模組190、EFEM 150、處理模組110等)。於輸送模組190內,輸送機器人132(如機械手臂及其相似物)係配置成利用軌道133於真空環境下移動處理晶圓101,例如於處理模組110之間傳遞晶圓,或移入及移出負載鎖170。該輸送模組190及處理模組110通常於真空下操作,且可與一或更多真空源(圖未示)耦接,以維持適當的真空壓力。The transfer module 190 is configured to move a substrate (such as a wafer in the load lock 170) into and out of the processing module 110 through the gate valve 180. In one aspect, the gate valve 180 includes a controllable opening (such as a loading door) to allow access to adjacent modules (such as the transport module 190, EFEM 150, processing module 110, etc.). Within the transfer module 190, a transfer robot 132 (such as a robotic arm and the like) is configured to use the track 133 to move the processing wafer 101 in a vacuum environment, such as transferring wafers between the processing modules 110, or moving in and Remove the load lock 170. The conveying module 190 and the processing module 110 are usually operated under vacuum, and can be coupled with one or more vacuum sources (not shown) to maintain a proper vacuum pressure.

一或更多處理模組110可耦接至輸送模組190。每一處理模組110係配置成用以處理晶圓,或者任何須於真空或其他受控環境下進行處理之合適標的物。該處理模組110可為單一站或多站配置。該繪出的處理模組110包含有四個處理站,其於圖1所示之具體實施例中是從1至4編號。舉例說明,該處理模組110可配置成用以執行一或更多半導體製程。於一態樣中,該處理模組110包含有一電漿處理腔室。一般而言,該處理模組110可藉由各種機構,以產生電漿,如電感耦合(變壓器耦合)、螺旋波、電子迴旋共振、電容耦合(平行板)。例如,可於變壓器偶合式電漿(TCPTM)處理腔室中或電子迴旋共振(ECR)處理腔室中,產生高密度電漿。提供高密度電漿之高流量電漿處理腔室或處理模組的例子已揭露於共同擁有的美國專利案第5,948,704號中。為了說明位於處理模組中之腔室,已於共同擁有之美國專利案第4,340,462號、第4,948,458號、第 5,200,232號及第5,820,723號中揭露平行板電漿處理腔室、電子迴旋共振(ECR)電漿處理腔室、及變壓器偶合式電漿(TCPTM)處理腔室。One or more processing modules 110 may be coupled to the transport module 190. Each processing module 110 is configured to process a wafer, or any suitable subject that must be processed in a vacuum or other controlled environment. The processing module 110 may be configured in a single station or multiple stations. The drawn processing module 110 includes four processing stations, which are numbered from 1 to 4 in the specific embodiment shown in FIG. 1. For example, the processing module 110 may be configured to perform one or more semiconductor processes. In one aspect, the processing module 110 includes a plasma processing chamber. Generally speaking, the processing module 110 can generate plasma by various mechanisms, such as inductive coupling (transformer coupling), spiral wave, electronic cyclotron resonance, and capacitive coupling (parallel plate). For example, high-density plasmas can be generated in a transformer coupled plasma (TCPTM) processing chamber or an electron cyclotron resonance (ECR) processing chamber. An example of a high-flow plasma processing chamber or processing module providing a high-density plasma is disclosed in commonly owned US Patent No. 5,948,704. In order to illustrate the chamber located in the processing module, parallel plate plasma processing chambers, electronic cyclotron resonance (ECR) have been disclosed in commonly-owned U.S. Patent Nos. 4,340,462, 4,948,458, 5,200,232, and 5,820,723. Plasma processing chamber and transformer coupled plasma (TCPTM) processing chamber.

圖2為多站處理工具或處理模組110之頂部圖,其提供四個處理站。此頂部圖為下腔室部102b(例如移除頂腔室部以作說明),其中四個處理站係藉由十字叉(spider forks)226作動。每個十字叉或叉狀物包含第一及第二臂,其每一臂係圍繞基座140各側的一部分。於此圖中,該些十字叉226係以虛線繪示,以表達其位於載環200下方。使用接合(engagement)且旋轉機構220之該些十字叉226係配置成,同時從處理站抬起並升起載環200(即,從載環200的下表面),接著於降下載環200前(其中至少一載環支撐晶圓101)前旋轉至少一或更多處理站至下一個位置,據此可於相應的晶圓101上進行進一步的電漿加工、處理及/或膜沉積。FIG. 2 is a top view of a multi-station processing tool or processing module 110, which provides four processing stations. This top view is the lower chamber portion 102b (for example, the top chamber portion is removed for illustration), in which four processing stations are operated by spider forks 226. Each cross or fork includes first and second arms, each of which surrounds a portion of each side of the base 140. In this figure, the crosses 226 are shown by dashed lines to indicate that they are located below the carrier ring 200. The crosses 226 using the engagement and rotation mechanism 220 are configured to lift and lift the load ring 200 (ie, from the lower surface of the load ring 200) from the processing station at the same time, and then lower the load ring 200 before (At least one carrier ring supports the wafer 101) At least one or more processing stations are rotated forward to the next position, and further plasma processing, processing, and / or film deposition can be performed on the corresponding wafer 101 accordingly.

圖3為具有入站負載鎖302及出站負載鎖304之多站處理工具或處理模組110之具體實施例的示意圖。大氣壓力下的機器人131係配置成,經由大氣埠310,將基板自經由盒(pod)308裝載之匣移至入站負載鎖302中。入站負載鎖302係耦接至真空源(圖未示),據此,當關上大氣埠310時,可對入站負載鎖302抽氣。入站負載鎖302亦包括與處理腔室102b連接之腔室輸送埠316。因此,當打開腔室輸送埠316時,另一機器人(圖未示,如真空輸送模組190之機器人312)可將基板自入站負載鎖302移動至第一處理站之基座140,以進行處理。FIG. 3 is a schematic diagram of a specific embodiment of a multi-station processing tool or processing module 110 having an inbound load lock 302 and an outbound load lock 304. The robot 131 under atmospheric pressure is configured to move the substrate from the magazine loaded via the pod 308 to the inbound load lock 302 via the atmospheric port 310. The inbound load lock 302 is coupled to a vacuum source (not shown). Accordingly, when the atmospheric port 310 is closed, the inbound load lock 302 can be evacuated. The inbound load lock 302 also includes a chamber transfer port 316 connected to the processing chamber 102b. Therefore, when the chamber transfer port 316 is opened, another robot (not shown, such as the robot 312 of the vacuum transfer module 190) can move the substrate from the inbound load lock 302 to the base 140 of the first processing station to For processing.

繪出的處理腔室102b包括四個處理站,其於圖3所示的具體實施中從1至4編號。於某些具體實施例中,處理腔室102b可配置成用以保持低壓環境,使基板可於未遭遇真空破壞及/或未遭遇空氣曝露下,利用載環200於處理站間輸送。繪於圖3之每一處理站包括處理站基板固件(示於處理站1之318處)及處理器體傳輸管線入口。The drawn processing chamber 102b includes four processing stations, which are numbered from 1 to 4 in the specific implementation shown in FIG. In certain embodiments, the processing chamber 102b may be configured to maintain a low-pressure environment, so that the substrate can be transported between processing stations using the carrier ring 200 without being damaged by vacuum and / or without being exposed to air. Each processing station depicted in FIG. 3 includes a processing station substrate firmware (shown at 318 of processing station 1) and a processor body transmission pipeline entrance.

圖3亦繪示用以於處理腔室102b內傳遞基板的十字叉226。該些十字叉226旋轉晶圓並可將晶圓從一處理站輸送至另一處理站。藉由使十字叉226從外部下表面升抬載環200,以抬起晶圓,並將晶圓及載具一起轉到下一處理站,即可達成輸送。於一態樣中,該些十字叉226係由陶瓷材料製成,以於處理期間可承受高熱水平。FIG. 3 also illustrates a cross 226 for transferring a substrate in the processing chamber 102b. The crosses 226 rotate the wafer and can transport the wafer from one processing station to another processing station. By lifting the cross fork 226 from the outer lower surface to the load ring 200 to lift the wafer, and transferring the wafer and the carrier to the next processing station together, transport can be achieved. In one aspect, the crosses 226 are made of ceramic material to withstand high heat levels during processing.

圖4A至4E為測定偏移之過程圖,其係自處理模組內旋轉裝置之旋轉軸的初始校正位置偏移,於本發明具體實施例中,該偏移是由施加於處理模組上之處理條件所導致的。4A to 4E are process diagrams for measuring the offset, which is an initial correction position offset from the rotation axis of the rotating device in the processing module. In a specific embodiment of the present invention, the offset is applied to the processing module Due to processing conditions.

特別地,圖4A顯示本發明一具體實施例之載入校準晶圓405,其載入至多站處理模組,且示出載入校準晶圓405之方位,用以確定處理條件下處理模組110內之裝置旋轉軸的偏移。特別地,機器人132係通過閘閥180,將校準晶圓從真空輸送模組190傳送至處理模組110。校準晶圓405係被輸送至最靠近閘閥180之處理站140。處理站140可包括用以支撐晶圓之基座。校準晶圓405的方位係由凹口406標示,其中於載入方位中,該凹口406係指向處理站140,使得凹口406隨著載入校準晶圓450先進入閘閥或先通過AWC感測器410。In particular, FIG. 4A shows a loading calibration wafer 405 according to an embodiment of the present invention, which is loaded into a multi-station processing module, and shows the orientation of the loading calibration wafer 405 to determine the processing module under processing conditions. Offset of device rotation axis within 110. Specifically, the robot 132 transfers the calibration wafer from the vacuum transfer module 190 to the processing module 110 through the gate valve 180. The calibration wafer 405 is transferred to the processing station 140 closest to the gate valve 180. The processing station 140 may include a pedestal to support the wafer. The orientation of the calibration wafer 405 is indicated by a notch 406. In the loading orientation, the notch 406 is directed to the processing station 140, so that the notch 406 first enters the gate valve or passes through the AWC sensor as the calibration wafer 450 is loaded.测 器 410 410.

如先前所述,處理模組110係配置成於真空或受控環境下處理晶圓。例如,該處理模組110可配置成用以執行一或更多半導體製程。舉例來說,處理模組110包括用以產生電漿之多站電漿處理腔室,以利於各種製程,包括於沉積期間沉積材料或蝕刻製程,如ALD及PECVD製程。該腔室可包括一或更多電極、基板支撐件、基板支撐件中之靜電吸盤(配置成包含施加高電壓偏壓之電極,以產生使晶圓保持位置之靜電固持力)、一或更多氣體噴頭、氣體控制機構,以控制基板支撐件與噴頭間之間距。為達簡潔清楚目的,不再詳述本領域技術人員所熟知之腔室及/或處理模組110的各種其他構件,但其可被預期且獲得完全支持。As described previously, the processing module 110 is configured to process wafers in a vacuum or controlled environment. For example, the processing module 110 may be configured to perform one or more semiconductor processes. For example, the processing module 110 includes a multi-station plasma processing chamber for generating plasma to facilitate various processes, including depositing materials or etching processes during deposition, such as ALD and PECVD processes. The chamber may include one or more electrodes, a substrate support, an electrostatic chuck in the substrate support (configured to include an electrode applying a high voltage bias to generate an electrostatic holding force that holds the wafer in place), one or more Multi-gas nozzle and gas control mechanism to control the distance between the substrate support and the nozzle. For the sake of brevity and clarity, the various other components of the chamber and / or processing module 110 that are well known to those skilled in the art will not be described in detail, but it can be expected and fully supported.

此外,處理站140可包括用以旋轉之升抬墊(亦表示為扭墊)。該升抬墊係配置成用以將晶圓抬離基座140,並相對於處理模組110及/或對應的基座140旋轉設置於其上的晶圓。為說明目的,該升抬墊可用於進行ALD及PECVD製程及/或應用之處理模組110內。例如,一或更多馬達可配置成用以升抬晶圓處理基座140 (如現有基座升抬裝置的功能),並用升抬墊將晶圓抬離基座。於一具體實施例中,升抬墊的尺寸與晶片大致相同。於另一具體實施例中,升抬墊的尺寸小於晶圓。該升抬墊可與基座分開控制,使得升抬墊可與基座分開,以達旋轉目的。例如,一旦升抬墊與基座分開,由升抬墊支撐的晶圓即隨著升抬墊旋轉而轉動。據此,基座140與圍住基座的處理腔室或處理模組係相對於旋轉中的升抬墊保持固定。In addition, the processing station 140 may include a lifting pad (also referred to as a twist pad) for rotation. The lifting pad is configured to lift the wafer away from the susceptor 140 and rotate the wafer disposed thereon relative to the processing module 110 and / or the corresponding susceptor 140. For illustration purposes, the lifting pad may be used in a processing module 110 for ALD and PECVD processes and / or applications. For example, one or more motors may be configured to lift the wafer processing pedestal 140 (as a function of an existing pedestal lifting device), and use a lifting pad to lift the wafer away from the pedestal. In a specific embodiment, the size of the lifting pad is substantially the same as that of the wafer. In another specific embodiment, the size of the lift pad is smaller than the wafer. The lifting pad can be controlled separately from the base, so that the lifting pad can be separated from the base for the purpose of rotation. For example, once the lifting pad is separated from the base, the wafer supported by the lifting pad rotates as the lifting pad rotates. According to this, the base 140 and the processing chamber or processing module surrounding the base are kept fixed relative to the lifting pad in rotation.

於本發明之具體實施例中,為了測定處理模組110內裝置之旋轉軸的偏移(此偏移係由施加於處理模組110上之處理條件所引起),可使用任何位於處理模組110內的旋轉裝置,進行晶圓旋轉。例如,旋轉裝置可位於心軸或十字叉的末端執行器(end effector)上,以旋轉處理模組110內之處理站及/或基座140。心軸的一類型可為先前圖2所提及的旋轉機構220及/或十字叉226。該旋轉裝置係配置成隨著整個心軸於處理站140之間垂直旋轉而轉動晶圓。例如,於具體實施例中,末端執行器上之旋轉裝置可以順時針或逆時針方式於0-180度之間有效地旋轉晶圓,同時心軸係將晶圓從四站或多站處理模組110內的一處理站輸送至另一處理站(如相隔90、180或270度的處理站)。該晶圓旋轉機構及/或裝置係同心地設於正進行晶圓輸送之心軸末端執行器上。In a specific embodiment of the present invention, in order to determine the offset of the rotation axis of the device in the processing module 110 (this offset is caused by the processing conditions applied to the processing module 110), any processing module can be used. The rotating device in 110 performs wafer rotation. For example, the rotating device may be located on an end effector of a mandrel or a cross to rotate the processing station and / or the base 140 in the processing module 110. One type of mandrel may be the rotating mechanism 220 and / or the cross fork 226 mentioned in FIG. 2. The rotating device is configured to rotate the wafer as the entire mandrel rotates vertically between the processing stations 140. For example, in a specific embodiment, the rotating device on the end effector can effectively rotate the wafer between 0-180 degrees clockwise or counterclockwise, and the mandrel system simultaneously moves the wafer from four or more processing stations. A processing station in group 110 is transferred to another processing station (eg, processing stations separated by 90, 180, or 270 degrees). The wafer rotating mechanism and / or device are arranged concentrically on a spindle end effector that is performing wafer transfer.

如圖4A所示,閘閥180可包括晶圓自動定心(active wafer centering,AWC)感測器410。該些AWC感測器410配置成用以進行輸送中之晶圓位置的測量及修正,如下圖4E、6A-6B及7進一步所述。例如,該些AWC感測器410可為垂直安裝的穿束(through-beam)感測器。該些AWC感測器410可安裝成使相應光束沿Z軸(垂直於圖4A頁面)延伸。據此,AWC感測器410可偵測到其各自光束何時被破壞,例如,當不透光物體(如晶圓或一部分的末端執行器)阻隔其光束時。一般而言,於晶圓傳送時,晶圓可觸發AWC感測器410兩次或更多次(例如,晶圓可於一方向上穿過AWC感測器410,或是來回穿過,以增加資料點的數量)。可觸發晶圓上多達四個點,用以測量參考座標系統(圖未示)內之晶圓的定位/位置(如晶圓的中心)。該定位可用於對位修正,並用以測定處理模組110內旋轉裝置之旋轉軸的條件偏移。例如,AWC感測器410可為測量裝置的一部分,該測量裝置係用以量測相對於資料校正組之晶圓位置。資料校正組產生校正參考測量值,其對準於旋轉軸的初始校正位置(如於冷設定期間)。於工具設定期間,利用定心技術(如特徵對位),將晶圓置於基座140中心上。該校準晶圓405係由機器人132取起,且校準晶圓405全速移入或移出處理模組110,同時於對應AWC感測器410之感測光束被破壞時記錄參考座標系統內校準晶圓之機器人位置(例如,其中測量裝置固定於參考座標系統內)。該測量資料係用以確定參考座標系統內之晶圓位置。將AWC感測器用於校正機器人之例子係揭露於共同擁有的美國專利案第6,934,606號中。As shown in FIG. 4A, the gate valve 180 may include an active wafer centering (AWC) sensor 410. The AWC sensors 410 are configured to measure and correct the wafer position during transportation, as further described in FIGS. 4E, 6A-6B, and 7 below. For example, the AWC sensors 410 may be vertically-mounted through-beam sensors. The AWC sensors 410 may be installed so that the corresponding light beams extend along the Z axis (perpendicular to the page of FIG. 4A). Accordingly, the AWC sensor 410 can detect when its respective light beam is destroyed, for example, when an opaque object (such as a wafer or a part of an end effector) blocks its light beam. In general, the wafer can trigger the AWC sensor 410 two or more times during wafer transfer (for example, the wafer can pass through the AWC sensor 410 in one direction or pass back and forth to increase Number of data points). Up to four points on the wafer can be triggered to measure the positioning / position of the wafer (such as the center of the wafer) within a reference coordinate system (not shown). This positioning can be used for alignment correction and to determine the conditional deviation of the rotation axis of the rotation device in the processing module 110. For example, the AWC sensor 410 may be part of a measurement device that is used to measure the wafer position relative to the data correction group. The data calibration group generates a calibration reference measurement that is aligned with the initial calibration position of the rotation axis (such as during a cold setting). During the setting of the tool, the wafer is placed on the center of the pedestal 140 by using the centering technology (such as feature alignment). The calibration wafer 405 is picked up by the robot 132, and the calibration wafer 405 is moved into or out of the processing module 110 at full speed. At the same time, when the sensing beam corresponding to the AWC sensor 410 is destroyed, the calibration wafer in the reference coordinate system is recorded. Robot position (for example, where the measuring device is fixed in a reference coordinate system). The measurement data is used to determine the wafer position within the reference coordinate system. An example of the use of an AWC sensor for calibrating a robot is disclosed in commonly owned US Patent No. 6,934,606.

圖4B係顯示本發明一具體實施例之載出校準晶圓405,其自圖4A所述之多站處理模組110輸出,並示出載出校準晶圓405之方位,用以確定處理條件下處理模組內之裝置旋轉軸的偏移。特別地,機器人132係通過閘閥180,將校準晶圓從處理模組110傳送至輸送模組190。校準晶圓405之方位係由凹口406(於輸出方位)標示,該凹口406已被旋轉一角度並背向處理站140,據此,對於載出校準晶圓405,凹口406係先進入閘閥或先通過AWC感測器410。亦即,晶圓已於載入校準晶圓405與載出校準晶圓405兩方位之間旋轉將近180度。於具體實施例中,校準晶圓405的旋轉可為大於0度與等於或小於180度範圍之間的角度,用以測定處理條件下處理模組之旋轉作動的偏移。於具體實施例中,晶圓旋轉角度可為下述其中一角度:約5、10、20、25、30、35、40、45、55、60、65、70、75、80、85、90、95、100、105、 110、115、120、125、130、135、140、145、150、155、160、165、170、175及180度。於具體實施例中,校準晶圓405之旋轉可以是定義於有效範圍內之有效角度,其中一範圍係定義為大於0且大到包括15度,另一範圍定義為5至20度之間,另一範圍定義為10至25度之間,另一範圍定義為15至30度之間,另一範圍定義為20至35度之間,另一範圍定義為25至40度之間,另一範圍定義為30至45度之間,另一範圍定義為35至50度之間,另一範圍定義為40至55度之間,另一範圍定義為45至60度之間,另一範圍定義為50至65度之間,另一範圍定義為55至70度之間,另一範圍定義為60至75度之間,另一範圍定義為65至80度之間,另一範圍定義為70至85度之間,另一範圍定義為75至90度之間,另一範圍定義為80至95度之間,另一範圍定義為85至100度之間,另一範圍定義為90至105度之間,另一範圍定義為95至110度之間,另一範圍定義為100至115度之間,另一範圍定義為105至120度之間,另一範圍定義為110至125度之間,另一範圍定義為115至130度之間,另一範圍定義為120至135度之間,另一範圍定義為125至140度之間,另一範圍定義為130至145度之間,另一範圍定義為135至150度之間,另一範圍定義為140至155度之間,另一範圍定義為145至160度之間,另一範圍定義為150至165度之間,另一範圍定義為155至170度之間,另一範圍定義為160至175度之間,另一範圍定義為165至180度之間,另一範圍定義為170至185度之間,另一範圍定義為175至190度之間。FIG. 4B shows a calibration wafer 405 carried out in a specific embodiment of the present invention, which is output from the multi-station processing module 110 described in FIG. 4A and shows the orientation of the calibration wafer 405 carried out to determine processing conditions. Offset of the rotation axis of the device in the lower processing module. Specifically, the robot 132 transfers the calibration wafer from the processing module 110 to the transfer module 190 through the gate valve 180. The orientation of the calibration wafer 405 is indicated by a notch 406 (at the output orientation). The notch 406 has been rotated by an angle and faces away from the processing station 140. Accordingly, the notch 406 is Enter the gate valve or pass AWC sensor 410 first. That is, the wafer has been rotated approximately 180 degrees between the two orientations of the loading calibration wafer 405 and the loading calibration wafer 405. In a specific embodiment, the rotation of the calibration wafer 405 may be an angle between a range of greater than 0 degrees and equal to or less than 180 degrees, which is used to determine the deviation of the rotation action of the processing module under the processing conditions. In a specific embodiment, the wafer rotation angle may be one of the following angles: about 5, 10, 20, 25, 30, 35, 40, 45, 55, 60, 65, 70, 75, 80, 85, 90 , 95, 100, 105, 110, 115, 120, 125, 130, 135, 140, 145, 150, 155, 160, 165, 170, 175 and 180 degrees. In a specific embodiment, the rotation of the calibration wafer 405 may be an effective angle defined within a valid range, where one range is defined as greater than 0 and as large as 15 degrees, and the other is defined as between 5 and 20 degrees Another range is defined between 10 and 25 degrees, another range is defined between 15 and 30 degrees, another range is defined between 20 and 35 degrees, another range is defined between 25 and 40 degrees, and another Range is defined between 30 and 45 degrees, another range is defined between 35 and 50 degrees, another range is defined between 40 and 55 degrees, another range is defined between 45 and 60 degrees, and another range is defined 50 to 65 degrees, another range is defined as 55 to 70 degrees, another range is defined as 60 to 75 degrees, another range is defined as 65 to 80 degrees, and another range is defined as 70 Between 85 and 85 degrees, another range is defined between 75 and 90 degrees, another range is defined between 80 and 95 degrees, another range is defined between 85 and 100 degrees, and another range is defined between 90 and 105 Between degrees, another range is defined between 95 and 110 degrees, another range is defined between 100 and 115 degrees, and another range is defined between 105 and 120 degrees Another range is defined between 110 and 125 degrees, another range is defined between 115 and 130 degrees, another range is defined between 120 and 135 degrees, another range is defined between 125 and 140 degrees, and another Range is defined between 130 and 145 degrees, another range is defined between 135 and 150 degrees, another range is defined between 140 and 155 degrees, another range is defined between 145 and 160 degrees, and another range is defined Between 150 and 165 degrees, another range is defined between 155 and 170 degrees, another range is defined between 160 and 175 degrees, another range is defined between 165 and 180 degrees, and another range is defined as 170 To 185 degrees, another range is defined as 175 to 190 degrees.

圖4C係顯示本發明一具體實施例測定處理條件(如高溫、真空等)下處理模組110內旋轉裝置(如升抬墊)之旋轉軸的偏移,其係利用先前於圖4A至4B所述之載入校準晶圓405及載出校準晶圓405的測量值。當處理模組110於處理條件下時,本發明之具體實施例係用以指示機器人(如TM機器人132)至處理站(如移動至基座140之中心)。對於機器人132之每一臂,TM機器人132之運動座標系統可為徑向(R)、θ(T)及垂直(Z)。TM機器人132之另一運動座標系統可為X軸、Y軸及Z軸。還有其他座標系統可獲支持。以往,將任何感測器放置於處理模組110內,是大多數PECVD或ALD半導體處理應用所面臨的挑戰,其原因在於,此會導致感測器處於高溫(如攝氏650度)下或可能於真空下。亦即,感測器於處理條件施加於處理模組110上時是無法操作的。據此,於本發明之具體實施例之前,並無法測定施加處理條件至處理模組所導致之處理模組任一點的偏移。FIG. 4C shows the deviation of the rotation axis of the rotating device (such as a lifting pad) in the processing module 110 under the processing conditions (such as high temperature, vacuum, etc.) according to a specific embodiment of the present invention. The measured values of the loaded calibration wafer 405 and the loaded calibration wafer 405 are described. When the processing module 110 is under processing conditions, a specific embodiment of the present invention is used to instruct a robot (such as TM robot 132) to a processing station (such as moving to the center of the base 140). For each arm of the robot 132, the motion coordinate system of the TM robot 132 may be radial (R), θ (T), and vertical (Z). Another kinematic coordinate system of the TM robot 132 may be an X-axis, a Y-axis, and a Z-axis. There are other coordinate systems that can be supported. In the past, placing any sensor in the processing module 110 has been a challenge for most PECVD or ALD semiconductor processing applications, because it can cause the sensor to be exposed to high temperatures (such as 650 degrees Celsius) or possibly Under vacuum. That is, the sensor cannot be operated when processing conditions are applied to the processing module 110. According to this, before the specific embodiment of the present invention, it is not possible to determine the offset of any point of the processing module caused by applying the processing conditions to the processing module.

本發明具體實施例係利用處理模組110內之旋轉裝置,將校準晶圓405旋轉一角度(於載入校準晶圓405與載出校準晶圓405方位之間),並使用位於處理模組110外之測量裝置(如AWC感測器410),量測載入及載出校準晶圓405,以測定處理模組110內旋轉裝置之旋轉軸的偏移。具體地說,(所測得的)載入校準晶圓405至(所測得的)載出校準晶圓之移動,係指旋轉裝置之旋轉軸的偏移,其係由施加處理條件至處理模組110上所導致的,此將於圖6A至6B中進一步描述。The specific embodiment of the present invention uses the rotating device in the processing module 110 to rotate the calibration wafer 405 by an angle (between the orientation of the loaded calibration wafer 405 and the orientation of the carried out calibration wafer 405), and uses the processing module A measurement device (such as AWC sensor 410) outside 110 measures the loading and unloading of the calibration wafer 405 to determine the offset of the rotation axis of the rotating device in the processing module 110. Specifically, the movement of the (measured) loading calibration wafer 405 to the (measured) loading calibration wafer refers to the offset of the rotation axis of the rotating device, which is from the application of processing conditions to the processing Caused by module 110, this will be further described in FIGS. 6A to 6B.

一般來說,可使用AWC感測器410(如測量值#1),量測相對於AWC座標系之載入校準晶圓405偏移(如測得偏移420)。例如,該偏移係從AWC座標系所定義之完美對位晶圓測量值(如AWC座標系的中心)測得。晶圓旋轉後,AWC感測器410可利用載出校準晶圓405上的AWC感測器410再次量測晶圓偏移(如測得偏移425)。亦即,可基於工具設定期間所建立之參考座標系(如AWC座標系),來量測系統中特定點處(例如,當晶圓於AWC感測器410處穿過閘閥180時)之載入校準晶圓405及載出校準晶圓405的位置,其中該參考座標系是對應於完美對準待放置晶圓之基座中心(如旋轉軸)初始校正位置(如指示位置)的載入及載出晶圓。測量(如參考座標系中之偏移端點)間的差異應該僅是"偏移晶圓旋轉"或旋轉裝置之旋轉軸偏移的結果。該差異可以參考座標系內兩測得位置間之向量來表示。假設旋轉裝置有相對於其中心軸(如旋轉軸)(如心軸末端執行器或基座140之升抬墊的中心軸)之可忽略的徑向偏差,AWC測量的差異應為晶圓相對於基座之偏移量的兩倍,此將於圖6A至6B中進一步描述。此定義出,處理模組於處理條件下時,將晶圓交遞至基座140中心時所需的指示位置變化。Generally, an AWC sensor 410 (such as measurement value # 1) can be used to measure the offset (such as the measured offset 420) relative to the loaded calibration wafer 405 of the AWC coordinate system. For example, the offset is measured from a perfectly aligned wafer measurement (such as the center of the AWC coordinate system) as defined by the AWC coordinate system. After the wafer is rotated, the AWC sensor 410 can use the AWC sensor 410 carried on the calibration wafer 405 to measure the wafer offset again (such as the measured offset 425). That is, the load at a specific point in the system (eg, when the wafer passes through the gate valve 180 at the AWC sensor 410) can be measured based on a reference coordinate system (such as the AWC coordinate system) established during the tool setup. The position of the calibration wafer 405 in and out of the calibration wafer 405, where the reference coordinate system is the loading corresponding to the initial calibration position (such as the indicated position) perfectly aligned with the center (such as the rotation axis) of the base of the wafer to be placed. And carry out the wafer. The difference between measurements (such as offset endpoints in the reference coordinate system) should only be the result of "offset wafer rotation" or the rotation axis rotation of the rotating device. The difference can be expressed by referring to the vector between two measured positions in the coordinate system. Assuming that the rotating device has a negligible radial deviation with respect to its central axis (such as the rotary axis) (such as the central axis of the end effector of the mandrel or the lifting pad of the base 140), the difference in AWC measurement should be the wafer relative Twice the offset of the base, which will be further described in FIGS. 6A to 6B. This definition defines that the processing module changes the indication position required when the wafer is delivered to the center of the susceptor 140 under the processing conditions.

圖4D係顯示本發明一具體實施例中計算偏移修正向量及/或條件修正向量之示例。特別地,x和y座標中之偏移修正向量係基於至少下述測量值:入站AWC值及出站AWC值。FIG. 4D shows an example of calculating an offset correction vector and / or a condition correction vector in a specific embodiment of the present invention. In particular, the offset correction vectors in the x and y coordinates are based on at least the following measurements: inbound AWC values and outbound AWC values.

圖4E為流程圖400E,其顯示本發明一具體實施例測定處理條件下處理模組中之基座偏移(溫度引起之偏移)的方法。為了測定溫度引起之偏移,處理模組係置於用以處理晶圓之相同處理條件下。例如,將處理模組置於處理晶圓時的溫度條件下。所選擇的適當溫度係取決於使用的製程。本發明之方法係參考電漿處理系統100之特定構件進行討論,其中流程圖400E可於上述參考晶圓處理系統100中實施。FIG. 4E is a flowchart 400E, which shows a method for determining a base offset (temperature-induced offset) in a processing module under processing conditions according to a specific embodiment of the present invention. To determine the temperature-induced offset, the processing module is placed under the same processing conditions used to process the wafer. For example, the processing module is placed under a temperature condition when processing a wafer. The appropriate temperature chosen depends on the process used. The method of the present invention is discussed with reference to specific components of the plasma processing system 100. The flowchart 400E can be implemented in the reference wafer processing system 100 described above.

於450中,該方法包括:藉由機器人,將晶圓傳送至單一或多站處理模組之基座,並偵測移入偏移值。該晶圓可為校正程序期間所使用的校準晶圓。該機器人可為真空輸送模組內的機器人,如機器人132。基座可配置為旋轉裝置,以使基座本身或基座的構件可旋轉。移入偏移值係從定義於參考座標系統(基於處理模組內之基座的初始校正位置)中之校正參考測量值來測得。特別地,校正參考測量值定義出進入處理模組並完美對準設於基座中心之完美對位晶圓。該校正參考測量值可於處理模組未於處理條件下時測定,此將結合圖5A進一步描述。In 450, the method includes: transferring a wafer to a base of a single or multi-station processing module by a robot, and detecting a shift-in offset value. The wafer may be a calibration wafer used during a calibration procedure. The robot may be a robot in a vacuum conveying module, such as the robot 132. The base can be configured as a rotating device so that the base itself or components of the base can be rotated. The shift-in offset value is measured from a calibrated reference measurement value defined in a reference coordinate system (based on the initial calibration position of the base in the processing module). In particular, the calibration reference measurement defines a perfectly aligned wafer entering the processing module and perfectly aligned at the center of the pedestal. The calibration reference measurement value can be determined when the processing module is not under processing conditions, which will be further described in conjunction with FIG. 5A.

於455中,該方法包括:將基座上之晶圓旋轉一角度。特別地,先前所敘及之基座組體可包括一基座及一升抬墊,其中該升抬墊係配置成可相對於基座旋轉。例如,晶圓可置於基座組件上。該升抬墊可與基座分開,並沿著或繞著旋轉軸(如定義基座中心的軸)旋轉,且該升抬墊係於定義角度之至少第一角度方向與第二角度方向之間相對於基座旋轉。At 455, the method includes rotating the wafer on the pedestal by an angle. In particular, the previously described base assembly may include a base and a lifting pad, wherein the lifting pad is configured to be rotatable relative to the base. For example, a wafer may be placed on a pedestal assembly. The lifting pad can be separated from the base and rotated along or about a rotation axis (such as the axis defining the center of the base), and the lifting pad is at least between a first angle direction and a second angle direction that define an angle Rotate relative to the base.

於460中,該方法包括:藉由機器人將晶圓從基座上移開,並量測移出偏移值。該移出偏移值係從定義於參考座標系統中之校正參考測量值來測得。In 460, the method includes: removing the wafer from the pedestal by a robot, and measuring the offset value. The shift-out offset value is measured from a corrected reference measurement value defined in a reference coordinate system.

於465中,該方法包括:利用移入偏移值及移出偏移值,測定溫度所引起的偏移量值及方向(如向量分量)。如前所述,測量(如參考座標系中之偏移端點)間的差異應該僅是"偏移晶圓旋轉"或旋轉裝置之旋轉軸偏移的結果。該差異可以參考座標系內兩測得位置間之向量來表示。特別地,溫度所引起的偏移係對應於,處理模組於處理溫度下時之基座中心相對於初始校正位置(如冷指示位置)之移動或偏移。從差異向量中,將差異向量的量值減半,即可測定基座中心相對於其初始校正位置之偏移(溫度引起之偏移)。具體地說,向量的中點定義出相對於校正參考測量值之溫度導致偏移的端點,此校正參考測量值係對準於(或轉成)基座初始校正位置。基座中心之溫度修正可基於溫度引起的偏差來決定。In 465, the method includes: using a shift-in offset value and a shift-out offset value to determine an offset value and a direction (such as a vector component) caused by temperature. As mentioned earlier, the differences between measurements (such as offset endpoints in the reference coordinate system) should only be the result of "offset wafer rotation" or offset of the rotation axis of the rotating device. The difference can be expressed by referring to the vector between two measured positions in the coordinate system. In particular, the offset caused by temperature corresponds to the movement or offset of the center of the base of the processing module relative to the initial calibration position (such as the cold indication position) when the processing module is at the processing temperature. From the difference vector, the magnitude of the difference vector is halved to determine the offset (temperature-induced offset) of the center of the base relative to its initial correction position. Specifically, the midpoint of the vector defines the end point of the offset caused by the temperature relative to the calibration reference measurement, which is aligned (or converted) to the initial calibration position of the base. The temperature correction in the center of the base can be determined based on the temperature-induced deviation.

透過電漿處理系統100之各種模組及電漿處理模組110之詳加敘述,圖5A至5C之流程圖500A至500C揭露一種測定校正參考測量值、處理模組之條件修正量及製程下之載入晶圓對位修正量的方法。本發明之方法500A及其他方法(如方法400E、500B及500C)係於參考電漿處理系統100之特定構件下進行討論,其中流程圖500A至500C係於上述參考的晶圓處理系統100中實施。例如,採用系統100之各種感測器及構件,以利於TM機器人132之校正及處理模組110內旋轉裝置之旋轉軸偏移測定。Through detailed description of various modules of the plasma processing system 100 and the plasma processing module 110, the flowcharts 500A to 500C of FIGS. 5A to 5C disclose a measurement calibration reference measurement value, a condition correction amount of the processing module and a manufacturing process Method for loading wafer alignment correction amount. The method 500A and other methods (such as methods 400E, 500B, and 500C) of the present invention are discussed with reference to specific components of the plasma processing system 100, where flowcharts 500A to 500C are implemented in the above-mentioned reference wafer processing system 100 . For example, various sensors and components of the system 100 are used to facilitate the calibration of the TM robot 132 and the measurement of the rotation axis offset of the rotating device in the processing module 110.

尤其,於本發明一具體實施例中,流程圖500A揭露一種測定校準晶圓之校正參考測量值(如初始化位置)的方法,此校準晶圓係由輸送模組(TM)機器人固持並由測量裝置量測,其中校正參考測量值的位置對準於處理模組內旋轉裝置之旋轉軸的初始校正位置。流程圖500A可結合各種製程實施並可能包括各種製程,此所述之各種製程例如為執行於真空輸送模組190之TM機器人132校正之製程。特別地,可進行流程圖500A,以建立參考座標系統,其通常用於對準載入處理晶圓,並亦用以測定處理模組110內旋轉裝置之旋轉軸的偏移。In particular, in a specific embodiment of the present invention, flowchart 500A discloses a method for determining a calibration reference measurement value (such as an initialization position) of a calibration wafer, which is held by a transport module (TM) robot and measured by Device measurement, where the position of the correction reference measurement value is aligned with the initial correction position of the rotation axis of the rotation device in the processing module. The flowchart 500A can be implemented in combination with various processes and may include various processes. The various processes described herein are, for example, the processes of the TM robot 132 calibration performed on the vacuum conveying module 190. In particular, the flowchart 500A can be performed to establish a reference coordinate system, which is generally used for aligning and loading a processing wafer, and is also used to measure the offset of the rotation axis of the rotating device in the processing module 110.

雖然流程圖係描述關於TM機器人132及用以測定旋轉軸偏移之AWC測量裝置 (如AWC感測器410),但其他具體實施例非常適於使用圖1電漿處理系統100內之其他機器人及其他測量裝置。例如,可使用耦合至處理模組110外部其他機器人之對準機,以測定晶圓的測量值。亦即,可於電漿處理系統100內之任一點處進行晶圓位置之量測,只要系統100之機器人及/或構件已經過初始設定並相互校正即可。於此法中,可得知並校正通過處理系統100傳送並最終設於基座中心點之晶圓的路徑。據此,可將基座中心點轉成此路徑上的任一點,並用以建立參考座標系統。Although the flowchart describes the TM robot 132 and the AWC measuring device (such as the AWC sensor 410) for measuring the rotation axis offset, other embodiments are very suitable for using other robots in the plasma processing system 100 of FIG. And other measuring devices. For example, an alignment machine coupled to other robots external to the processing module 110 may be used to determine the measurement value of the wafer. That is, wafer position measurement can be performed at any point within the plasma processing system 100 as long as the robots and / or components of the system 100 have been initially set and calibrated to each other. In this method, the path of the wafer that is transferred through the processing system 100 and finally set at the center point of the pedestal can be known and corrected. Based on this, the center point of the base can be turned into any point on this path and used to establish a reference coordinate system.

於501中,該方法包括:指示該TM機器人132到基座140之初始校正位置。可於TM機器人132設定期間,進行TM機器人132之指示。特別地,可透過指示機器人132到處理模組110之基座140中心,以校正TM機器人132,其中完美對位的晶圓係設於基座140之中心(例如,晶圓之中心對準基座之中心)。於一具體實施例中,基座140之中心對應於基座140及升抬墊之中心軸。圖6A顯示基座140之初始校正位置601,其亦對應於升抬墊之旋轉軸。初始校正位置601亦可對應於初始化座標系統660(例如,以初始化座標系統660為中心),初始化座標系統660可能於整個電漿處理系統100中位移,例如參考座標系統660’,如下所述。In 501, the method includes: indicating an initial calibration position of the TM robot 132 to the base 140. The instruction of the TM robot 132 can be performed during the setting period of the TM robot 132. In particular, the TM robot 132 can be calibrated by instructing the robot 132 to the center of the pedestal 140 of the processing module 110, where the perfectly aligned wafer is located at the center of the pedestal 140 (for example, the center of the wafer is aligned with the base Block Center). In a specific embodiment, the center of the base 140 corresponds to the center axis of the base 140 and the lifting pad. FIG. 6A shows the initial correction position 601 of the base 140, which also corresponds to the rotation axis of the lifting pad. The initial correction position 601 may also correspond to the initial coordinate system 660 (for example, centered on the initial coordinate system 660). The initial coordinate system 660 may be displaced in the entire plasma processing system 100, such as the reference coordinate system 660 ', as described below.

據此,中心軸亦對應於升抬墊之旋轉軸,此升抬墊係配置成用以使晶圓相對於基座140及/或處理模組110旋轉。通常是於未對處理模組110 施予或加諸條件時進行指示。舉例說明,此可使現場技術員得以例如對TM機器人132及電漿處理系統100之其他構件,進行設定程序。於示例性設定過程中,現場技術員可手動放置TM機器人132之末端執行器於基座140之中心處,以校正TM機器人132。Accordingly, the central axis also corresponds to the rotation axis of the lifting pad, and the lifting pad is configured to rotate the wafer relative to the base 140 and / or the processing module 110. This is usually indicated when conditions are not imposed or imposed on the processing module 110. By way of example, this enables a field technician to perform a setting procedure on, for example, the TM robot 132 and other components of the plasma processing system 100. During the exemplary setting process, a field technician can manually place the end effector of the TM robot 132 at the center of the base 140 to calibrate the TM robot 132.

如前所述,一旦確定基座140之中心軸並校正機器人,即可於校正路徑上任一點處建立參考座標系統601’,其中晶圓會依該校正路徑放置於TM機器人132之校正中心處,或從TM機器人132之校正中心移開。亦即,該參考座標系統601’係以基座中心之初始校正位置為基準。As mentioned above, once the central axis of the base 140 is determined and the robot is calibrated, a reference coordinate system 601 'can be established at any point on the calibration path, where the wafer will be placed at the calibration center of the TM robot 132 according to the calibration path Or move away from the calibration center of the TM robot 132. That is, the reference coordinate system 601 'is based on the initial correction position of the center of the base.

下文將進一步說明關於如TM機器人132之校正路徑的測定。於503中,該方法包括:將校準晶圓放置於處理模組110內之旋轉裝置(如升抬墊、心軸之末端執行器等)上或旋轉裝置內,並以旋轉軸為中心。於一實施中,校準晶圓405可放置(如手放)於基座140之中心。例如,可使用定心技術(如對準處理模組110中之特徵及/或基座140),以放置校準晶圓。據此,該校準晶圓405係假設為完美對準於旋轉裝置(如升抬墊)之旋轉軸。The determination of the calibration path such as the TM robot 132 will be further described below. In 503, the method includes: placing the calibration wafer on a rotating device (such as a lifting pad, an end effector of a mandrel, etc.) in the processing module 110 or inside the rotating device, and centering on the rotating shaft. In one implementation, the calibration wafer 405 can be placed (eg, hand-held) at the center of the base 140. For example, centering techniques (such as aligning features in the processing module 110 and / or the pedestal 140) may be used to place the alignment wafer. Accordingly, the calibration wafer 405 is assumed to be perfectly aligned with the rotation axis of a rotating device (such as a lifting pad).

於505中,該方法包括:使用TM機器人132,將校準晶圓405從處理模組110移開。該移開步驟係沿著校正路徑,此乃因為該晶圓係假設為完美對準於基座中心之初始校正位置,且當移開完美對位的晶圓及/或將完美對位的晶圓放置於基座140中心時,機器人係假設為遵循相同路徑。例如,圖6A顯示校準晶圓405之狀態409B,其係以旋轉裝置(如升抬墊)之旋轉軸的初始校正位置601為中心。該完美對位校準晶圓405係沿著校正路徑,從處理模組110移出至狀態409A。此移出程序係以雙箭頭691表示,其意指完美對準於初始校正位置601之載入晶圓及載出晶圓。In 505, the method includes: using a TM robot 132 to remove the calibration wafer 405 from the processing module 110. The removal step is along the calibration path because the wafer is assumed to be perfectly aligned with the initial calibration position in the center of the pedestal, and when the perfectly aligned wafer and / or the perfectly aligned wafer are removed When the circle is placed in the center of the base 140, the robot system is assumed to follow the same path. For example, FIG. 6A shows a state 409B of the calibration wafer 405, which is centered on an initial correction position 601 of a rotation axis of a rotating device (such as a lifting pad). The perfect alignment calibration wafer 405 is moved from the processing module 110 to the state 409A along the calibration path. This removal procedure is indicated by a double arrow 691, which means a loaded wafer and a loaded wafer perfectly aligned to the initial calibration position 601.

於507中,該方法包括:使用測量裝置,於參考座標系統內建立校準晶圓之校正參考測量值。例如,該測量裝置可為包含AWC感測器410之AWC系統。該校正參考測量值對準於旋轉軸之校正位置,旋轉軸對應於旋轉裝置(如升抬墊)。為說明目的,可於測量裝置內之特定位置處取得校正參考測量值。例如,可於校準晶圓 (其對準於旋轉軸之初始校正位置)沿載入路徑先與AWC感測器410對上時量測校正參考測量值。校準晶圓可於閘閥180與輸送模組190間來回移動,其通過測量裝置(如AWC感測器410),以收集校正資料組。校正參考測量值(根據校正資料組)可為或對應於校準晶圓405之中心。例如,於圖6A中,該校正參考測量值601’可對應於狀態409A之校準晶圓405的中心630A,其係於測量裝置內前述特定位置處(例如,沿著載入路徑先與AWC感測器410對上)。此外,為說明目的,該參考座標系統660’可對應於校正參考測量值601’(例如,以校正參考測量值601’為中心),儘管參考座標系統660’可以任一位置為中心(只要其係相對於旋轉軸之初始校正位置及其初始化座標系統660固定即可)。In 507, the method includes: using a measurement device to establish a calibrated reference measurement value for the calibration wafer in a reference coordinate system. For example, the measurement device may be an AWC system including an AWC sensor 410. The calibration reference measurement is aligned with the calibration position of the rotation axis, which corresponds to the rotation device (such as a lifting pad). For illustrative purposes, calibration reference measurements can be obtained at specific locations within the measurement device. For example, the reference measurement value can be measured and calibrated when the calibration wafer (which is aligned with the initial calibration position of the rotation axis) is first aligned with the AWC sensor 410 along the loading path. The calibration wafer can be moved back and forth between the gate valve 180 and the transfer module 190, and it passes a measurement device (such as the AWC sensor 410) to collect the calibration data set. The calibration reference measurement (based on the calibration data set) may be or correspond to the center of the calibration wafer 405. For example, in FIG. 6A, the calibration reference measurement value 601 ′ may correspond to the center 630A of the calibration wafer 405 in the state 409A, which is located at the aforementioned specific position in the measurement device (for example, first along the loading path with the Tester 410 is up). In addition, for illustrative purposes, the reference coordinate system 660 'may correspond to a corrected reference measurement 601' (eg, centered around the corrected reference measurement 601 '), although the reference coordinate system 660' may be centered at any position (as long as The initial correction position relative to the rotation axis and its initial coordinate system 660 may be fixed).

圖5B為流程圖500B,其顯示本發明一具體實施例中使用校準晶圓405之校正參考測量值601’以測定位於處理模組110(於處理條件下)內之旋轉裝置(如基座140之升抬墊)的旋轉軸偏移之方法。圖5B可合併圖6A進行說明,其中圖6A顯示校準晶圓405之校正參考測量值601’,其校準晶圓405對準於處理模組內旋轉裝置(如升抬墊)之旋轉軸的初始校正位置601。此外,圖6A示出本發明一具體實施例中,校準晶圓旋轉時,處理模組110內旋轉裝置之旋轉軸偏移對校準晶圓405之影響。藉由測量此影響,即可測定旋轉軸的偏移,而無需使用放置於處理模組110內之感測器。FIG. 5B is a flowchart 500B, which shows a calibration reference measurement value 601 ′ using a calibration wafer 405 in a specific embodiment of the present invention to determine a rotating device (such as the base 140) located in the processing module 110 (under processing conditions). Method of shifting the rotation axis of the lifting pad). FIG. 5B can be described in conjunction with FIG. 6A, where FIG. 6A shows the calibration reference measurement value 601 'of the calibration wafer 405 whose alignment wafer 405 is aligned with the initial rotation axis of a rotating device (such as a lifting pad) in the processing module. Correction position 601. In addition, FIG. 6A shows the influence of the rotation axis offset of the rotating device in the processing module 110 on the calibration wafer 405 when the calibration wafer rotates in a specific embodiment of the present invention. By measuring this effect, the offset of the rotation axis can be determined without using a sensor placed in the processing module 110.

於510中,該方法包括:根據處理模組內旋轉裝置之旋轉軸的初始校正位置601,建立參考座標系統660’。於流程圖500A中建立參考座標系統660’,並示於圖6A中。In 510, the method includes: establishing a reference coordinate system 660 'according to the initial correction position 601 of the rotation axis of the rotation device in the processing module. A reference coordinate system 660 'is established in flowchart 500A and is shown in FIG. 6A.

此外,於515中,該方法包括:施加一條件至處理模組110。該條件可符合施加於處理模組110上之處理條件,用以於晶圓101上進行ALD及/或PECVD製程。例如,該處理條件可包括處理模組110之上升溫度。舉例說明,各種製程可能於攝氏200至650度之間的溫度下進行。此外,該處理條件可包括其他要素,如真空壓力等。例如,於晶圓處理期間,可將處理模組110置於真空及升溫下。該處理條件可能對處理模組110內之一或更多點有影響。舉例說明,該處理條件可能使旋轉裝置(如升抬墊)之旋轉軸的初始校正位置601移動一偏移量625。處理條件之(單獨或合併)要素可能影響初始校正位置601。例如,處理模組110之溫度上升可能使基座之中心移動,因而移動初始校正位置601。此外,將處理模組110置於真空壓力下也可能會移動初始校正位置601。此初始校正位置601之偏移可能為毫米或更大等級,其將對半導體處理造成不利影響。In addition, in 515, the method includes: applying a condition to the processing module 110. This condition may meet the processing conditions imposed on the processing module 110 for performing ALD and / or PECVD processes on the wafer 101. For example, the processing conditions may include a rising temperature of the processing module 110. For example, various processes may be performed at temperatures between 200 and 650 degrees Celsius. In addition, the processing conditions may include other factors such as vacuum pressure and the like. For example, during wafer processing, the processing module 110 may be placed under vacuum and temperature. The processing conditions may affect one or more points in the processing module 110. For example, the processing conditions may move the initial correction position 601 of the rotation axis of the rotating device (such as a lifting pad) by an offset 625. Elements of the processing conditions (alone or combined) may affect the initial correction position 601. For example, the temperature rise of the processing module 110 may cause the center of the base to move, thereby moving the initial correction position 601. In addition, placing the processing module 110 under vacuum pressure may also move the initial calibration position 601. The offset of this initial correction position 601 may be in the order of millimeters or more, which will adversely affect semiconductor processing.

於520中,該方法包括:使用配置成用以將校準晶圓405輸送至處理模組110之輸送模組(TM)機器人132,將校準晶圓從入站負載鎖取起。該校準晶圓無須完美對位於TM機器人132及/或初始校正位置601內。亦即,本發明具體實施例能夠利用校準晶圓405(由機器人132垂直拾取,且可能未對準校正參考測量值601’)測定旋轉軸的偏移,並測得載入路徑上之校準晶圓405的位置(無須修正未對位情形)、旋轉處理模組內之校準晶圓,並且測得載出路徑上之校準晶圓405的位置。In 520, the method includes using a transfer module (TM) robot 132 configured to transfer the calibration wafer 405 to the processing module 110 to pick up the calibration wafer from the inbound load lock. The alignment wafer need not be perfectly positioned in the TM robot 132 and / or the initial calibration position 601. That is, the specific embodiment of the present invention can use the calibration wafer 405 (which is picked up vertically by the robot 132 and may be misaligned with the reference measurement value 601 ') to measure the offset of the rotation axis and measure the calibration crystal on the loading path The position of the circle 405 (without correction of misalignment), the calibration wafer in the processing module is rotated, and the position of the calibration wafer 405 on the load path is measured.

更具體地說,於525中,該方法包括:當將校準晶圓輸送至處理模組時,使用測量裝置,以測定參考座標系統內校準晶圓405之第一測量值。該測量裝置可固定於參考座標系統660’內。舉例說明,當校準晶圓經由閘閥180載入處理模組110時,可利用AWC感測器410量測第一測量值。可相對於參考座標系統660’,量測出第一測量值(例如,定義出所測之校準晶圓405中心)。雖然第一測量值可能顯示校準晶圓405並未對準初始校正位置601及/或校正參考測量值601’,但於測定旋轉裝置之旋轉軸偏移時,無須對未對位情況作修正,即使於正常晶圓處理時會對未對位情形作修正。More specifically, in 525, the method includes: when the calibration wafer is transferred to the processing module, using a measurement device to determine a first measurement value of the calibration wafer 405 in the reference coordinate system. The measuring device can be fixed in the reference coordinate system 660 '. For example, when the calibration wafer is loaded into the processing module 110 through the gate valve 180, the AWC sensor 410 can be used to measure the first measurement value. The first measurement value can be measured relative to the reference coordinate system 660 '(for example, the center of the measured calibration wafer 405 is defined). Although the first measurement value may indicate that the calibration wafer 405 is not aligned with the initial calibration position 601 and / or the calibration reference measurement value 601 ', it is not necessary to correct the misalignment when measuring the rotation axis deviation of the rotating device. Misalignment is corrected even during normal wafer processing.

於530中,該方法包括:將校準晶圓交遞至處理模組。此可能包括:於到達其最終目的地(旋轉裝置)前,從一或更多機器人及/或處理模組110內的構件遞出校準晶圓。此外,該方法包括:將校準晶圓405接置於旋轉裝置。例如,該接置步驟可包括:將校準晶圓405放置於升抬墊或基座140上。於另一實例中,該接置步驟可包括:藉由心軸或旋轉裝置220(配置成用以將晶圓從多站處理模組110中之一處理站輸送至另一處理站)之末端執行器,取起校準晶圓405,其中該末端執行器係配置成用以旋轉晶圓。可考慮用其他裝置,以將校準晶圓接至旋轉裝置。In 530, the method includes: delivering the calibration wafer to a processing module. This may include: delivering the calibration wafer from one or more robots and / or components within the processing module 110 before reaching its final destination (rotating device). In addition, the method includes: placing the calibration wafer 405 in a rotating device. For example, the attaching step may include placing the calibration wafer 405 on the lifting pad or the base 140. In another example, the placing step may include: using a mandrel or a rotating device 220 (configured to transfer wafers from one processing station in the multi-station processing module 110 to another processing station) The actuator takes up the calibration wafer 405, wherein the end effector is configured to rotate the wafer. Other devices may be considered to connect the calibration wafer to the rotating device.

於535中,該方法包括:使用旋轉裝置,將校準晶圓405旋轉一角度。例如,該旋轉裝置可為升抬墊,其係配置成用以使放置於上的晶圓相對於基座140及/或處理模組110旋轉。於一具體實施例中,產生的旋轉角度可於校準晶圓405之載入方位(對應於放置於旋轉裝置上或旋轉裝置內時之載入路徑)與校準晶圓之載出方位(對應於移出旋轉裝置時之載出路徑)之間有效地大於0度至小於或等於180度(如順時針或逆時針)。In 535, the method includes: rotating the calibration wafer 405 by an angle using a rotating device. For example, the rotating device may be a lifting pad, which is configured to rotate a wafer placed on the wafer relative to the base 140 and / or the processing module 110. In a specific embodiment, the generated rotation angle can be in the loading orientation of the calibration wafer 405 (corresponding to the loading path when placed on or in a rotating device) and the loading orientation of the calibration wafer (corresponding to The load path when removing the rotating device is effectively greater than 0 degrees to less than or equal to 180 degrees (such as clockwise or counterclockwise).

舉例說明,當旋轉裝置為升抬墊時,該方法可包括:將校準晶圓405放置於旋轉裝置之升抬墊上,旋轉裝置係配置成用以沉積膜於處理晶圓上。該旋轉裝置包括一基座及升抬墊組體,其中該基座具有自基座中心軸延伸的基座頂面。中心軸亦可對應於升抬墊之旋轉軸。該升抬墊係配置成用以置於基座頂面上、接至基座頂面及/或與基座頂面分開。該方法可包括:使升抬墊沿中心軸與基座頂面分開。該方法可包括:於定義角度之至少第一角度位置與第二角度位置之間,使升抬墊相對於基座頂面旋轉。For example, when the rotating device is a lifting pad, the method may include placing the calibration wafer 405 on the lifting pad of the rotating device, and the rotating device is configured to deposit a film on the processing wafer. The rotating device includes a base and a lifting pad assembly, wherein the base has a top surface of the base extending from a central axis of the base. The central axis may also correspond to the rotation axis of the lifting pad. The lifting pad is configured to be placed on the top surface of the base, connected to the top surface of the base, and / or separated from the top surface of the base. The method may include separating the lifting pad from a top surface of the base along a central axis. The method may include rotating the lifting pad relative to the top surface of the base between at least a first angular position and a second angular position that define an angle.

於另一實例中,當旋轉裝置為心軸或旋轉裝置220之末端執行器時,該方法可包括:使用心軸機器人(如旋轉裝置220)之末端執行器(圖未示),從多站處理模組110之第一站取起該校準晶圓。該心軸機器人係配置成用以於處理模組110中的處理站之間輸送晶圓,其中末端執行器係配置成用以旋轉晶圓。此外,該方法包括:將校準晶圓放置於第一站上,以於旋轉後從處理模組移出。In another example, when the rotating device is an end effector of the mandrel or the rotating device 220, the method may include: using an end effector (not shown) of a mandrel robot (such as the rotating device 220), from multiple stations The first station of the processing module 110 picks up the calibration wafer. The mandrel robot is configured to transfer wafers between processing stations in the processing module 110, and the end effector is configured to rotate the wafer. In addition, the method includes: placing the calibration wafer on a first station for removal from the processing module after rotation.

於540中,該方法可包括:使用TM機器人132,將校準晶圓405從處理模組移出。於此法中,可於處理模組110外部進行校準晶圓405之測量。特別地,於545中,該方法包括:當將校準晶圓輸送至出站負載鎖時,使用測量裝置,於參考座標系統660’內測定校準晶圓405之第二測量值。例如,當校準晶圓經由閘閥180移出處理模組110時,可利用AWC感測器410量測第二測量值。該第二測量值可相對於參考座標系統660’量測(例如,定義出所測之校準晶圓405中心)。In 540, the method may include: using the TM robot 132 to remove the calibration wafer 405 from the processing module. In this method, the measurement of the calibration wafer 405 can be performed outside the processing module 110. Specifically, in 545, the method includes: when the calibration wafer is transferred to the outbound load lock, using a measurement device to determine a second measurement value of the calibration wafer 405 in the reference coordinate system 660 '. For example, when the calibration wafer is removed from the processing module 110 through the gate valve 180, the second measurement value may be measured using the AWC sensor 410. The second measurement value can be measured relative to the reference coordinate system 660 '(for example, defining the measured calibration wafer 405 center).

舉例說明,圖6A顯示測定旋轉軸偏移量625時之校準晶圓405的路徑。為介紹並易於說明用以測定偏移量625之步驟,將載入校準晶圓405完美對準於旋轉軸之初始校正位置601(例如,設定時之基座140中心)。當然,載入校準晶圓405無須完美對位,如關於圖6B之圖示及描述,據此,無論載入校準晶圓405是否對位,仍可經由測量及旋轉來測定偏移量625。如圖所示,狀態409A顯示沿著載入路徑之校準晶圓405,其完美對準於初始校正位置601。第一測量值係對應於及/或轉移至校準晶圓405(其完美對位時亦對應於校正參考測量值601’)之測得中心630A。於量測校準晶圓405之第一測量值後,TM機器人132將校準晶圓405輸送至處理模組,如箭頭691所示。校準晶圓405之狀態409B顯示校準晶圓405傳遞至包含旋轉裝置(如升抬墊)之處理站或基座140。由於校準晶圓405完美對位,故校準晶圓405之中心係放置於初始校正位置601,其於設定期間亦對應於旋轉裝置之旋轉軸(於冷溫及大氣下)。因為處理模組110正處於處理條件下,故旋轉軸會從原始位置移動或偏移。如圖所示,旋轉軸650自初始校正位置601偏移。例如,整個基座及其中心軸已相對於參考座標系統660’移動一偏移量625,而測量裝置固定至該參考座標系統660’並於處理模組110之外部。據此,校準晶圓405未位於基座之中心。校準晶圓405之狀態409C顯示校準晶圓405旋轉一角度(如180度)。旋轉後,校準晶圓405之中心630B沿線693移動。旋轉前,凹口406係於校準晶圓405頂部,旋轉後,凹口位於校準晶圓405底部,如圖6A所示。旋轉前的校準晶圓405以虛線表示,而旋轉後的校準晶圓405以粗實線表示。狀態409D顯示從處理模組110移出時沿著載出路徑之校準晶圓405。由於旋轉,故載出路徑不再完美對準於初始校正位置601。量測第二測量值,且第二測量值可對應於及/或轉移至校準晶圓405之測得中心630D。For example, FIG. 6A shows the path of the calibration wafer 405 when the rotation axis offset 625 is measured. In order to introduce and easily explain the steps for determining the offset 625, the loaded calibration wafer 405 is perfectly aligned with the initial correction position 601 of the rotation axis (for example, the center of the pedestal 140 at the time of setting). Of course, loading the calibration wafer 405 does not need to be perfectly aligned. As shown in the diagram and description of FIG. 6B, according to this, regardless of whether the loading calibration wafer 405 is aligned, the offset 625 can still be determined through measurement and rotation. As shown, state 409A shows the alignment wafer 405 along the loading path, which is perfectly aligned with the initial calibration position 601. The first measurement value corresponds to and / or is transferred to the measurement center 630A of the calibration wafer 405 (which also corresponds to the calibration reference measurement value 601 'when perfectly aligned). After measuring the first measurement value of the calibration wafer 405, the TM robot 132 transfers the calibration wafer 405 to the processing module, as shown by arrow 691. The state 409B of the calibration wafer 405 shows that the calibration wafer 405 is transferred to a processing station or pedestal 140 that includes a rotating device such as a lifting pad. Because the calibration wafer 405 is perfectly aligned, the center of the calibration wafer 405 is placed at the initial calibration position 601, which also corresponds to the rotation axis of the rotating device (under cold temperature and atmosphere) during the set period. Because the processing module 110 is under processing conditions, the rotation axis will move or shift from the original position. As shown, the rotation axis 650 is offset from the initial correction position 601. For example, the entire base and its central axis have been moved by an offset 625 relative to the reference coordinate system 660 ', and the measurement device is fixed to the reference coordinate system 660' and outside the processing module 110. Accordingly, the calibration wafer 405 is not located at the center of the susceptor. The state 409C of the calibration wafer 405 indicates that the calibration wafer 405 is rotated by an angle (such as 180 degrees). After rotation, the center 630B of the calibration wafer 405 moves along the line 693. Before the rotation, the notch 406 is at the top of the calibration wafer 405, and after the rotation, the notch is at the bottom of the calibration wafer 405, as shown in FIG. 6A. The calibration wafer 405 before rotation is indicated by a dotted line, and the calibration wafer 405 after rotation is indicated by a thick solid line. State 409D shows the calibration wafer 405 along the load path when removed from the processing module 110. Due to the rotation, the loading path is no longer perfectly aligned with the initial correction position 601. The second measurement value is measured, and the second measurement value may correspond to and / or be transferred to the measurement center 630D of the calibration wafer 405.

於550,該方法包括:根據第一測量值及第二測量值,測定旋轉軸之條件修正量。該條件修正量係對應於當處理模組處於處理條件下時,旋轉軸650自初始校正位置601之偏移量625。亦即,該偏移量625係由處理條件所造成的。圖6A顯示以向量表示之偏移量625,其係經由第一及第二測量值(如載入校準晶圓405之測得中心630A及載出校準晶圓405之測得中心630D)來測定。特別地,可藉由測定第一測量值與第二測量值間之差異向量620A,以進行條件修正。亦即,該差異向量與載入及載出校準晶圓405之中心630A及630D的測得位置相交。據此,該差異向量會根據載入校準晶圓405之對位作變化。該差異向量620A亦示為線621與623之間的位移,如旋轉線693所示,線621與623係垂直於差異向量620A,並與旋轉前狀態及旋轉後狀態之校準晶圓405的相應中心(如旋轉前的中心630B及旋轉後的中心630C)相交。At 550, the method includes determining a condition correction amount of the rotation axis based on the first measurement value and the second measurement value. The condition correction amount corresponds to an offset 625 of the rotation axis 650 from the initial correction position 601 when the processing module is under processing conditions. That is, the offset 625 is caused by processing conditions. FIG. 6A shows the offset 625 represented by a vector, which is determined by the first and second measurement values (such as the measured center 630A loaded on the calibration wafer 405 and the measured center 630D carried out on the calibration wafer 405). . In particular, the difference vector 620A between the first measurement value and the second measurement value can be determined to perform the condition correction. That is, the difference vector intersects the measured positions of the centers 630A and 630D of the loading and unloading calibration wafer 405. Accordingly, the difference vector is changed according to the alignment of the loaded calibration wafer 405. The difference vector 620A is also shown as the displacement between the lines 621 and 623. As shown by the rotation line 693, the lines 621 and 623 are perpendicular to the difference vector 620A and correspond to the calibration wafer 405 in the pre-rotation state and the post-rotation state. Centers (such as center 630B before rotation and center 630C after rotation) intersect.

此外,旋轉軸從其初始校正位置601之偏移量,係經由差異向量620A量值減半以確定偏移向量625端點而測定。特別地,可將差異向量(如620A)置於參考座標系統660’內之載入及載出晶圓405測得中心(如630A及630D)之間(如測得中心的差異),以測定偏移向量625。差異向量的一半(如量值減半)係指偏移向量625之端點,其中偏移向量625之起點對應於校正參考測量值601’。於圖6A中,由於載入校準晶圓完美對位,故偏移向量625係位於差異向量620A上。然而,當載入校準晶圓405未對位時,偏移向量625將不位於(例如,具有相同方向)其對應差異向量上,如圖6B所示。In addition, the offset of the rotation axis from its initial correction position 601 is determined by halving the magnitude of the difference vector 620A to determine the endpoint of the offset vector 625. In particular, a difference vector (such as 620A) may be placed between the measured center (such as 630A and 630D) of the loading and unloading wafer 405 in the reference coordinate system 660 'to determine Offset vector 625. The half of the difference vector (such as halving the magnitude) refers to the endpoints of the offset vector 625, where the starting point of the offset vector 625 corresponds to the corrected reference measurement 601 '. In FIG. 6A, since the calibration wafer is perfectly aligned, the offset vector 625 is located on the difference vector 620A. However, when the loading calibration wafer 405 is misaligned, the offset vector 625 will not be located (eg, with the same direction) on its corresponding difference vector, as shown in FIG. 6B.

如前所述,偏移向量625之測定並非仰賴於載入校準晶圓405之完美對位。圖6B為顯示本發明一具體實施例測定處理模組內旋轉裝置之旋轉軸偏移的圖,其係透過由旋轉裝置將載入晶圓旋轉一角度來測定偏移,其中該測定為對位未知論(alignment agnostic)。如圖所示,沿四個不同載入途徑(如垂直於參考座標系統660’X軸之水平路徑)繪示四個不同配置晶圓405。該參考座標系統660’之X軸可被視為沿著完美對位路徑而與初始校正位置601完美對準。特別地,配置晶圓405A(亦如圖6A所示)係完美對準於初始校正位置601。亦即,由第一測量值測定之配置晶圓405A的中心630A係完美對準於參考座標系統660’之校正參考測量值601’。然而,配置晶圓405B從校正參考測量值601’錯位,如晶圓405B中心(由第一測量值測定)之對位偏移所示。又,配置晶圓405C係從校正參考測量值601’錯位,如晶圓405C中心(由第一測量值測定)之對位偏移所示。配置晶圓405D亦從校正參考測量值601’錯位,如晶圓405D中心(由第一測量值測定)之對位偏移所示。As mentioned earlier, the determination of the offset vector 625 does not depend on the perfect alignment of the calibration wafer 405 loaded. FIG. 6B is a diagram showing the rotation axis deviation of the rotation device in the measurement processing module according to a specific embodiment of the present invention, which is measured by rotating the loading wafer by an angle by the rotation device, wherein the measurement is alignment Unknown theory (alignment agnostic). As shown, four differently configured wafers 405 are shown along four different loading paths (such as a horizontal path perpendicular to the X-axis of the reference coordinate system 660 '). The X axis of the reference coordinate system 660 'can be regarded as perfectly aligned with the initial correction position 601 along the perfect alignment path. In particular, the configuration wafer 405A (also shown in FIG. 6A) is perfectly aligned with the initial correction position 601. That is, the center 630A of the configuration wafer 405A determined from the first measurement value is perfectly aligned with the corrected reference measurement value 601 'of the reference coordinate system 660'. However, the wafer 405B is configured to be misaligned from the correction reference measurement value 601 ', as shown by the misalignment of the center of the wafer 405B (measured by the first measurement value). In addition, the wafer 405C is configured to be misaligned from the correction reference measurement value 601 ', as shown by the misalignment of the center of the wafer 405C (measured by the first measurement value). The configuration wafer 405D is also misaligned from the corrected reference measurement 601 ', as shown by the misalignment of the center of the wafer 405D (measured by the first measurement).

圖6B中配置晶圓405A至405D之每一第一及第二測量值組,定義出參考座標系統660’內之差異向量。例如,對於配置晶圓405A,第一及第二測量值定義出先前圖6A中所提及之差異向量620A。類似地,差異向量620B係基於配置晶圓405B之第一及第二測量值而定義,差異向量620C係基於配置晶圓405C之第一及第二測量值而定義,差異向量620D係基於配置晶圓405D之第一及第二測量值而定義。所有差異向量620A至620D於點650’處相交於偏移向量625之端點,其可為旋轉軸650之位移,即處理條件所引起之偏移。亦即,對於從對應載入校準晶圓之各別第一測量值開始的每個差異向量而言,量值減半亦定義出偏移向量625之端點。偏移向量625之始點係由校正參考測量值601’所定義。Each of the first and second measurement value groups of the wafers 405A to 405D is configured in FIG. 6B to define a difference vector in the reference coordinate system 660 '. For example, for the configuration wafer 405A, the first and second measurement values define a difference vector 620A previously mentioned in FIG. 6A. Similarly, the difference vector 620B is defined based on the first and second measurements of the configuration wafer 405B, the difference vector 620C is defined based on the first and second measurements of the configuration wafer 405C, and the difference vector 620D is based on the configuration crystal The first and second measurements of circle 405D are defined. All the difference vectors 620A to 620D intersect at the endpoint of the offset vector 625 at point 650 ', which may be the displacement of the rotation axis 650, that is, the offset caused by the processing conditions. That is, for each difference vector starting from the respective first measurement value corresponding to the loaded calibration wafer, halving the magnitude also defines the endpoint of the offset vector 625. The starting point of the offset vector 625 is defined by the corrected reference measurement 601 '.

為說明目的,校正路徑(其對準於初始校正位置601)上任一點處的任一載入晶圓可藉由對應於偏移向量625之條件修正量進行修正。例如,於圖6A中,狀態409A之校準晶圓405(完美對準於初始校正位置601)直到施加條件修正量(如偏移向量625)後,才會與已移動的旋轉軸650(其因施加於處理模組110上之處理條件而移動)對準。如此,載入校準晶圓現已對準於點650’,該點650’與處理模組110中之旋轉軸650對準。對於未對準於參考校正測量值601’及對應初始校正位置601之載入晶圓,亦施加對位修正量,以使晶圓完全對準於旋轉裝置之旋轉軸,如圖5C所示。For illustrative purposes, any loaded wafer at any point on the calibration path (which is aligned with the initial calibration position 601) can be corrected by a condition correction amount corresponding to the offset vector 625. For example, in FIG. 6A, the calibration wafer 405 (perfectly aligned with the initial correction position 601) in the state 409A will not be aligned with the moved rotation axis 650 (its factor The processing conditions applied to the processing module 110 are shifted) and aligned. As such, the loaded calibration wafer is now aligned at point 650 ', which is aligned with the rotation axis 650 in the processing module 110. For a loaded wafer that is not aligned with the reference calibration measurement value 601 'and the corresponding initial calibration position 601, an alignment correction amount is also applied so that the wafer is completely aligned with the rotation axis of the rotating device, as shown in FIG. 5C.

以下將討論測定條件偏移及其修正之公式。可變輸入值如下所述:X1 Y1 =AWC 測得偏移 #1    (1)X2 Y2 =AWC 測得偏移 #2    (2)The formula for measuring the conditional deviation and its correction will be discussed below. The variable input values are as follows: X 1 Y 1 = AWC measured offset # 1 (1) X 2 Y 2 = AWC measured offset # 2 (2)

中間變數如下所述:ΔXP , ΔYP = 基座偏移變化 (具180度旋轉) (3)The intermediate variables are as follows: ΔX P , ΔY P = base offset change (with 180-degree rotation) (3)

期望輸出值如下所述:XP1 , YP1 = 基座的偏移 #1 (4)      XP2 , YP2 = 基座的偏移 #2          (5)XC , YC = 機器人自動校正修正向量 (6)The expected output values are as follows: X P1 , Y P1 = Offset # 1 of the base (4) X P2 , Y P2 = Offset # 2 of the base (5) X C , Y C = Robot automatic correction correction vector (6)

座標旋轉矩陣,180度(於基座上旋轉之偏移晶圓)如下所述:XP2 , =XP1 *cos(θ) - YP1 * sin(θ) (7)YP2 , =XP1 * sin(θ) - YP1 * cos(θ) (8)Coordinate rotation matrix, 180 degrees (offset wafer rotating on the base) is as follows: X P2 , = X P1 * cos (θ)-Y P1 * sin (θ) (7) Y P2 , = X P1 * sin (θ)-Y P1 * cos (θ) (8)

當旋轉角度(θ)為180度時,數值測定如下:XP2 = -XP1 (9)YP2 = -YP1 (10)When the rotation angle (θ) is 180 degrees, the value is determined as follows: X P2 = -X P1 (9) Y P2 = -Y P1 (10)

因此,如下定義出下式:ΔXP = XP2 - XP1 = -XP1 - XP1 = - 2XP1 (11)ΔYP = YP2 - YP1 = -YP1 - YP1 = - 2YP1 (12)Therefore, the following formula is defined as follows: ΔX P = X P2 -X P1 = -X P1 -X P1 =-2X P1 (11) ΔY P = Y P2 -Y P1 = -Y P1 -Y P1 =-2Y P1 ( 12)

AWC測量反應出基座偏移變化如下:ΔXP = X2 - X1 = 2XP1 (13)ΔYP = Y2 - Y1 = 2YP1 (14)XP1 = (1/2)(X2 - X1 ) (15)YP1 = (1/2)(Y2 - Y1 ) (16)The AWC measurement reflects the change in base offset as follows: ΔX P = X 2 -X 1 = 2X P1 (13) ΔY P = Y 2 -Y 1 = 2Y P1 (14) X P1 = (1/2) (X 2 -X 1 ) (15) Y P1 = (1/2) (Y 2 -Y 1 ) (16)

所需的機器人自動校正修正向量相反於偏移方向,如下所定義:XC = -XP1 = (1/2)(X1 - X2 ) (17)YC = -YP1 = (1/2)(Y1 - Y2 ) (18)The required robot auto-correction correction vector is opposite to the offset direction, as defined below: X C = -X P1 = (1/2) (X 1 -X 2 ) (17) Y C = -Y P1 = (1 / 2) (Y 1 -Y 2 ) (18)

計算偏移修正向量及/或條件修正向量之實例示於圖4D中。An example of calculating an offset correction vector and / or a conditional correction vector is shown in FIG. 4D.

圖5C為流程圖500C,其顯示本發明一具體實施例之方法,其從校正參考測量值測定載入處理晶圓之對位偏移,並根據對位偏移,對載入處理晶圓施加對位修正量,且根據處理模組內之旋轉裝置的旋轉軸偏移,對載入處理晶圓施加條件修正量。流程圖500C係於晶圓處理期間進行,並於TM機器人132校正(於關於圖5A所述)及測定旋轉裝置之旋轉軸偏移(如關於圖5B所述)後進行。FIG. 5C is a flowchart 500C, which shows a method of a specific embodiment of the present invention, which determines a registration offset of a loaded processing wafer from a calibration reference measurement value, and applies the load processing wafer according to the registration offset. An alignment correction amount, and a condition correction amount is applied to the loaded processing wafer according to the rotation axis deviation of the rotating device in the processing module. The flowchart 500C is performed during wafer processing, and is performed after the TM robot 132 corrects (as described in FIG. 5A) and measures the rotation axis offset of the rotating device (as described in FIG. 5B).

於561中,該方法包括:設定處理模組之條件,用以處理晶圓。先前已建立參考座標系統,其係根據處理模組內之旋轉裝置的初始校正位置(例如,如關於圖5A所述及圖5B之510)。又,亦使用固定於參考座標系統內之測量裝置,於參考座標系統660內建立校正參考測量值(如校準晶圓405之測量601’),如先前圖5A中所述。校正參考測量值601’係對準於初始校正位置601,如前所述。In 561, the method includes: setting conditions of a processing module for processing a wafer. A reference coordinate system has been previously established that is based on an initial correction position of a rotating device within a processing module (eg, as described with respect to FIG. 5A and FIG. 5B-510). In addition, a measurement device fixed in the reference coordinate system is also used to establish a corrected reference measurement value (such as measurement 601 'of the calibration wafer 405) in the reference coordinate system 660, as described previously in FIG. 5A. The calibration reference measurement 601 'is aligned with the initial calibration position 601, as described above.

於565,該方法包括:使用TM機器人,從入站負載鎖170取起處理晶圓101。該處理晶圓並非具體實施例中之校準晶圓405,而是指定進行半導體裝置及/或半導體裝置之積體電路處理之晶圓。At 565, the method includes using a TM robot to pick up the processing wafer 101 from the inbound load lock 170. The processing wafer is not the calibration wafer 405 in the specific embodiment, but a wafer designated for semiconductor device and / or integrated circuit processing of the semiconductor device.

於570中,該方法包括:當將處理晶圓101輸送至處理模組110時,使用測量裝置,於參考座標系統660’內測定處理晶圓的對位測量值。亦即,TM機器人132所取起的處理晶圓可能未完美對準於以初始校正位置601為中心的位置,該初始校正位置601對應於基座之中心及旋轉裝置 (如升抬墊)之旋轉軸。該對位測量值係測定載入處理晶圓101之對位偏移,如利用測量裝置(如AWC感測器410)相對於校正參考測量值601’所測得。In 570, the method includes: when the processing wafer 101 is transferred to the processing module 110, using a measuring device, the alignment measurement value of the processing wafer is determined in the reference coordinate system 660 '. That is, the processing wafer picked up by the TM robot 132 may not be perfectly aligned at a position centered on the initial calibration position 601, which corresponds to the center of the base and the rotation device (such as a lifting pad). Axis of rotation. This alignment measurement value is used to determine the alignment offset loaded on the processing wafer 101, as measured using a measurement device (such as the AWC sensor 410) relative to the calibration reference measurement value 601 '.

舉例說明,圖7顯示本發明一具體實施例之載入處理晶圓101的對位偏移(從校正參考測量值601’偏移)。如圖所示,完美對位之校準晶圓405的校正參考測量值601’(由參考座標系統660’內且位於處理模組110外之測量裝置所測得)係對準於基座140之初始校正位置601及/或旋轉裝置之旋轉軸。此外,處理晶圓101係顯示為,以對位偏移量725(如對位偏移向量)偏離校正參考測量值601’。特別地,處理晶圓101之第一測量值(對位測量值)係由固定於參考座標系統660’內之測量裝置610測定。該對位測量值可為處理晶圓101之中心720,或者轉移至處理晶圓101之中心720。如圖所示,該中心720係以對位偏移量725(可以向量表示),偏離校正參考測量值601’。For example, FIG. 7 shows an alignment offset (offset from the correction reference measurement value 601 ') of the processing wafer 101 loaded in a specific embodiment of the present invention. As shown in the figure, the calibration reference measurement value 601 ′ of the perfectly aligned calibration wafer 405 (measured by a measurement device in the reference coordinate system 660 ′ and outside the processing module 110) is aligned with the base 140. The initial correction position 601 and / or the rotation axis of the rotating device. In addition, the processing wafer 101 is shown as being offset from the reference correction measurement value 601 'by a registration offset 725 (such as a registration offset vector). Specifically, the first measurement value (alignment measurement value) of the processing wafer 101 is determined by a measurement device 610 fixed in the reference coordinate system 660 '. The alignment measurement value may be the center 720 of the processing wafer 101 or transferred to the center 720 of the processing wafer 101. As shown in the figure, the center 720 is offset by a registration offset 725 (which can be represented by a vector) and a deviation correction reference measurement value 601 '.

於575中,該方法包括:根據對位測量值,獲得處理晶圓之對位修正量,其對應於處理晶圓偏離校正參考測量值之偏移。於一具體實施例中,該對位修正量可為對位偏移向量725。In 575, the method includes: obtaining an alignment correction amount of the processing wafer according to the alignment measurement value, which corresponds to the offset of the processing wafer from the correction reference measurement value. In a specific embodiment, the alignment correction amount may be an alignment offset vector 725.

此外,可於576中獲得旋轉軸之條件修正量。該條件修正量係對應於當處理模組於處理條件下時,旋轉軸偏離初始校正位置601之偏移。特別地,旋轉軸之偏移係於處理前,利用處理條件下之處理模組內的旋轉裝置,基於校準晶圓405繞著旋轉軸650旋轉一角度而測定。該條件修正量已於先前圖5B敘述。In addition, the condition correction amount of the rotation axis can be obtained in 576. The condition correction amount corresponds to the deviation of the rotation axis from the initial correction position 601 when the processing module is under processing conditions. In particular, the offset of the rotation axis is measured before the processing, using a rotation device in the processing module under the processing conditions, based on the calibration wafer 405 being rotated by an angle around the rotation axis 650. This condition correction amount has been described previously in FIG. 5B.

此外,於580中,該方法包括:對載入晶圓101,施加條件修正量及對位修正量,以使晶圓對準於校正參考測量值601’及對應旋轉裝置之旋轉軸的初始校正位置601,如前所述。可使用TM機器人132,對處理晶圓施加對位及條件修正量。一旦施以條件修正量及對位修正量,當放置處理晶圓101於處理模組110中以進行590之處理時,即可使該載入晶圓101對準。亦即,載入晶圓101現已對準設置於處理模組110(於處理條件下)內自初始校正位置601(如處理站及/或基座140之中心)偏移之旋轉裝置的旋轉軸。In addition, in 580, the method includes: applying a condition correction amount and an alignment correction amount to the loaded wafer 101, so that the wafer is aligned with the correction reference measurement value 601 'and the initial correction of the rotation axis of the corresponding rotation device. Position 601, as previously described. The TM robot 132 can be used to apply alignment and condition correction amounts to the processing wafer. Once the condition correction amount and the alignment correction amount are applied, when the processing wafer 101 is placed in the processing module 110 for the processing of 590, the loaded wafer 101 can be aligned. That is, the loaded wafer 101 is now aligned with the rotation of the rotating device disposed within the processing module 110 (under processing conditions) that is offset from the initial calibration position 601 (such as the center of the processing station and / or the base 140). axis.

圖8顯示控制模組800,用以控制上述系統。例如,該控制模組800可能包括處理器、記憶體和一或更多介面。控制模組800可部分地根據感測到的數值而用於控制系統的裝置。僅為範例說明,控制模組800可根據感測到的數值和其他控制參數來控制一或更多閥門802、過濾加熱器(filter heater)804、幫浦806和其他裝置808。控制模組800係從僅為範例說明的壓力計810、流量計812、溫度感測器814和/或其他感測器816接收所感測到的數值。控制模組800亦可在前驅物輸送和薄膜沉積過程中用於控制處理條件。控制模組800通常包括一或更多記憶體以及一或更多處理器。FIG. 8 shows a control module 800 for controlling the above system. For example, the control module 800 may include a processor, a memory, and one or more interfaces. The control module 800 may be used to control a device of the system according to the sensed value. For illustration only, the control module 800 may control one or more valves 802, filter heaters 804, pumps 806, and other devices 808 according to the sensed values and other control parameters. The control module 800 receives the sensed values from a pressure gauge 810, a flow meter 812, a temperature sensor 814, and / or other sensors 816, which are merely examples. The control module 800 can also be used to control processing conditions during the precursor transport and thin film deposition process. The control module 800 generally includes one or more memories and one or more processors.

控制模組800可用於控制前驅物輸送系統和沉積儀器的作動。控制模組800執行包含複數組指令之電腦程式,該等指令用以控制處理時序、輸送系統溫度、不同濾波器的壓力差、閥門位置、氣體的混合、腔室壓力、腔室溫度、基板溫度、RF功率位準、基板吸盤或基座位置的指令組、及特定處理的其他參數。控制模組800亦可監測壓力差,並自動將氣相前驅物的輸送從一或更多路徑切換至一或更多其他路徑。在一些實施例中,亦可利用儲存於與控制模組800相關之記憶體裝置上的其它電腦程式。The control module 800 can be used to control the operation of the precursor conveying system and the deposition instrument. The control module 800 executes a computer program including a plurality of array instructions, which are used to control the processing sequence, the temperature of the conveying system, the pressure difference between different filters, the valve position, the gas mixture, the chamber pressure, the chamber temperature, and the substrate temperature. , RF power level, command set for substrate sucker or base position, and other parameters for specific processing. The control module 800 can also monitor the pressure difference and automatically switch the delivery of the gas-phase precursor from one or more paths to one or more other paths. In some embodiments, other computer programs stored on the memory device associated with the control module 800 may also be used.

通常具有與控制模組800相關之使用者介面。使用者介面可包含一顯示器818(如顯示螢幕及/或設備及/或處理條件之圖形化軟體顯示)、及使用者輸入裝置820,如指標裝置(pointing device)、鍵盤、觸控板、麥克風等。There is usually a user interface associated with the control module 800. The user interface may include a display 818 (such as a graphical software display that displays a screen and / or equipment and / or processing conditions), and a user input device 820, such as a pointing device, a keyboard, a touchpad, and a microphone Wait.

用於控制前驅物輸送、沉積及處理序列中的其他製程之電腦程式可用任意習知電腦可讀取程式語言來撰寫:例如組合語言、C、C++、Pascal語言、Fortran語言或其他。彙編之物件代碼或指令檔係由該處理器執行,以執行在程式中識別的任務。Computer programs used to control precursor transport, deposition, and other processes in the processing sequence can be written in any conventional computer-readable programming language: for example, combined language, C, C ++, Pascal, Fortran, or others. The compiled object code or instruction file is executed by the processor to perform the tasks identified in the program.

該些控制模組參數係關於處理條件,諸如過濾器壓差、處理氣體成分及流速、溫度、壓力、電漿條件(例如射頻功率位準與低頻率射頻頻率)、冷卻氣體之壓力、及腔室壁的溫度。The control module parameters are related to the processing conditions, such as the pressure difference of the filter, the composition and flow rate of the processing gas, temperature, pressure, plasma conditions (such as RF power level and low frequency RF frequency), the pressure of the cooling gas, and the cavity. The temperature of the chamber wall.

可將系統軟體以許多不同方式加以設計或配置。例如,可撰寫各種腔室部件的子程序或控制物件,以控制腔室部件之操作,該操作係實現本發明發明性之沉積處理所必需的。用於此目的之程式或部分程式的範例包含:基板定位指令碼、處理氣體控制指令碼、壓力控制指令碼、加熱器控制指令碼、及電漿控制指令碼。The system software can be designed or configured in many different ways. For example, subroutines or control objects of various chamber components can be written to control the operation of the chamber components, which operations are necessary to implement the inventive deposition process of the present invention. Examples of the program or part of the program used for this purpose include: substrate positioning instruction code, processing gas control instruction code, pressure control instruction code, heater control instruction code, and plasma control instruction code.

一種基板之定位程式可包含用於控制腔室部件之程式指令碼,使用該等腔室部件將基板裝載至基座或夾盤上,以及控制基板與腔室 其他部分(例如氣體入口及/或標靶)之間之間距。處理氣體控制程式可包含指令碼,其用於控制氣體成分與流率,以及選擇性地用於在沉積之前將氣體流入腔室,以穩定腔室中之壓力。過濾器的監控程式包含將已測得之差壓與預定量值進行比較的指令碼,及/或用於交換路徑的指令碼。壓力控制程式可包含用以控制腔室中壓力之指令碼,其係藉由調節例如腔室之排氣系統中的節流閥。加熱器控制程式可包含用於控制輸往加熱單元之電流的指令碼,以在前驅物輸送系統中、基板、及/或系統其它部分中將部件加熱。可替代地,加熱器控制程式可控制熱傳導氣體(例如氦氣)通往晶圓夾盤之輸送。A positioning program for a substrate may include program instruction codes for controlling chamber components, using the chamber components to load the substrate onto a base or chuck, and controlling the substrate and other parts of the chamber (such as a gas inlet and / or Target). The process gas control program may include instruction codes for controlling gas composition and flow rate, and optionally for flowing gas into the chamber before deposition to stabilize the pressure in the chamber. The monitor of the filter includes a command code for comparing the measured differential pressure with a predetermined magnitude, and / or a command code for switching paths. The pressure control program may include a command code to control the pressure in the chamber by adjusting a throttle valve in the exhaust system of the chamber, for example. The heater control program may include instruction codes for controlling the current to the heating unit to heat the components in the precursor conveying system, the substrate, and / or other parts of the system. Alternatively, the heater control program may control the transfer of a thermally conductive gas (such as helium) to the wafer chuck.

在沉積期間可加以監控之感測器的例子,包含但不限於質量流量控制器、壓力感測器(例如壓力計810)、及位於輸送系統之中的熱電耦、底座或夾盤(例如溫度感測器814/220)。可將適當的程式化反饋及控制演算法與來自此等感測器之資料一同使用,以維持理想的處理條件。前文描述在具有單一或多個腔室的半導體處理工具之中本發明實施例之實施。Examples of sensors that can be monitored during deposition include, but are not limited to, mass flow controllers, pressure sensors (such as pressure gauge 810), and thermocouples, bases, or chucks (such as temperature) located in the delivery system Sensor 814/220). Appropriate stylized feedback and control algorithms can be used with data from these sensors to maintain ideal processing conditions. The foregoing describes the implementation of embodiments of the present invention in a semiconductor processing tool having a single or multiple chambers.

在某些實施例中,控制器為系統之一部分,其可為上述實施例之一部分。此等系統可包括半導體處理設備,而半導體處理設備包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理構件(基座、氣流系統等)。該些系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之操作。此等電子設備可指"控制器",其可控制該系統或複數系統之各種構件或次部件。決定於處理需求及/或系統類型之控制器可程式化,以控制本文所揭示之任何製程,包括處理氣體之傳送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體傳送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。In some embodiments, the controller is part of the system, which may be part of the above embodiments. Such systems may include semiconductor processing equipment that includes a processing tool or tools, a chamber or multiple chambers, a processing platform or platforms, and / or specific processing components (bases, airflow systems, etc.) . These systems can be combined with electronic equipment to control semiconductor wafer or substrate operations before, during, and after processing. These electronic devices may be referred to as "controllers", which may control various components or sub-components of the system or plural systems. The controller, which depends on the processing needs and / or system type, can be programmed to control any process disclosed herein, including the transfer of processing gas, temperature settings (such as heating and / or cooling), pressure settings, vacuum settings, power settings , Radio frequency (RF) generator settings, radio frequency matching circuit settings, frequency settings, flow settings, fluid transfer settings, position and operation settings, wafer transfer (in and out of tools or other transfer tools that are connected or connected to specific systems, and / Or loading room).

廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳送至控制器或系統的指令,該單獨設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些具體實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。Broadly speaking, a controller can be defined as an electronic device with various integrated circuits, logic, memory, and / or software for receiving instructions, issuing instructions, controlling operations, starting cleaning operations, starting endpoint measurements, and the like. . The integrated circuit may include: a chip in the form of firmware storing program instructions, a digital signal processor (DSP, digital signal processor), a chip defined as an application specific integrated circuit (ASIC), and / or a chip Or more microprocessors, or microcontrollers that execute program instructions (eg, software). Program instructions can be instructions sent to the controller or system in the form of different individual settings (or program files), which are implemented (on semiconductor wafers, or for semiconductor wafers, or System) specific process and define operating parameters. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer to achieve one or more processing steps during manufacturing of one or more of the following: layer, material, metal, oxide, silicon, Dies of silicon dioxide, surfaces, circuits, and / or wafers.

在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些實施例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。In some embodiments, the controller may be part of or coupled to a computer that is integrated with the system, coupled to the system, connected to the system by other networks, or a combination thereof. For example, the controller may be in all or part of a "cloud" or factory host computer system that allows remote access to wafer processing. The computer enables the system to be remotely accessed to monitor the current progress of manufacturing operations, check the history of past manufacturing operations, and check the trend or performance measurement of multiple manufacturing operations to change the parameters of current processing and set the current processing Process steps, or start a new process. In some embodiments, a remote computer (eg, a server) can provide process recipes to the system through a network, which may include a local area network or the Internet.

遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些實施例中,控制器接收資料形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。The remote computer may include a user interface capable of parameter and / or setting input or programming, and then the parameters and / or settings may be transmitted from the remote computer to the system. In some embodiments, the controller receives a data form instruction that specifies parameters for each processing step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool with which the controller engages or controls. Thus, as described above, the controllers can be decentralized, for example by including one or more separate controllers that are networked together and that operate toward a common purpose (eg, the processes and controls described herein). . An example of a decentralized controller for this purpose is one or more on a chamber that communicates with one or more integrated circuits located remotely (eg, at the level of a platform, or as part of a remote computer) Integrated circuit, the two are combined to control the process on the chamber.

示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。An exemplary system may include, but is not limited to, a plasma etching chamber or module, a deposition chamber or module, a spin cleaning chamber or module, a metal plating chamber or module, a cleaning chamber or module, a diagonal Corner etch chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer Etching (ALE) chambers or modules, ion implantation chambers or modules, orbital chambers or modules, and any other semiconductor processing that can be associated with or used in the manufacture and / or processing of semiconductor wafers system.

如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。As mentioned above, depending on the process steps or multiple steps to be performed by the tool, the controller can communicate with one or more of the following in a semiconductor manufacturing plant: other tool circuits or modules, other tool components, cluster tools , Other tool interfaces, adjacent tools, adjacent tools, tools distributed throughout the factory, host computer, another controller, or tools used in material transfer, the tools used in the material transfer carry wafer containers to and from the tool Location and / or loading port.

具體實施例之前文描述係基於說明和描述目的而提供,其用意不是要徹底詳述或限制本發明。特定具體實施例之各個元件或特徵一般並不限於此特定具體實施例,而是即使未具體示出或描述,其在適用情況下是可以互換且可用於選定之具體實施例中。此亦可用各種方式進行變化。此等變化不被視為背離本發明,並且所有此等修飾亦包含於本發明範圍內。The foregoing description of specific embodiments has been provided for the purpose of illustration and description, and is not intended to exhaustively detail or limit the invention. Individual elements or features of a specific embodiment are generally not limited to this specific embodiment, but even if not specifically shown or described, they are interchangeable and applicable to selected specific embodiments where applicable. This can also be changed in various ways. Such changes are not to be regarded as a departure from the invention, and all such modifications are also included within the scope of the invention.

雖然為致理解清楚,已大致詳述前述具體實施例,然而顯然在隨附申請專利範圍的範疇中,仍能實行某些變更與修飾。因此,應視本具體實施例為說明用而非限制性,且該些具體實施例並不限於此處所示細節,而是可於隨附申請專利範圍之範疇及其均等範圍下進行修飾。Although the foregoing specific embodiments have been described in detail for clarity of understanding, it is apparent that certain changes and modifications can still be implemented within the scope of the accompanying patent application. Therefore, the specific embodiments should be regarded as illustrative rather than restrictive, and the specific embodiments are not limited to the details shown here, but can be modified within the scope of the accompanying patent application and its equivalent scope.

100‧‧‧晶圓處理系統、電漿處理系統100‧‧‧ Wafer processing system, plasma processing system

101‧‧‧晶圓101‧‧‧ wafer

102b‧‧‧處理腔室102b‧‧‧Processing chamber

110‧‧‧處理模組110‧‧‧Processing Module

131‧‧‧輸送機器人131‧‧‧Conveying robot

140‧‧‧基座、處理站140‧‧‧ base, processing station

150‧‧‧設備前端模組150‧‧‧Equipment front-end module

132‧‧‧輸送模組機器人132‧‧‧Conveying module robot

133、152‧‧‧軌道 133, 152‧‧‧ track

160‧‧‧裝載埠160‧‧‧ Loading port

170‧‧‧負載鎖170‧‧‧Load lock

180‧‧‧閘閥180‧‧‧Gate Valve

190‧‧‧輸送模組190‧‧‧conveying module

200‧‧‧載環200‧‧‧ ring

220‧‧‧旋轉機構220‧‧‧ rotating mechanism

226‧‧‧十字叉226226‧‧‧Cross Fork 226

302‧‧‧入站負載鎖302‧‧‧Inbound Load Lock

304‧‧‧出站負載鎖304‧‧‧Outbound Load Lock

308‧‧‧盒308‧‧‧box

310‧‧‧大氣埠310‧‧‧ Atmospheric Port

316‧‧‧腔室輸送埠316‧‧‧ chamber transfer port

405‧‧‧校準晶圓405‧‧‧Cal Wafer

406‧‧‧凹口406‧‧‧notch

409A、409B、409C、409D‧‧‧狀態409A, 409B, 409C, 409D‧‧‧State

410‧‧‧晶圓自動定心感測器410‧‧‧ Wafer Automatic Centering Sensor

420‧‧‧偏移420‧‧‧offset

601‧‧‧初始校正位置601‧‧‧ initial correction position

601’‧‧‧校正參考測量值、參考座標系統601’‧‧‧ Calibration reference measurement value, reference coordinate system

610‧‧‧測量裝置610‧‧‧ measuring device

620A、620B、620C、620D‧‧‧差異向量620A, 620B, 620C, 620D‧‧‧Difference vectors

621、623‧‧‧線Line 621, 623‧‧‧‧

625、725‧‧‧偏移向量625, 725‧‧‧offset vectors

630A、630B、630C、630D、720‧‧‧中心630A, 630B, 630C, 630D, 720‧‧‧ Center

650‧‧‧旋轉軸650‧‧‧rotation axis

650’‧‧‧點650’‧‧‧ points

660‧‧‧初始化座標系統660‧‧‧ Initial coordinate system

660’‧‧‧參考座標系統660’‧‧‧ Reference coordinate system

691‧‧‧雙箭頭691‧‧‧double arrow

693‧‧‧旋轉線693‧‧‧Rotating line

A、B、C、D‧‧‧晶圓A, B, C, D‧‧‧ wafers

800‧‧‧控制模組800‧‧‧control module

802‧‧‧閥門802‧‧‧ Valve

804‧‧‧過濾加熱器804‧‧‧Filter heater

806‧‧‧幫浦806‧‧‧Pu

808‧‧‧其他裝置808‧‧‧Other devices

810‧‧‧壓力計810‧‧‧Pressure gauge

812‧‧‧流量計812‧‧‧Flowmeter

814‧‧‧溫度感測器814‧‧‧Temperature sensor

816‧‧‧其他感測器816‧‧‧Other sensors

818‧‧‧顯示器818‧‧‧Display

820‧‧‧輸入裝置820‧‧‧input device

參照以下說明以及隨附圖式,可最佳地理解實施例。The embodiments are best understood with reference to the following description and accompanying drawings.

圖1為基板處理系統,其用以處理晶圓,例如,於晶圓上形成膜。FIG. 1 is a substrate processing system for processing a wafer, for example, forming a film on the wafer.

圖2為一具體實施例之多站處理工具及/或處理模組之頂部圖,其中設有四個處理站。FIG. 2 is a top view of a multi-station processing tool and / or processing module according to a specific embodiment, in which four processing stations are provided.

圖3為一具體實施例之示例性多站處理工具之示意圖,其具有入站負載鎖及出站負載鎖。FIG. 3 is a schematic diagram of an exemplary multi-site processing tool according to a specific embodiment, which has an inbound load lock and an outbound load lock.

圖4A顯示本發明一具體實施例之載入晶圓,其載入至多站處理模組,且示出載入晶圓之方位,用以測定處理條件下處理模組內之裝置旋轉軸的偏移。FIG. 4A shows a loaded wafer according to a specific embodiment of the present invention, which is loaded into a multi-station processing module, and shows the orientation of the loaded wafer to determine the deviation of the rotation axis of the device in the processing module under processing conditions shift.

圖4B顯示本發明一具體實施例之載出晶圓,其自圖4A所述之多站處理模組輸出,並示出載出晶圓之方位,用以測定處理條件下處理模組內之裝置旋轉軸的偏移。FIG. 4B shows a carried-out wafer according to a specific embodiment of the present invention, which is output from the multi-station processing module described in FIG. 4A, and shows the orientation of the carried-out wafer for measuring the inside of the processing module under processing conditions. Offset of device rotation axis.

圖4C顯示本發明一具體實施例中測定偏移的程序,其利用載入晶圓及載出晶圓的測量值,以測定處理條件下處理模組內旋轉裝置之旋轉軸的偏移。FIG. 4C shows a procedure for determining an offset in a specific embodiment of the present invention, which uses measured values of a loaded wafer and an unloaded wafer to measure the offset of a rotation axis of a rotating device in a processing module under processing conditions.

圖4D顯示本發明一具體實施例中計算偏移修正向量之示例。FIG. 4D shows an example of calculating an offset correction vector in a specific embodiment of the present invention.

圖4E為流程圖,其顯示本發明一具體實施例之方法,其測定處理條件下處理模組中之基座因溫度導致的偏移。FIG. 4E is a flowchart showing a method of a specific embodiment of the present invention, which measures the temperature-induced shift of the base in the processing module under processing conditions.

圖5A為流程圖,其顯示本發明一具體實施例之方法,其測定校準晶圓之校正參考測量值(如初始化位置),此校準晶圓係由輸送模組(TM)機器人固持並由測量裝置量測,其中校正參考測量值的位置對準於處理模組內旋轉裝置之旋轉軸的初始校正位置。FIG. 5A is a flowchart showing a method of a specific embodiment of the present invention, which determines a calibration reference measurement value (such as an initialization position) of a calibration wafer, which is held by a transport module (TM) robot and measured by a measurement Device measurement, where the position of the correction reference measurement value is aligned with the initial correction position of the rotation axis of the rotation device in the processing module.

圖5B為流程圖,其顯示本發明一具體實施例之方法,其係使用校準晶圓之校正參考測量值,以測定位於處理模組(於處理條件下)內之旋轉裝置的旋轉軸偏移。5B is a flowchart showing a method of a specific embodiment of the present invention, which uses a calibration reference measurement value of a calibration wafer to determine a rotation axis offset of a rotating device located in a processing module (under processing conditions). .

圖5C為流程圖,其顯示本發明一具體實施例之方法,其測定載入處理晶圓偏離校正參考測量值之對位偏移,並根據該對位偏移,對載入處理晶圓施加對位修正量,且根據處理模組內之旋轉裝置的旋轉軸偏移,對載入處理晶圓施加條件修正量。FIG. 5C is a flowchart showing a method according to a specific embodiment of the present invention, which determines a registration offset of a load processing wafer offset correction reference measurement value, and applies the load processing wafer to the load processing wafer according to the registration offset. An alignment correction amount, and a condition correction amount is applied to the loaded processing wafer according to the rotation axis deviation of the rotating device in the processing module.

圖6A顯示本發明一具體實施例中校準晶圓之校正參考測量值,該校正參考測量值對準於處理模組內旋轉裝置之旋轉軸的初始位置,並顯示校準晶圓旋轉時,處理模組內旋轉裝置之旋轉軸偏移對校準晶圓之影響。FIG. 6A shows a calibration reference measurement value of a calibration wafer according to a specific embodiment of the present invention. The calibration reference measurement value is aligned with an initial position of a rotation axis of a rotating device in a processing module, and shows that the processing mold is calibrated when the calibration wafer is rotated. The influence of the rotation axis deviation of the rotating device in the group on the calibration wafer.

圖6B顯示本發明一具體實例之旋轉軸偏移測定,其藉由旋轉裝置,使載入晶圓旋轉一角度,以測定處理模組內旋轉裝置之旋轉軸的偏移,其中該測定為對位未知論。FIG. 6B shows a rotation axis offset measurement of a specific example of the present invention, which uses a rotating device to rotate the loaded wafer by an angle to measure the offset of the rotation axis of the rotation device in the processing module. Unknown.

圖7顯示本發明一具體實施例之載入處理晶圓的對位偏移,其偏離校正參考測量值。FIG. 7 shows an alignment offset of a processing wafer loaded in a specific embodiment of the present invention, and its offset correction reference measurement value.

圖8顯示用以控制上述系統之控制模組。FIG. 8 shows a control module for controlling the above system.

Claims (25)

一種測定處理模組之基座於製程之溫度條件下因溫度導致之偏移的方法,包括:       藉由一機器人,將一晶圓傳送至該處理模組之該基座,並偵測一移入偏移值;       使該基座上之該晶圓旋轉一角度;       藉由該機器人,將該晶圓從該基座移開,並測量一移出偏移值;以及       利用該移入偏移值及該移出偏移值,測定該溫度導致之偏移的量值及方向。A method for measuring the temperature-induced shift of the processing module's pedestal under the temperature conditions of the process, including: 传送 A robot transfers a wafer to the processing module's pedestal, and detects a move-in An offset value; rotating the wafer on the pedestal by an angle; 移 removing the wafer from the pedestal by the robot, and measuring an out-of-offset value; and using the in-offset value and the Remove the offset value and determine the magnitude and direction of the offset caused by the temperature. 如申請專利範圍第1項所述之該方法,更包括:       根據該處理模組內之該基座的一初始校正位置,於一參考座標系統內定義出一校正參考測量值,       其中該移入偏移值係從該校正參考測量值測得,且       其中該移出偏移值係從該校正參考測量值測得。The method as described in item 1 of the scope of patent application, further comprising: defining a calibration reference measurement value in a reference coordinate system according to an initial calibration position of the base in the processing module, where the shift into the bias The shift value is measured from the correction reference measurement value, and wherein the shift-out offset value is measured from the correction reference measurement value. 如申請專利範圍第2項所述之該方法,更包括:       其中偵測該移入偏移值的該步驟包括:使用固定於該參考座標系統內之一測量裝置,於該參考座標系統內測定該晶圓之一第一測量值;且       其中偵測該移出偏移值的該步驟包括:使用該測量裝置,於該參考座標系統內測定該晶圓之一第二測量值。The method according to item 2 of the scope of patent application, further comprising: wherein the step of detecting the shift-in offset value comprises: using a measuring device fixed in the reference coordinate system to determine the value in the reference coordinate system One of the wafers has a first measurement value; and the step of detecting the shift-out offset value includes using the measurement device to determine a second measurement value of the wafer in the reference coordinate system. 如申請專利範圍第2項所述之該方法,更包括:       當未施加條件至該處理模組時,將該機器人校正至對應於該基座之中心的一初始校正位置,該 參考座標系統係根據該初始校正位置;       將一校準晶圓放置於該基座之該中心;       利用該機器人,將該校準晶圓從該基座移開;以及       使用固定於該參考座標系統內之一測量裝置,於該參考座標系統內定義出該校準晶圓之該校正參考測量值,該校正參考測量值係對準該初始校正位置。The method according to item 2 of the scope of patent application, further comprising: when no condition is applied to the processing module, the robot is calibrated to an initial calibration position corresponding to the center of the base, the reference coordinate system is According to the initial calibration position; placing a calibration wafer at the center of the pedestal; using the robot to remove the calibration wafer from the pedestal; and using a measurement device fixed in the reference coordinate system, The calibration reference measurement value of the calibration wafer is defined in the reference coordinate system, and the calibration reference measurement value is aligned with the initial calibration position. 如申請專利範圍第2項所述之該方法,更包括:       根據該溫度導致之偏移,測定該基座之該中心的一溫度修正量,該溫度導致之偏移係對應於當該處理模組於該溫度時,該基座之該中心偏離該初始校正位置之該偏移。The method according to item 2 of the scope of patent application, further comprising: 测定 determining a temperature correction amount of the center of the base according to the offset caused by the temperature, the offset caused by the temperature corresponding to when the processing mold When set at the temperature, the center of the base deviates from the initial correction position by the offset. 如申請專利範圍第5項所述之該方法,其中測定該溫度修正量之該步驟包括:       測定該移入偏移值與該移出偏移值之間的一差異向量;以及       將該差異向量之量值減半,以測定該基座之該中心偏離該初始校正位置之該溫度導致之偏移,其中該溫度導致之偏移係對應於該溫度修正量。The method according to item 5 of the scope of patent application, wherein the step of determining the temperature correction amount comprises: determining a difference vector between the shift-in offset value and the shift-out offset value; and the amount of the difference vector The value is halved to determine the offset caused by the temperature at which the center of the base deviates from the initial correction position, wherein the offset caused by the temperature corresponds to the temperature correction amount. 如申請專利範圍第1項所述之該方法,其中旋轉該晶圓之該步驟包括:       將該晶圓放置於一升抬墊上,該升抬墊係配置成用以從該基座分開並相對於該基座旋轉;       沿著一旋轉軸,將該升抬墊自該基座分開;以及       使該升抬墊於定義該角度之至少一第一角度方向與一第二角度方向之間,相對於該基座旋轉。The method according to item 1 of the scope of patent application, wherein the step of rotating the wafer includes: 放置 placing the wafer on a lifting pad configured to be separated from the base and opposite Rotate on the base; 分开 separate the lifting pad from the base along a rotation axis; and make the lifting pad between at least a first angle direction and a second angle direction defining the angle, and Rotate on the base. 一種校正方法,包括:       根據一處理模組內一旋轉裝置之一旋轉軸的一初始校正位置,建立一參考座標系統;       施加一條件至該處理模組;       使用一輸送模組(TM)機器人,將一校準晶圓從一入站負載鎖取起,該輸送模組(TM)機器人係配置成用以將該校準晶圓輸送至該處理模組;       當將該校準晶圓輸送至該處理模組時,使用一測量裝置,於該參考座標系統內測定該校準晶圓之一第一測量值,該測量裝置係固定於該參考座標系統內;       將該校準晶圓交遞至該處理模組;       將該校準晶圓接置於該旋轉裝置;       使用該旋轉裝置,使該校準晶圓旋轉一角度;       使用該TM機器人,將該校準晶圓移出該處理模組;       當將該校準晶圓輸送至一出站負載鎖時,使用該測量裝置,於該參考座標系統內測定該校準晶圓之一第二測量值;以及       根據該第一測量值及該第二測量值,測定該旋轉軸之條件修正量,該條件修正量係對應於當該處理模組於該條件下時,該旋轉軸偏離該初始校正位置之偏移。A calibration method includes: 建立 establishing a reference coordinate system based on an initial calibration position of a rotation axis of a rotating device in a processing module; applying a condition to the processing module; using a transport module (TM) robot, Picking up a calibration wafer from an inbound load lock, the transfer module (TM) robot is configured to transfer the calibration wafer to the processing module; when the calibration wafer is transferred to the processing module During assembly, a measurement device is used to determine a first measurement value of the calibration wafer in the reference coordinate system, and the measurement device is fixed in the reference coordinate system; 交 The calibration wafer is delivered to the processing module Connect the calibration wafer to the rotation device; Use the rotation device to rotate the calibration wafer by an angle; Use the TM robot to move the calibration wafer out of the processing module; When the calibration wafer is transported To an outbound load lock Using the measuring device to measure a second measurement value of the calibration wafer in the reference coordinate system; and determining a condition correction amount of the rotation axis and the condition correction amount according to the first measurement value and the second measurement value It corresponds to the deviation of the rotation axis from the initial correction position when the processing module is under the condition. 如申請專利範圍第8項所述之該方法,其中測定該條件修正量之該步驟包括:       測定該第一測量值與該第二測量值之間的一差異向量;以及       將該差異向量之量值減半,以測定該旋轉軸偏離該初始校正位置之該偏移,其中該偏移係對應於該條件修正量。The method as described in item 8 of the scope of patent application, wherein the step of determining the condition correction amount includes: determining a difference vector between the first measurement value and the second measurement value; and the amount of the difference vector The value is halved to determine the offset of the rotation axis from the initial correction position, where the offset corresponds to the condition correction amount. 如申請專利範圍第8項所述之該方法,其中施加一條件之該步驟包括進行下述操作之至少一者:       使該處理模組處於一處理溫度;以及       施予一真空至該處理模組。The method as described in claim 8 of the patent application scope, wherein the step of applying a condition includes performing at least one of the following operations: bringing the processing module at a processing temperature; and applying a vacuum to the processing module . 如申請專利範圍第8項所述之該方法,其中建立一參考座標系統之該步驟包括:       當未施加條件至該處理模組時,將該TM機器人校正至該初始校正位置,該參考座標系統係根據該初始校正位置;       將該校準晶圓放置於該旋轉裝置內,並以該旋轉軸為中心;       利用該TM機器人,將該校準晶圓移出該處理模組;以及       使用該測量裝置,於該參考座標系統內建立該校準晶圓之一校正參考測量值,該校正參考測量值係對準該旋轉軸之該初始校正位置。The method according to item 8 of the scope of patent application, wherein the step of establishing a reference coordinate system includes: when no condition is applied to the processing module, calibrating the TM robot to the initial correction position, the reference coordinate system Based on the initial calibration position; 放置 placing the calibration wafer in the rotation device, centered on the rotation axis; using the TM robot to move the calibration wafer out of the processing module; and using the measurement device, in A calibration reference measurement value for the calibration wafer is established in the reference coordinate system, and the calibration reference measurement value is aligned with the initial calibration position of the rotation axis. 如申請專利範圍第8項所述之該方法,其中使該校準晶圓旋轉一角度的該步驟包括: 將該校準晶圓放置於該旋轉裝置之一升抬墊上,該旋轉裝置係配置成用以於一處理晶圓上沉積膜,該旋轉裝置接置於一基座上,該基座具有自該基座之一中心軸延伸之一基座頂面,該升抬墊係配置成用以置於該基座頂面上或與該基座頂面分開; 沿著該中心軸,將該升抬墊自該基座頂面分開;以及 使該升抬墊於定義該角度之至少一第一角度方向與一第二角度方向之間,相對於該基座頂面旋轉。The method according to item 8 of the scope of patent application, wherein the step of rotating the calibration wafer by an angle includes: placing the calibration wafer on a lifting pad of the rotating device, the rotating device being configured to be used To deposit a film on a processing wafer, the rotary device is connected to a base, the base has a top surface of the base extending from a central axis of the base, and the lifting pad is configured to be used for Placed on the top surface of the base or separated from the top surface of the base; along the central axis, the lifting pad is separated from the top surface of the base; and the lifting pad is at least one first defining the angle Between an angular direction and a second angular direction, it is rotated relative to the top surface of the base. 如申請專利範圍第12項所述之該方法,其中該角度之範圍係從大於0度至小於或等於180度。The method according to item 12 of the patent application range, wherein the angle ranges from greater than 0 degrees to less than or equal to 180 degrees. 如申請專利範圍第12項所述之該方法,其中該升抬墊之一直徑係接近於一晶圓直徑。The method according to item 12 of the application, wherein a diameter of one of the lifting pads is close to a wafer diameter. 如申請專利範圍第12項所述之該方法,其中該升抬墊之一直徑係小於一晶圓直徑。The method according to item 12 of the application, wherein a diameter of one of the lifting pads is smaller than a wafer diameter. 如申請專利範圍第8項所述之該方法,其中使該校準晶圓旋轉一角度之該步驟包括: 使用一心軸機器人之一末端執行器,將該校準晶圓從該處理模組中之一第一站取起,該心軸機器人係配置成用以於該處理模組內之處理站間輸送晶圓,其中該末端執行器係配置成用以旋轉該晶圓;以及 將旋轉後之該校準晶圓放置於該第一站上,以自該處理模組移出。The method according to item 8 of the scope of patent application, wherein the step of rotating the calibration wafer by an angle includes: using an end effector of a spindle robot to remove the calibration wafer from one of the processing modules Taken at the first station, the mandrel robot is configured to transfer wafers between processing stations in the processing module, wherein the end effector is configured to rotate the wafer; and the rotated The calibration wafer is placed on the first station to be removed from the processing module. 一種校正方法,包括: 根據一處理模組內一旋轉裝置之一旋轉軸的一初始校正位置,建立一參考座標系統; 當使用一輸送模組(TM)機器人將一校準晶圓從該初始校正位置移出該處理模組時,使用固定於該參考座標系統內之一測量裝置,於該參考座標系統內建立該校準晶圓之一校正參考測量值,該校準晶圓係放置成以該旋轉軸為中心,使得該校正參考測量值對準該旋轉軸之該初始校正位置; 根據該校準晶圓利用該處理模組內之該旋轉裝置繞著該旋轉軸旋轉一角度,測定該旋轉軸之一條件修正量,該條件修正量係對應於當該處理模組於一條件下時,該旋轉軸偏離該初始校正位置之一偏移; 使用該TM機器人,將一處理晶圓從一入站負載鎖取起; 當將該處理晶圓輸送至該處理模組時,使用該測量裝置,於該參考座標系統內測定該處理晶圓之一對位測量值; 根據該對位測量值,測定該處理晶圓之一對位修正量,該對位修正量係對應於該處理晶圓偏離該校正參考測量值之一偏移; 使用該TM機器人,對該處理晶圓施以該條件修正量;以及 使用該TM機器人,施以該對位修正量,以將該處理晶圓對準於偏離該初始校正位置之該旋轉軸。A calibration method includes: establishing a reference coordinate system based on an initial calibration position of a rotation axis of a rotating device in a processing module; when using a transport module (TM) robot to calibrate a calibration wafer from the initial calibration When the position is moved out of the processing module, a measurement device fixed in the reference coordinate system is used to establish a calibration reference measurement value of the calibration wafer in the reference coordinate system, and the calibration wafer is placed with the rotation axis As the center, the calibration reference measurement value is aligned with the initial calibration position of the rotation axis; according to the calibration wafer, the rotation device in the processing module is used to rotate an angle around the rotation axis to determine one of the rotation axes Condition correction amount, which corresponds to an offset of the rotation axis from the initial correction position when the processing module is under a condition; using the TM robot to load a processing wafer from an inbound load Lock up; when processing the wafer When sent to the processing module, the measurement device is used to determine an alignment measurement value of the processing wafer in the reference coordinate system; according to the alignment measurement value, an alignment correction amount of the processing wafer is determined, The alignment correction amount corresponds to an offset of the processing wafer from one of the correction reference measurement values; using the TM robot, applying the condition correction amount to the processing wafer; and using the TM robot, applying the pair The correction amount is adjusted to align the processing wafer with the rotation axis that is offset from the initial correction position. 如申請專利範圍第17項所述之該方法,更包括: 將該處理晶圓交遞至該處理模組,以進行處理。The method according to item 17 of the scope of patent application, further comprising: delivering the processing wafer to the processing module for processing. 如申請專利範圍第17項所述之該方法,其中測定一校正參考測量值的該步驟包括:       當未施加條件至該處理模組時,將該TM機器人校正至該初始校正位置,該參考座標系統係根據該初始校正位置;       將該校準晶圓放置於該旋轉裝置內,並以該旋轉軸為中心;       利用該TM機器人,將該校準晶圓移出該處理模組;以及       使用該測量裝置,於該參考座標系統內建立該校準晶圓之一校正參考測量值,該校正參考測量值係對準該旋轉軸之該初始校正位置。The method according to item 17 of the scope of patent application, wherein the step of determining a reference calibration measurement value comprises: when no condition is applied to the processing module, calibrating the TM robot to the initial calibration position, the reference coordinate The system is based on the initial calibration position; the calibration wafer is placed in the rotation device with the rotation axis as the center; the TM robot is used to move the calibration wafer out of the processing module; and using the measurement device, A calibration reference measurement value of the calibration wafer is established in the reference coordinate system, and the calibration reference measurement value is aligned with the initial calibration position of the rotation axis. 如申請專利範圍第17項所述之該方法,其中測定一校正參考測量值之該步驟包括: 施加一條件至該處理模組; 使用一輸送模組(TM)機器人,將一校準晶圓從一入站負載鎖取起,該輸送模組(TM)機器人係配置成用以將該校準晶圓輸送至該處理模組; 當將該校準晶圓輸送至該處理模組時,使用一測量裝置,於該參考座標系統內測定該校準晶圓之一第一測量值,該測量裝置係固定於該參考座標系統內; 將該校準晶圓交遞至該處理模組; 將該校準晶圓接置於該旋轉裝置; 使用該旋轉裝置,使該校準晶圓旋轉一角度; 使用該TM機器人,將該校準晶圓移出該處理模組; 當將該校準晶圓輸送至一出站負載鎖時,使用該測量裝置,於該參考座標系統內測定該校準晶圓之一第二測量值;以及 根據該第一測量值及該第二測量值,測定該旋轉軸之該條件修正量。The method according to item 17 of the scope of patent application, wherein the step of determining a calibration reference measurement value includes: applying a condition to the processing module; using a transfer module (TM) robot to move a calibration wafer from Picked up by an inbound load lock, the transfer module (TM) robot is configured to transfer the calibration wafer to the processing module; when the calibration wafer is transferred to the processing module, a measurement is used A device for measuring a first measurement value of the calibration wafer in the reference coordinate system, the measurement device is fixed in the reference coordinate system; delivering the calibration wafer to the processing module; and calibrating the calibration wafer Connected to the rotating device; using the rotating device to rotate the calibration wafer by an angle; using the TM robot to move the calibration wafer out of the processing module; when the calibration wafer is transported to an outbound station When the load is locked, a second measurement value of the calibration wafer is determined in the reference coordinate system using the measurement device; and the condition correction amount of the rotation axis is determined according to the first measurement value and the second measurement value. . 如申請專利範圍第20項所述之該方法,其中使該校準晶圓旋轉一角度之該步驟包括: 將該校準晶圓放置於該旋轉裝置之一升抬墊上,該旋轉裝置係配置成用以於一處理晶圓上沉積膜,該旋轉裝置接置於一基座上,該基座具有自該基座之一中心軸延伸之一基座頂面,該升抬墊係配置成用以置於該基座頂面上或與該基座頂面分開; 沿著該中心軸,將該升抬墊自該基座頂面分開;以及 使該升抬墊於定義該角度之至少一第一角度方向與一第二角度方向之間,相對於該基座頂面旋轉。The method according to item 20 of the scope of patent application, wherein the step of rotating the calibration wafer by an angle includes: placing the calibration wafer on a lifting pad of the rotating device, the rotating device being configured to be used To deposit a film on a processing wafer, the rotary device is connected to a base, the base has a top surface of the base extending from a central axis of the base, and the lifting pad is configured to be used for Placed on the top surface of the base or separated from the top surface of the base; along the central axis, the lifting pad is separated from the top surface of the base; and the lifting pad is at least one first defining the angle Between an angular direction and a second angular direction, it is rotated relative to the top surface of the base. 如申請專利範圍第21項所述之該方法,其中該角度之範圍係從大於0度至小於或等於180度。The method according to item 21 of the patent application range, wherein the angle ranges from greater than 0 degrees to less than or equal to 180 degrees. 如申請專利範圍第21項所述之該方法,其中使該校準晶圓旋轉一角度之該步驟包括: 使用一心軸機器人之一末端執行器,將該校準晶圓從該處理模組中之一第一站取起,該心軸機器人係配置成用以於該處理模組內之處理站間輸送晶圓,其中該末端執行器係配置成用以旋轉該晶圓;以及 將旋轉後之該校準晶圓放置於該第一站上,以自該處理模組移出。The method as described in claim 21, wherein the step of rotating the calibration wafer by an angle includes: using an end effector of a spindle robot to remove the calibration wafer from one of the processing modules Taken at the first station, the mandrel robot is configured to transfer wafers between processing stations in the processing module, wherein the end effector is configured to rotate the wafer; and the rotated The calibration wafer is placed on the first station to be removed from the processing module. 如申請專利範圍第21項所述之該方法,其中該測定一條件修正量之該步驟包括: 測定該第一測量值與該第二測量值之間的一差異向量;以及 將該差異向量之量值減半,以測定該旋轉軸偏離該初始校正位置之該偏移,其中該偏移係對應於該條件修正量。The method according to item 21 of the scope of patent application, wherein the step of determining a conditional correction amount comprises: determining a difference vector between the first measurement value and the second measurement value; and the difference vector The magnitude is halved to determine the offset of the rotation axis from the initial correction position, where the offset corresponds to the condition correction amount. 如申請專利範圍第21項所述之該方法,更包括:       施加該條件至該處理模組,包括進行下述操作之至少一者:使該處理模組處於一處理溫度,以及施予一真空至該處理模組。The method according to item 21 of the scope of patent application, further comprising: applying the condition to the processing module, including performing at least one of the following operations: placing the processing module at a processing temperature, and applying a vacuum To the processing module.
TW107143395A 2017-12-06 2018-12-04 Auto-calibration to a station of a process module that spins a wafer TWI797207B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762595454P 2017-12-06 2017-12-06
US62/595,454 2017-12-06
US16/000,734 US10651065B2 (en) 2017-12-06 2018-06-05 Auto-calibration to a station of a process module that spins a wafer
US16/000,734 2018-06-05

Publications (2)

Publication Number Publication Date
TW201935604A true TW201935604A (en) 2019-09-01
TWI797207B TWI797207B (en) 2023-04-01

Family

ID=66658174

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107143395A TWI797207B (en) 2017-12-06 2018-12-04 Auto-calibration to a station of a process module that spins a wafer

Country Status (5)

Country Link
US (4) US10651065B2 (en)
KR (3) KR20230038598A (en)
CN (1) CN111448645A (en)
TW (1) TWI797207B (en)
WO (1) WO2019112747A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7008573B2 (en) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 Transport method and transport device
JP7058239B2 (en) * 2019-03-14 2022-04-21 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US11823937B2 (en) 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
CN114630924A (en) * 2019-11-01 2022-06-14 朗姆研究公司 System and method for cleaning a spray head
CN113066746B (en) * 2020-01-02 2022-03-22 长鑫存储技术有限公司 Pre-alignment device and pre-alignment method applied to same
US11759954B2 (en) * 2020-03-17 2023-09-19 Applied Materials, Inc. Calibration of an electronics processing system
CN111562482A (en) * 2020-06-19 2020-08-21 青岛歌尔微电子研究院有限公司 Wafer performance testing device and method
US11854853B2 (en) * 2021-03-12 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning method and apparatus

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6836690B1 (en) 2002-07-19 2004-12-28 Nanometrics Incorporated High precision substrate prealigner
US20100012855A1 (en) * 2005-06-03 2010-01-21 Rudolph Technologies, Inc Wafer Scanning
JP2009500869A (en) 2005-07-11 2009-01-08 ブルックス オートメーション インコーポレイテッド Equipment with on-the-fly workpiece centering
US8279406B2 (en) * 2005-10-19 2012-10-02 Nikon Corporation Article loading/unloading method and article loading/unloading device, exposure method and exposure apparatus, and method of manufacturing device
JP5490741B2 (en) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 Substrate transport apparatus position adjustment method and substrate processing apparatus
US9196518B1 (en) * 2013-03-15 2015-11-24 Persimmon Technologies, Corp. Adaptive placement system and method
WO2014144533A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor
TWI695447B (en) * 2013-11-13 2020-06-01 布魯克斯自動機械公司 Transport apparatus
US9349629B2 (en) * 2014-01-23 2016-05-24 Lam Research Corporation Touch auto-calibration of process modules
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10522380B2 (en) 2014-06-20 2019-12-31 Applied Materials, Inc. Method and apparatus for determining substrate placement in a process chamber
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
JP6806704B2 (en) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multi-zone electrostatic chuck adjustable in azimuth direction

Also Published As

Publication number Publication date
KR20220143165A (en) 2022-10-24
US11742229B2 (en) 2023-08-29
KR20200086376A (en) 2020-07-16
WO2019112747A1 (en) 2019-06-13
US10651065B2 (en) 2020-05-12
KR102507845B1 (en) 2023-03-07
US20190172738A1 (en) 2019-06-06
TW202331910A (en) 2023-08-01
KR102455908B1 (en) 2022-10-17
KR20230038598A (en) 2023-03-20
US11239100B2 (en) 2022-02-01
CN111448645A (en) 2020-07-24
US20200273731A1 (en) 2020-08-27
US20230395410A1 (en) 2023-12-07
TWI797207B (en) 2023-04-01
US20220108902A1 (en) 2022-04-07

Similar Documents

Publication Publication Date Title
TWI797207B (en) Auto-calibration to a station of a process module that spins a wafer
CN110062818B (en) Wafer positioning pedestal for semiconductor processing
US9831110B2 (en) Vision-based wafer notch position measurement
TWI733972B (en) Wafer processing apparatus, recording medium and wafer conveying method
TW201834128A (en) Edge ring centering method using ring dynamic alignment data
TW202101654A (en) Fixture for automatic calibration of substrate transfer robot
JP2005262367A (en) Carrying dislocation confirming method of carrying robot and processing system
CN106409741B (en) Position measurement based on visible wafer notch
TWI838131B (en) Auto-calibration to a station of a process module that spins a wafer
US20230047039A1 (en) Edge ring transfer with automated rotational pre-alignment
US20220299980A1 (en) Teaching method for transfer device, and transfer system
JP2013125796A (en) Plasma processing method and device
KR102662003B1 (en) Vision-based wafer notch position measurement
TW202137822A (en) High precision edge ring centering for substrate processing systems
TW202303674A (en) Substrate processing apparatus and substrate transfer position adjustment method