TW201924056A - 具有汲極場板的氮化鎵電晶體及其製造方法 - Google Patents

具有汲極場板的氮化鎵電晶體及其製造方法 Download PDF

Info

Publication number
TW201924056A
TW201924056A TW107128553A TW107128553A TW201924056A TW 201924056 A TW201924056 A TW 201924056A TW 107128553 A TW107128553 A TW 107128553A TW 107128553 A TW107128553 A TW 107128553A TW 201924056 A TW201924056 A TW 201924056A
Authority
TW
Taiwan
Prior art keywords
gate
drain
gate structure
field plate
transistor
Prior art date
Application number
TW107128553A
Other languages
English (en)
Other versions
TWI770252B (zh
Inventor
漢威 陳
馬可 拉多撒傑
山薩塔克 達斯古塔
史黛芬 露思納
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201924056A publication Critical patent/TW201924056A/zh
Application granted granted Critical
Publication of TWI770252B publication Critical patent/TWI770252B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • H01L21/28587Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds characterised by the sectional shape, e.g. T, inverted T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/765Making of isolation regions between components by field effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/20Power amplifiers, e.g. Class B amplifiers, Class C amplifiers
    • H03F3/21Power amplifiers, e.g. Class B amplifiers, Class C amplifiers with semiconductor devices only
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/45Differential amplifiers
    • H03F3/45071Differential amplifiers with semiconductor devices only
    • H03F3/45076Differential amplifiers with semiconductor devices only characterised by the way of implementation of the active amplifying circuit in the differential amplifier
    • H03F3/45179Differential amplifiers with semiconductor devices only characterised by the way of implementation of the active amplifying circuit in the differential amplifier using MOSFET transistors as the active amplifying circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2200/00Indexing scheme relating to amplifiers
    • H03F2200/451Indexing scheme relating to amplifiers the amplifier being a radio frequency amplifier

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Thin Film Transistor (AREA)

Abstract

描述了具有汲極場板的氮化鎵(GaN)電晶體及其製造方法。在一個示例中,一個電晶體包括氮化鎵(GaN)層,位於基板上方;閘極結構,位於該GaN層上方;源極區,位於該閘極結構的第一側上;汲極區,位於該閘極結構的第二側上,該第二側與該第一側相對;及汲極場板,位於該汲極區上方,其中該汲極場板不電耦合到該閘極結構或該源極區。

Description

具有汲極場板的氮化鎵電晶體及其製造方法
本公開的實施例涉及半導體積體電路,特別是關於具有汲極場板(field plate)的GaN電晶體。
需要RF功率放大器(RF power amplifier, RF PA)來在位於遠距離(例如大於1英里)的行動裝置和基站之間傳輸RF信號。這些RF PA的效率是行動手機中電池壽命和RF基站功耗(成本)的關鍵決定因素。對於諸如4G LTE和未來5G標準的現代通訊標準,RF功率放大器需要良好的線性度。RF PA通常從其飽和模式下退後(back-off)幾個dB操作,以滿足線性要求。因此,效率受到損害,並且在大多數PA中,它可能降低2-3倍。
由於其寬能隙(bandgap)和高臨界崩潰電場(breakdown electric field),氮化鎵(GaN)電晶體被考慮用於高壓應用,例如功率轉換器、RF功率放大器、RF開關和高壓應用。簡單的電晶體架構,即,具有單一閘極、源極和汲極,不能實現GaN的全部潛力,以達到由其材料特性決定的最大崩潰電壓。這是因為汲極電場集中在閘極的邊緣及導致過早崩潰(premature breakdown)。
描述具有汲極場板的氮化鎵(GaN)電晶體。在以下描述中,闡述了許多具體細節,例如特定材料和加工方案,以便提供對本公開的實施例的透徹理解。對於本領域技術人員顯而易見的是,可以在沒有這些具體細節的情況下實踐本公開的實施例。在其他情況下,沒有詳細描述眾所周知的特徵,例如單鑲嵌(damascene)或雙鑲嵌處理,以免不必要地模糊本公開的實施例。此外,應理解,圖中所示的各種實施例是說明性表示,並且不一定按比例繪製。在一些情況下,各種操作將以最有助於理解本公開的方式被描述為多個離散操作,然而,描述的順序不應被解釋為暗示這些操作必須依賴於順序。特別是,這些操作不需要按呈現順序執行。
某些用語也可以在以下描述中使用,僅用於參考目的,因此不旨在限制。例如,諸如“上”、“下”、“上方”、“下方”、“底部”和“頂部”的用語指的是參考的附圖中的方向。諸如“前”、“後”、“後方”和“側”之類的用語在一致但任意的參考框架內描述了元件的部分的定向及/或位置,該參考框架通過參考文字和描述正在討論的元件的相關圖。這樣的用語可以包括上面具體提到的詞語、其衍生詞和類似含義的詞語。
本文描述的實施例可以涉及到前端製程(front-end-of-line, FEOL)半導體處理和結構。FEOL是積體電路(IC)製造的第一部分,其中各個裝置(例如,電晶體、電容器、電阻器等)在半導體基板或層中被圖案化。FEOL通常涵蓋金屬互連層沉積的所有內容(但非包括)。在最後的FEOL操作之後,結果通常是具有隔離的電晶體的晶圓(例如,沒有任何導線(wire))。
這裡描述的實施例可以涉及後端製程(back end of line, BEOL)半導體處理和結構。BEOL是IC製造的第二部分,其中各個裝置(例如,電晶體、電容器、電阻器等)與晶圓上的佈線(例如,金屬化層或多個層)互連。BEOL包括接點、絕緣層(電介質(dielectric))、金屬層和用於晶片到封裝(chip-to-package)連接的接合點(bonding site)。在製造階段的BEOL部分中,形成接點(焊盤(pad))、互連線(interconnect wires)、通孔(via)和介電結構(dielectric structure)。對於現代IC製程,BEOL中可以添加10個以上的金屬層。
下面描述的實施例可以適用於FEOL處理和結構、BEOL處理和結構、或者FEOL和BEOL處理和結構。特別地,儘管可以使用FEOL處理情境來說明示例性處理方案,但是這樣的方法也可以適用於BEOL處理。同樣地,儘管可以使用BEOL處理情境來說明示例性處理方案,但是這樣的方法也可以適用於FEOL處理。
本公開的實施例涉及具有汲極場板的氮化鎵(GaN)電晶體。在實施例中,本公開的電晶體具有設置在基板上方的氮化鎵(GaN)層。閘極結構設置在GaN層上方。源極區和汲極區設置在閘極結構的相對側。汲極場板可以被偏壓到不同於閘極電壓及/或VSS的電位(electrical potential),從而提供對汲極場的更大程度的控制。本發明的電晶體可以賦能新的電路架構,諸如交叉耦合對(cross-coupled pair)。另外,可以獨立地調節汲極場板在汲極上方延伸的距離,以改善場板對汲極場分佈的影響,並因此增加崩潰電壓和線性度。在一實施例中,電晶體操作於增強模式。在一實施例中,閘極結構可以具有“T”形以減少閘極結構的電阻。在一實施例中,電晶體可以包括設置在閘極結構和汲極場板之間的第二閘極結構或多個閘極結構,以提供用於例如RF分壓器(voltage divider)的多閘極開關。
圖1示出根據本公開實施例,具有汲極場板的電晶體100。電晶體100包括設置在基板104上方的GaN層102。緩衝層106可以設置在GaN層102和基板104之間。如圖1所示,閘極結構108設置在GaN層102上方。閘極結構108可以包括閘極電介質110,例如高k(high k)閘極電介質,例如但不限於氧化鉿(例如,HfO2 )和氧化鋁(例如,Al2 O3 ),以及閘極電極112,例如金屬閘極電極。如圖1所示,源極區114和汲極區116設置在閘極結構108的相對側上。
如圖1中所示,電晶體100上方包括位於汲極區116上方的汲極場板120。汲極場板120與汲極區116分開一距離(dDFP )。汲極場板120可以與閘極結構分開108分開一距離dD G
在一實施例中,源極區114包括源極接點124,汲極區116包括汲極接點126。源極接點124可以包括源極半導體接點128和源極金屬接點130,汲極接點126可以包括汲極半導體接點132和汲極金屬接點134。在如圖1所示的一實施例中,源極半導體接點128和汲極半導體接點132由III-N半導體形成,例如但不限於氮化銦鎵(InGaN)。在一實施例中,III-N半導體具有N+導電率,例如,大於1×1018 原子/cm3 。在一實施例中,源極金屬接點130和汲極金屬接點134包括金屬,例如但不限於鎢。如圖1所示,在一實施例中,汲極場板120橫向地位於汲極金屬接點134和閘極結構108之間。
電晶體100可以包括設置在GaN層102上的極化層140。極化層140可以由III-N半導體形成,例如但不限於氮化鋁銦(AlInN)、氮化鋁銦鎵(AlInGaN)、和氮化銦鎵(InGaN)。如圖1所示,在一實施例中,極化層140在GaN層102的頂表面中足夠厚以產生二維電子氣(two-dimensional electron gas, 2DEG)效應或層150。如圖1所示,在一實施例中,極化層140具有位於閘極結構108下方的部分142,其比源極區114和汲極區116上方的部分144薄,使得閘極結構108下方的氮化鎵層102中不產生2DEG層或效應。在一實施例中,極化層140完全從閘極結構108下方移除,並且閘極結構108直接設置在GaN層102上。在一實施例中,極化層140是包括例如下AlN膜和上AlInN的多層膜。在一實施例中,電晶體100操作於增強模式。
如圖1所示,汲極場板120和閘極結構108設置在介電層160內。如圖1所示,在一實施例中,汲極場板120的頂表面與閘極結構108的頂表面共面。如圖1所示,在一實施例中,介電層160的頂表面與閘極結構108的頂表面及汲極場板120共面。在一實施例中,源極金屬接點130的頂表面和汲極金屬接點134的頂表面與閘極結構108的頂表面和汲極場板120的頂表面共面。
如圖1所示,電晶體100具有在源極區114和汲極區116之間延伸的第一方向上的閘極長度(Lg)。通道區位於GaN層102的閘極結構108的下方和源極區114及汲極區116之間。電晶體100在垂直(在頁面內外)於閘極長度(Lg)方向的方向上具有閘極寬度(Gw)。在一實施例中,電晶體100具有閘極寬度(Gw)在10微米(micron)至100微米之間。在一實施例中,汲極場板120延伸電晶體100的整個閘極寬度(GW)。在一實施例中,閘極結構108具有如圖1所示“T”形。閘極結構可包括上閘極部分113及下閘極部分115。上閘極部分113遠離GaN層102,而下閘極部分115更靠近GaN層102。在一實施例中,下閘極部分115具有在閘極長度方向的長度(Lg),其定義電晶體100的閘極長度(Lg)。在一實施例中,上閘極部分113在閘極長度方向上具有比下閘極部分115的閘極長度(Lg)長至少兩倍的長度(Lug ),在其他實施例中至少是三倍。在一實施例中,如圖1所示,上閘極部分113在汲極區116上方延伸一段距離(dUG ),該距離大於汲極場板120在汲極區116上方延伸的距離dDFP 。凹陷的汲極場板可以提供汲極場更好的控制。在一實施例中,凹陷的汲極場板可以對延伸的汲極區中的2DEG施加耗盡效應(depletion effect)。在一實施例中,上閘極部分113在汲極區116上方延伸一距離(dUG ),其是汲極場板120在汲極區116上方延伸的相同距離dDFP 。如圖1所示,在一實施例中,閘極電介質110沿著上閘極部分113的側壁和底部,並沿著下閘極部分115的側壁和底部被設置。
在一實施例中,汲極場板120可以是分別偏壓從閘極電壓(Vg)施加到閘極結構108。在一實施例中,汲極場板120可被偏壓到與Vss或接地的電位不同。在一實施例中,汲極場板120可被偏壓到與施加到源極區114的電壓不同。在一實施例中,汲極連接板120可被偏壓到與施加到汲極區116的電壓不同。在一實施例中,汲極場板120是不電連接到汲極區116。
在一實施例中,一對絕緣間隔物170沿著閘極結構108的相對側設置,如圖1所示。在一實施例中,絕緣間隔物170不延伸閘極結構108的全部高度。在一實施例中,絕緣間隔物170不接觸極化層140或GaN層102。在一實施例中,間隔物170形成在上閘極部分113下方和下閘極部分115的側壁上,如圖1所示。在一實施例中,絕緣間隔物170由絕緣材料形成,諸如但不限於,氮化矽和氮氧化矽,其是與介電層160的介電材料不同。
在一實施例中,第二介電層180設置在介電層160上。多個導電通孔182可以設置在電介質180中,以賦能與源極區114、汲極區116、汲極場板120和閘極結構108的獨立電連接和控制。
在一實施例中,可以設置高k電介質172,例如但不限於氧化鉿(例如,HfO2 )和氧化鋁(例如,Al2 O3 ),並且可以設置在汲極場板120的側壁和底表面上,如圖1所示。在一實施例中,高k電介質172是與閘極結構108的閘極介電層110相同的高k介電材料。
圖2示出具有汲極場板和多個閘極的GaN電晶體200。電晶體200包括在GaN層102上方以及閘極結構108和汲極場板120之間的第二閘極結構202,如圖2所示。第二閘極結構202可以凹陷到極化層140中,從而不在第二閘極結構202形成2DEG效應層,如圖2所示。閘極結構202可以包括閘極電介質210,例如高k閘極電介質和閘極電極212,如關於閘極結構108所描述的。如圖2所示,在一實施例中,絕緣間隔物220可以被設置在閘極結構202的相對側壁上。如圖2所示,絕緣間隔物220可以不延伸閘極結構202的整個高度。在一實施例中,第二閘極結構202具有閘極長度(Lg2)大於閘極結構108的閘極長度(Lg)。即,在一實施例中,Lg2大於Lg。在一個實施例中,Lg2等於Lg。在一實施例中,第二閘極結構202可以具有“T”形狀,其包括上閘極部分213和下閘極部分215,如圖2所示。
在一實施例中,兩或更多個附加閘極結構202可以設置在GaN層102上方以及閘極結構108和汲極場板120之間。在一實施例中,閘極結構108和每個附加閘極結構202可以分開地偏壓。在一實施例中,多個閘極充當RF分壓器,允許每個閘極以較低的DC電壓偏壓。單閘極NMOS電晶體可能需要大的負閘極電壓(Vg)以使電晶體保持在“OFF”狀態。在一實施例中,電晶體200可以用在疊接(cascode)功率放大器電路中。電晶體200可以通過減小第二閘極的源極電阻來改善增益。具有兩個閘極電極可以保護相應的閘極氧化物免於增加的電壓。
圖3A-3K示出根據本公開實施例,形成具有汲極場板的電晶體的方法。氮化鎵(GaN)層302可以設置在基板304上方,例如但不限於單晶矽基板、碳化矽基板和氧化鋁(Al2 O3 )基板。如圖3A所示,極化層306,例如但不限於鋁鎵氮(AlGaN)、氮化鋁銦鎵(AlInGaN)和氮化銦鎵(InGaN),可以被設置在GaN層302上。如圖3A所示,極化層可以形成為足以在GaN層302的頂表面中產生2DEG層305或效應的厚度,例如大於10nm。在一實施例中,極化層306是III-N半導體,例如但不限於氮化鋁鎵銦( Alx Ga1-x-y Iny N,其中0<x<=1,0<y<=1),形成足夠的厚度以在GaN層302的頂部形成二維電子氣(2-DEG)層305。在一實施例中,極化層306由多層組成,例如 AlN/Al0.2 Ga0.8 N/Al0.83 In0.17 N,其中AlN位於最底層。在一實施例中,極化層306具有大約10納米的厚度。在一實施例中,GaN層302的頂表面是GaN的(0001)面或c面(c-plane)。在一實施例中,極化層306與GaN層302晶格匹配。
緩衝層308可以設置在基板304和GaN層302之間。緩衝層308可以包含一或多個層,其具有在基板304和GaN層302的晶格常數之間的晶格常數。
在一具體的實施例中,基板304是一個單晶矽基板,緩衝層308包括氮化鋁層,具有100-300納米之間的厚度,設置在單晶矽基板及接近氮化鋁層具有高鋁濃度的梯度鋁鎵氮化物層。積體電路,例如,系統單晶片(SOC)或微處理器,可以由矽電晶體形成,例如非平面(nonplanar)電晶體,製造在未被GaN層302覆蓋的矽基板304的部分上。在另一實施例中,基板304是碳化矽(SiC)基板和緩衝層308包括具有厚度,例如100-300納米之間的氮化鋁。極化層306、緩衝層308、GaN層302可以通過任何眾所周知的技術來磊晶沉積(epitaxial deposition),例如但不限於化學氣相沉積(CVD)、金屬有機化學氣相沉積(MOCVD)、和濺射。
圖3B示出在圖3A的結構上形成硬遮罩塊308。硬遮罩塊310定義源極接點位置312和汲極接點位置314。硬遮罩塊310可以由任何合適的材料形成,例如氮化矽。硬遮罩材料310可以通過覆蓋沉積硬遮罩材料,例如通過CVD或濺射,然後通過例如光刻圖案化(lithographic patterning)和蝕刻來圖案化硬遮罩材料來形成。
圖3C示出在圖3B的結構中形成源極半導體接點316及汲極半導體接點318。在一實施例中,源極半導體接點316和汲極半導體接點318由III-N族半導體形成,例如但不限於InGaN。在一實施例中,源極半導體接點316和汲極半導體接點318用矽摻雜到N+導電率等級。在一實施例中,通過例如化學氣相沉積(CVD)或金屬有機化學氣相沉積(MOCVD)選擇性地磊晶沉積源極半導體接點316和汲極半導體接點318。在一實施例中,源極半導體接點316和汲極半導體接點318是單晶體或接近單晶體半導體。在一實施例中,源極半導體接點316和汲極半導體接點318由具有比GaN更小能隙的III-N半導體形成。在一實施例中,源極半導體接點316和汲極半導體接點318是通過一對凹陷蝕刻穿過極化層306並進入GaN層302形成。源極半導體接點316和汲極半導體接點318設置在GaN層302的溝槽中,可施加應力至所製造的電晶體的通道區,以提高裝置性能。
圖3D示出介電層322中的部分閘極溝槽320的形成。介電層322可以是任何眾所周知的電介質,例如但不限於氧化矽和摻雜碳的氧化矽。可以通過首先在電介質322上形成圖案化的光阻遮罩(photoresist mask)324來形成部分閘極溝槽320,電介質322具有開口326,開口326定義隨後形成的閘極結構所需的位置。然後可以通過例如與開口326對準蝕刻來形成部分閘極溝槽320。如圖3B所示,部分閘極溝槽320不延伸到極化層306或GaN層302。在一實施例中,部分閘極溝槽320可以定義隨後形成T閘極結構的下閘極部分的位置和閘極長度(Lg)。另外,應當理解,如果需要多閘極結構來製造多閘極電晶體,例如圖2中所示的電晶體200,則此時可以將多個部分閘極溝槽320蝕刻到電介質322中。
圖3E示出間隔物/硬遮罩材料330和圖案化的光阻抗蝕(photoresist resist)層332的形成。如圖3E所示,在一實施例中,間隔物/硬遮罩材料330在電介質322的頂表面上沿著部分閘極溝槽320的側壁及部分閘極溝槽320的底表面上覆蓋沉積。在一實施例中,間隔物/硬遮罩材料層330由諸如但不限於氮化矽的材料形成,其可以相對於電介質322選擇性地蝕刻。然後,如圖3E所示,光阻層可以被沉積在間隔物/硬遮罩層330和圖案化以提供圖案化的光阻層332,其具有開口336,開口336定義汲極場板位置,及定義上閘極部分位置的開口338。此外,相對於開口338位置的開口336位置,可定義在隨後形成的“T”閘極結構和汲極場板彼此分離的距離(dDG )。
如圖3E所示,在一實施例中,定義上閘極部分的開口338可以比部分閘極溝槽320的開口寬,從而可以形成具有“T”形閘極結構的閘極電極。“T”形結構可以提供低電阻閘極結構。
圖3F示出圖3E的結構的間隔物/硬遮罩層330的圖案化。如圖3F所示,通過例如蝕刻以形成圖案化的間隔物/硬遮罩層339,從汲極場板位置336和上閘極部位置338去除間隔物/硬遮罩層330。另外,如圖3F所示,去除位於部分閘極溝槽320的底部上的間隔物/硬遮罩層330,同時沿著部分閘極溝槽320的側壁留下絕緣間隔物340。可以使用異向性乾蝕刻製程從水平表面去除間隔物/硬遮罩層330的曝光部分,同時在垂直側壁上留下間隔物/硬遮罩層330以形成間隔物340,如圖3F所示。
圖3G示出形成在圖3F的結構中的汲極場板溝槽342和上閘極部分溝槽343的形成。如圖3G所示,汲極場板溝槽342及上閘極部分溝槽343可以通過對準圖案化的間隔物/硬遮罩層339蝕刻介電層322以形成。如圖3G所示,上閘極部分溝槽343的形成還可以蝕刻掉間隔物340的頂部部分。如圖3G所示,在一實施例中,用於形成汲極場板溝槽342和上閘極部分溝槽的製程可以也可用於在部分閘極溝槽320的下方蝕刻介電層322,以形成後續形成閘極結構的下閘極部分溝槽344。在一實施例中,下閘極部分溝槽344被部分地蝕刻到極化層306來產生一個在下閘極部分溝槽344之下的凹陷極化層348。如圖3G所示,在一個實施例中,凹陷的極化層348是不足夠的厚度,如小於兩納米,以在GaN層302的頂表面產生2DEG層或效應。在一實施例中,下閘極部分溝槽344完全穿過極化層306形成並曝光GaN層302。
在介電層322中形成上閘極部分溝槽343的深度可以定義上閘極在源極和汲極區上方延伸的距離dUG 。汲極場板溝槽342在介電層322中形成的深度可定義汲極場板在汲極區352上延伸的距離dDFP 。在一實施例中,上閘極部分和汲極場板溝槽342具有相同的深度,使得上閘極部分與源極和汲極區350和352分開與汲極場板與汲極區352分離的相同距離(即,dUG =dDFP )。
在一實施例中,可能希望使汲極場板在汲極區上方延伸的距離不同於上閘極部分在源極和汲極區上方延伸的距離(即,dUG 不等於dDFP )。例如,如圖3H所示,可以額外的時間蝕刻汲極場板溝槽342以去除介電材料322的附加部分402以產生更深的溝槽。如圖3H所示,圖案化的光阻遮罩410可以設置在上閘極部分溝槽343上方,以保護其免於進一步蝕刻。在一實施例中,在圖案化光阻遮罩410之前,可以覆蓋沉積並平坦化材料420,例如犧牲性光吸收材料(sacrificial light absorbing material, SLAM),以填充上閘極溝槽部分343和下閘極溝槽部分344和汲極場板溝槽342,以提供平面表面,在該平面表面上形成圖案化光阻遮罩410及改善光刻。
圖3I示出了汲極場板364和閘極結構365的形成。如圖3I所示,在一實施例中,上閘極部分溝槽343和下閘極部分溝槽344填充有閘極介電層366和閘極電極材料368。在一實施例中,閘極電介質是高k閘極電介質,例如但不限於氧化鉿(例如,HfO2 )、氧化鋯(ZrO2 )和氧化鋁(例如,Al2 O3 )。在一實施例中,閘極介電層是通過沉積,例如,原子層沉積,使得閘極介電層在上閘極部分溝槽343的底部和側壁及沿著下閘極部分溝槽344的側壁和底部形成。在一實施例中,閘極電介質366是與沿下閘極部分溝槽343的側壁設置的側壁間隔物340相接觸。可以通過例如ALD或CVD在閘極電介質366上沉積閘極電極材料368,例如但不限於鋁化鈦(TiAl)、氮化鈦(TiN)或任何其他合適的金屬或多種金屬。
如圖3I所示,在一實施例中,用於填充上閘極部分溝槽343和下閘極部分溝槽344的沉積製程也用於填充汲極場板溝槽342。因此,如圖3I所示,汲極場板溝槽342的底部和側壁可以襯有(line with)閘極介電層366並用閘極電極材料368填充。在一實施例中,閘極電介質366和閘極電極368覆蓋沉積在介電層322上並進入及填充汲極場板溝槽342、上閘極部分溝槽343和下閘極部分溝槽344。設置在介電層322的頂表面上的過量閘極電極材料368和閘極介電層366可以通過平坦化製程去除,例如但不限於化學機械拋光。如圖3H所示,平坦化製程可以使汲極場板364和閘極結構360的頂表面以及介電層322全部彼此共面。
圖3J示出在介電層322中形成源極金屬接點372和汲極金屬接點374,並分別與源極半導體接點316和汲極半導體接點318接觸。可以通過蝕刻介電層322中的多個開口來形成源極金屬接點372和汲極金屬接點374,以曝光源極半導體接點316和汲極半導體接點318。如圖3J所示,然後可以將諸如但不限於鎢的接點金屬沉積到接點開口並回拋光(polish back)使得源極金屬接點372和汲極金屬接點374的頂表面與閘極結構365和汲極場板364共面。
圖3K示出在介電層322上形成第二介電層380並在介電層380中形成多個通孔接點382。這樣,源極區、汲極區、閘極結構365和汲極場板364可以全部獨立偏壓或控制。
圖4示出電路400,其具有第一電晶體410和第二電晶體420。第一電晶體410和第二電晶體420可以是具有汲極場板的電晶體,如分別關於圖1和2所示和描述的電晶體100和200。在一實施例中,電晶體410具有源極區412、汲極區414、閘極結構416、和汲極場板418。電晶體410可包括主體接觸接頭(tap)419。第二電晶體420具有源極區422、汲極區424、閘極結構426及汲極場板428。第二電晶體420可以具有主體接觸接頭429。
第一電容器430具有第一電極耦合至第一電晶體410的汲極場板418,及第二電極耦合到第二電晶體420的汲極424。第二電容器432具有第一電極耦合到第二電晶體420的汲極場428,及第二電極耦合到第一電晶體410的汲極414。
電路400包括跨越(crossover)450,其中將第一電晶體410的汲極414耦合至第二電晶體420的汲極場板428的電連接452跨過或位於將第二電晶體420的汲極424耦合至第一電晶體410的汲極場板418的電連接454之下,而不與其電連接。如圖4所示,在一實施例中,第一電晶體410的源極412和第二電晶體420的源極422耦合至接地。
在本公開的一實施例中,提供差動輸入信號於第一電晶體410的閘極416及第二電晶體420的閘極426之間。在一實施例中,差動輸出信號是從第一電晶體410的汲極414和第二電晶體420的汲極424輸出。在一實施例中,電路400是交叉耦合(cross-coupled)功率放大器(power amplifier, PA)。
圖5是示出具有汲極場板的GaN電晶體(例如電晶體100)的性能優勢的圖表。圖表500在X軸上具有汲極崩潰電壓(drain breakdown voltage, BVD(V)),在Y軸上具有等效導通電阻(ON resistance, RON Ohms-μm)。描點502示出具有汲極場板的GaN電晶體的性能,描點504示出沒有場板的相似GaN電晶體的性能。描點506示出矽電晶體的性能。如圖5的圖表500所示,具有場板的GaN電晶體相對於沒有場板的GaN電晶體顯示出汲極崩潰電壓的大約2倍的改善。
可以在諸如半導體基板的基板上形成或執行本公開的實施例的實施方式。在一實施方式中,半導體基板可以是使用本體矽(bulk silicon)或絕緣覆矽(silicon-on-insulator)結構形成的晶體基板。在其他實施方式中,半導體基板可以使用替代材料形成,其可以與矽結合或不結合,包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、銦鎵、砷化物、銻化鎵或III-V族或IV族材料的其他組合。儘管這裡描述了可以形成基板的材料的一些示例,但是可以用作可以在其上構建半導體裝置的基礎的任何材料都落入本公開的精神和範圍內。
可以在基板上製造多個電晶體,例如金屬氧化物半導體場效應電晶體(metal-oxide-semiconductor field-effect transistor, MOSFET或簡稱MOS電晶體)。在本發明的各種實施方式中,MOS電晶體可為平面電晶體、非平面電晶體或兩者的組合。非平面電晶體包括諸如雙閘極電晶體和三閘極電晶體的FinFET電晶體,以及諸如納米帶(nanoribbon)和納米線(nanowire)電晶體的環繞(wrap-around)或環繞式(all-around)閘極電晶體。儘管這裡描述的實施方式可以僅示出平面電晶體,但是應該注意,本公開也可以使用非平面電晶體來執行。
每個MOS電晶體包括由至少兩層形成的閘極堆疊,閘極介電層和閘極電極層。閘極介電層可包括一層或一疊層。一或多個層可以包括氧化矽、二氧化矽(SiO2 )及/或高k介電材料。高k介電材料可包括諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮和鋅的元素。可用於閘極介電層的高k材料的示例包括但不限於氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、鋇鍶鈦氧化物、鋇鈦氧化物、鍶鈦氧化物、氧化釔、氧化鋁、鉛鈧鉭氧化物和鈮酸鉛鋅。在一些實施方式中,可以在閘極介電層上執行退火製程,以在使用高k材料時改善其品質。
閘極電極層形成在閘極介電層上,並且可以由至少一種P型功函數(workfunction)金屬或N型功函數金屬組成,這取決於電晶體是PMOS還是NMOS電晶體。在一些實施例中,閘極電極層可由兩或兩個以上金屬層的堆疊組成,其中一或一個以上金屬層為功函數金屬層且至少一個金屬層為填充金屬層。
對於PMOS電晶體,可用於閘極電極的金屬包括但不限於釕、鈀、鉑、鈷、鎳和導電金屬氧化物,例如氧化釕。P型金屬層賦能具有介於約4.9eV和約5.2eV之間的功函數的PMOS閘極電極的形成。對於NMOS電晶體,可用於閘極電極的金屬包括但不限於鉿、鋯、鈦、鉭、鋁、這些金屬的合金、以及這些金屬的碳化物,例如碳化鉿、碳化鋯、碳化鈦、碳化鉭和碳化鋁。N型金屬層賦能將具有介於約3.9eV和約4.2eV之間的功函數的NMOS閘極電極的形成。
在一些實施方式中,閘極電極可以由“U”形結構組成,該“U”形結構包括大體上平行於基板表面的底部和大體上垂直於基板頂表面的兩個側壁部分。在另一實施方式中,形成閘極電極的金屬層中的至少一個可以簡單地是大體上平行於基板的頂表面的平面層,且不包括大體上垂直於基板的頂表面的側壁部分。在本公開的進一步實施方式中,閘極電極可以由U形結構和平面、非U形結構的組合構成。例如,閘極電極可以由一或多個U形金屬層組成,所述U形金屬層形成在一或多個平面、非U形層的頂上。
在本發明的一些實施例中,一對側壁間隔物可形成於閘極堆疊的相對側上,其支撐閘極堆疊。側壁隔離物可以由諸如氮化矽、氧化矽、碳化矽、摻雜碳的氮化矽和氮氧化矽的材料形成。用於形成側壁間隔物的製程在本領域中是眾所周知的,並且通常包括沉積和蝕刻製程步驟。在替代實施方式中,可以使用多個間隔物對,例如,可以在閘極堆疊的相對側上形成兩對、三對或四對側壁間隔物。
如本領域眾所周知的,源極和汲極區形成在與每個MOS電晶體的閘極堆疊相鄰的基板內。通常使用佈植(implantation)/擴散製程或蝕刻/沉積製程來形成源極和汲極區。在前面製程中,可以將諸如硼、鋁、銻、磷或砷的摻雜離子佈植(ion implantation)到基板中以形成源極和汲極區。退火製程激活摻雜並使它們進一步擴散到基板中,通常在離子佈植製程之後。在後面製程中,可首先蝕刻基板以在源極和汲極區的位置處形成凹陷。然後可以執行磊晶沉積製程以用用於製造源極和汲極區的材料填充凹陷。在一些實施方式中,源極和汲極區可使用矽合金(例如矽鍺或碳化矽)製造。在一些實施方式中,磊晶沉積的矽合金可原位摻雜例如硼、砷或磷的摻雜。在進一步的實施例中,源極和汲極區可以使用一或多種替代半導體材料形成,例如鍺或III-V族材料或合金。並且在進一步的實施例中,可以使用一或多層金屬及/或金屬合金來形成源極和汲極區。
在MOS電晶體上沉積一或多個層間電介質(interlayer dielectric, ILD)。ILD層可以使用已知的介電材料形成,因為它們適用於積體電路結構,例如低k介電材料。可以使用的介電材料的實例包括但不限於二氧化矽(SiO2 )、碳摻雜氧化物(CDO)、氮化矽、有機聚合物如全氟環丁烷(perfluorocyclobutane)或聚四氟乙烯 (polytetrafluoroethylene)、氟矽酸鹽玻璃(fluorosilicate glass, FSG)、及有機矽酸鹽如倍半矽氧烷(silsesquioxane)、矽氧烷(siloxane)或有機矽酸鹽玻璃(organosilicate glass)。ILD層可包括孔隙(pore)或氣隙(air gap)以進一步降低其介電常數。
圖6示出根據本公開的一個實施方式的電腦裝置600。電腦裝置600容納板602。板602可包括多個元件,包括但不限於在處理器604和至少一個通訊晶片606。處理器604實體地和電耦合到板602。在一些實施方式中,至少一個通訊晶片706也實體地及電耦合到板602。在另外的實施方式中,通訊晶片606是處理器604的一部分。
根據其應用,電腦裝置600可以包括以實體耦合及電耦合或不以實體耦合及電耦合到板602的其他元件。這些其他元件包括但不限於揮發性記憶體(例如DRAM)、非揮發性記憶體(例如ROM)、快閃記憶體、圖形處理器、數位信號處理器、加密處理器、晶片組、天線、顯示器、觸控顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、指南針、加速度計、陀螺儀、揚聲器、相機和大容量儲存裝置(如硬碟驅動器、光碟(CD)、數位多功能光碟(DVD)等等。
通訊晶片606賦能無線通訊進行資料的轉移至和從電腦裝置600。用語“無線”及其衍生可以用於描述電路、裝置、系統、方法、技術、通訊通道等,可以通過使用調變的電磁輻射穿過非固體媒體溝通資料。用語並不暗示相關裝置不包含任何電線,儘管在一些實施例中它們可能不包含任何電線。通訊晶片606可實施任何的無線標準或協定,包括但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、EV-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生、及指定為3G、4G、5G及更高版本的任何其他無線協定。電腦裝置600可以包括多個通訊晶片606。例如,第一通訊晶片606可專用於短距離無線通訊,例如Wi-Fi和藍牙,及第二通訊晶片606可專用於更長距離的無線通訊,如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
電腦裝置600的處理器604包括封裝在處理器604內的積體電路管芯(die)。在本發明的一些實施方式中,處理器的積體電路管芯包括一或多個裝置,例如氮化鎵(GaN)電晶體以根據本公開的實施方式構建的源極和汲極場板。用語“處理器”可以指裝置或裝置的一部分,其處理來自暫存器及/或記憶體的電子資料以將該電子資料轉換成可以儲存在暫存器及/或記憶體中的其他電子資料。
通訊晶片606也包括封裝在通訊晶片606內的積體電路管芯。在根據本公開的另一實施方式,通訊晶片的積體電路管芯包括一或多個裝置,例如GaN電晶體以根據本公開的實施方式構建的源極和汲極場板。
在進一步的實施方式中,容納在電腦裝置700內的另一個元件可以包含積體電路管芯,該積體電路管芯包括一或多個裝置,例如GaN電晶體以根據本公開的實施方式構建的源極和汲極場板。
在各種實施方式中,電腦裝置600可以是膝上型電腦、連網小筆電、筆記型電腦、超薄型筆電(ultrabook)、智慧型手機、平板電腦、個人數位助理(PDA)、超移動PC(ultra mobile PC)、行動電話、桌上型電腦、伺服器、印表機、掃描器、螢幕、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或數位錄影機。在進一步的實施方式中,電腦裝置600可以是處理資料的任何其他電子裝置。
圖7示出包括本公開的一或多個實施例的中介層700。中介層700是用於將第一基板702橋接到第二基板704的中介基板(intervening substrate)。第一基板702可以是,例如,積體電路管芯。第二基板704可以是,例如,記憶體模組、電腦主板、或另一積體電路管芯。通常,中介層700的目的是將連接擴展到更寬的間距或將連接重新路由到不同的連接。例如,中介層700可耦合積體電路管芯到球柵陣列(ball grid array, BGA)706,其隨後可以連接到第二基板704。在一些實施例中,第一和第二基板702/704是附接到中介層700的相對側。在其它實施例中,第一和第二基板702/704附接到中介層700的相同側。而在進一步的實施方式中,三或更多個基板藉由中介層700相互連接。
中介層700可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料或諸如聚醯亞胺的聚合物材料形成。在進一步的實施方式中,中介層可以由交替的剛性或柔性材料形成,其可以包括與上述用於半導體基板的相同材料,例如矽、鍺和其他III-V族和IV族材料。
該中介層可以包括金屬互連708和通孔710,包括但不限於穿矽通孔(through-silicon vias, TSV)712。中介層700還可以包括嵌入式裝置714,包括主動和被動裝置。這些裝置包括但不限於電容器、去耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器和靜電放電(ESD)裝置。更複雜的裝置,諸如射頻(RF)裝置、功率放大器、電源管理裝置、天線、陣列、感測器和MEMS裝置,也可以形成在中介層700上。根據本公開的實施例,這裡公開的裝置或製程可以用於製造中介層700。
因此,本文描述的實施例包括具有源極和汲極場板的GaN電晶體。
本公開的實施例的所示實施方式中的上述描述(包括摘要中所描述的內容)並非旨在窮舉或將本公開限制於所教示的精確形式。儘管出於說明性目的在本文中描述本公開的具體實施方式和示例,但是如相關領域的技術人員將可理解的,在本公開的範圍內可以進行各種等效修改。
根據以上詳細描述,可以對本公開做出這些修改。以下申請專利範圍中使用的用語不應被解釋為將本公開限制於說明書和申請專利範圍中教示的特定實施方式。相反地,本公開的範圍完全由以下申請專利範圍確定,所述申請專利範圍應根據申請專利範圍解釋的既定原則來解釋。
示例實施例1:一種電晶體包括:氮化鎵(GaN)層,位於基板上方;閘極結構,位於該GaN層上方;源極區,位於該閘極結構的第一側上;汲極區,位於該閘極結構的第二側上,該第二側與該第一側相對;及汲極場板,位於該汲極區上方,其中該汲極場板不電耦合到該閘極結構或該源極區或該汲極區。
示例實施例2:示例實施例1的電晶體,其中施加到該汲極場板的電壓不同於施加到該閘極結構的閘極電壓,並且不同於Vss。
示例實施例3:示例實施例1的電晶體,其中施加到該汲極場板的電壓是Vss。
示例實施例4:示例實施例1、2或3的電晶體,其中該汲極場板具有頂表面,其中該汲極場板的該頂表面與該閘極結構的頂表面大體上共面。
示例實施例5:示例實施例1、2、3或4的電晶體,其中該閘極結構具有T閘極結構。
示例實施例6:示例實施例1、2、3、4或5的電晶體進一步包括汲極金屬接點,其中該汲極場板橫向位於該汲極金屬接點和該閘極結構之間。
示例實施例7:示例實施例1、2、3、4、5或6的電晶體,進一步包括在該閘極結構的源極側上的第一間隔物和在該閘極結構的該汲極側上的第二間隔物。
示例實施例8:示例實施例1、2、3、4、5、6或7的電晶體進一步包括第二閘極結構,該第二閘極結構位於該GaN層上方及該閘極結構和該汲極場板之間。
示例實施例9:一種系統,包括:板,該板包括處理器和通訊晶片,其中該處理器或該通訊晶片中的一個具有電晶體,該電晶體包括:氮化鎵(GaN)層,位於基板上方;閘極結構,位於該GaN層上方;源極區,位於該閘極結構的第一側上;汲極區,位於該閘極結構的第二側上,該第二側與該第一側相對;及汲極場板,位於該汲極區上方,其中該汲極場板不電耦合到該閘極結構或該源極區或該汲極區。。
示例實施例10:示例實施例9的系統,其中該汲極場板具有頂表面,其中該汲極場板的該頂表面與該閘極結構的頂表面大體上共面。
示例實施例11:示例實施例9或10的系統,進一步包括在該閘極結構的源極側上的第一間隔物和在該閘極結構的汲極側上的第二間隔物。
示例實施例12:示例實施例9、10或11的系統,進一步包括第二閘極結構,該第二閘極結構位於該GaN層之上及該閘極結構和該汲極場板之間。
示例實施例13:一種形成電晶體的方法,包括:在GaN層上方的介電層中形成閘極溝槽,該閘極溝槽具有相對的側壁,該閘極溝槽具有第一深度。該方法也包括在該介電層中形成汲極場板溝槽,且將該閘極溝槽的該溝槽深度增加到第二深度;用導體填充該閘極溝槽和該汲極場板溝槽。
示例實施例14:示例實施例13的方法,進一步包括在形成該汲極場板溝槽之前,在該介電層上和該閘極溝槽的該側壁上及該閘極溝槽的該底部中形成硬遮罩。
示例實施例15:示例實施例14的方法,進一步包括在該閘極溝槽的源極側上從該硬遮罩形成第一側壁間隔物,及在該閘極溝槽的汲極側上從該硬遮罩形成第二側壁間隔物。
示例實施例16:示例實施例13、14或15的方法,進一步包括在該GaN層上形成極化層。
示例實施例17:示例實施例13、14、15或16的方法,進一步包括在該閘極結構的第一側上形成源極半導體接點,及在該閘極結構的第二相對側上形成汲極半導體接點。
示例實施例18:示例實施例17的方法,其中該源極半導體接點和該汲極半導體接點包括銦、鎵和氮。
示例實施例19:示例實施例13、14、15、16、17或18的方法,進一步包括在該介電層中形成第二閘極溝槽,該第二閘極溝槽位於該閘極溝槽和該汲極場板溝槽之間。
示例實施例20:一種電路,包括:第一電晶體,具有:氮化鎵(GaN)層,位於基板上方;第一閘極結構,位於該GaN層上方;第一源極區,位於該第一閘極結構的第一側上;第一汲極區,位於該第一閘極結構的第二側上,該第二側與該第一側相對;及第一汲極場板,位於該第一汲極區上方。該電路還包括第二電晶體,具有:第二閘極結構,位於該GaN層上方;第二源極區,位於該第二閘極結構的第一側上;第二汲極區,位於該第二閘極結構的第二側上,該第二側與該第一側相對;及第二汲極場板,位於該第二汲極區上方。該電路還包括第一電容器,耦合在該第一電晶體的該第一汲極場板和該第二電晶體的該第二汲極之間;和第二電容器,耦合在該第二電晶體的該第二汲極場板和該第一電晶體的該第一汲極之間。
示例實施例21:示例實施例20的電路,其中該第一汲極場板不電耦合到該第一閘極結構或該第一源極。
示例實施例22:實施例20或21的電路,其中該第二汲極場板不電耦合到該第二閘極結構或該第二源極。
100‧‧‧電晶體
102‧‧‧GaN層
104‧‧‧基板
106‧‧‧緩衝層
108‧‧‧閘極結構
110‧‧‧閘極電介質
112‧‧‧閘極電極
113‧‧‧上閘極部分
114‧‧‧源極區
115‧‧‧下閘極部分
116‧‧‧汲極區
120‧‧‧汲極場板
124‧‧‧源極接點
126‧‧‧汲極接點
128‧‧‧源極半導體接點
130‧‧‧源極金屬接點
132‧‧‧汲極半導體接點
134‧‧‧汲極金屬接點
140‧‧‧極化層
142‧‧‧部分
144‧‧‧部分
150‧‧‧二維電子氣層
160‧‧‧介電層
170‧‧‧絕緣間隔物
172‧‧‧高k電介質
180‧‧‧第二介電層
182‧‧‧導電通孔
200‧‧‧電晶體
202‧‧‧第二閘極結構
210‧‧‧閘極電介質
212‧‧‧閘極電極
213‧‧‧上閘極部分
215‧‧‧下閘極部分
220‧‧‧絕緣間隔物
302‧‧‧氮化鎵層
304‧‧‧基板
305‧‧‧2DEG層
306‧‧‧極化層
308‧‧‧緩衝層
310‧‧‧硬掩模塊
312‧‧‧源極接點位置
314‧‧‧汲極接點位置
316‧‧‧源極半導體接點
318‧‧‧汲極半導體接點
320‧‧‧部分閘極溝槽
322‧‧‧介電層
324‧‧‧光阻掩模
326‧‧‧開口
330‧‧‧間隔物/硬掩模材料
332‧‧‧光阻抗蝕層
336‧‧‧開口
338‧‧‧開口
339‧‧‧間隔物/硬掩模層
340‧‧‧絕緣間隔物
342‧‧‧汲極場板溝槽
343‧‧‧上閘極部分溝槽
344‧‧‧下閘極部分溝槽
348‧‧‧凹陷極化層
350‧‧‧源極區
352‧‧‧汲極區
360‧‧‧閘極結構
364‧‧‧汲極場板
365‧‧‧閘極結構
366‧‧‧閘極介電層
368‧‧‧閘極電極材料
372‧‧‧源極金屬接點
374‧‧‧汲極金屬接點
380‧‧‧介電層
382‧‧‧通孔接點
400‧‧‧電路
402‧‧‧附加部分
410‧‧‧第一電晶體
412‧‧‧源極區
414‧‧‧汲極區
416‧‧‧閘極結構
418‧‧‧汲極場板
419‧‧‧主體接觸接頭
420‧‧‧第二電晶體
422‧‧‧源極區
424‧‧‧汲極區
426‧‧‧閘極結構
428‧‧‧汲極場板
429‧‧‧主體接觸接頭
430‧‧‧第一電容器
432‧‧‧第二電容器
450‧‧‧跨越
452‧‧‧電連接
454‧‧‧電連接
500‧‧‧圖表
502‧‧‧描點
504‧‧‧描點
506‧‧‧描點
600‧‧‧電腦裝置
602‧‧‧板
604‧‧‧處理器
606‧‧‧通訊晶片
700‧‧‧中介層
702‧‧‧第一基板
704‧‧‧第二基板
706‧‧‧球柵陣列
708‧‧‧金屬互連
710‧‧‧通孔
712‧‧‧穿矽通孔
714‧‧‧嵌入式裝置
BVD‧‧‧汲極崩潰電壓
dDFP‧‧‧距離
dDFP‧‧‧距離
dDG‧‧‧距離
dUG‧‧‧距離
Gw‧‧‧閘極寬度
Lg‧‧‧閘極長度
Lg2‧‧‧閘極長度
Lug‧‧‧長度
RON‧‧‧等效導通電阻
Vg‧‧‧閘極電壓
Vss‧‧‧電壓
圖1示出根據本公開實施例的具有汲極場板的電晶體。
圖2示出根據本公開實施例,具有汲極場板並具有多個閘極的GaN電晶體。
圖3A-3K示出根據本公開實施例,形成具有源極場板和汲極場板的電晶體的方法。
圖4示出根據本公開實施例的電路,包括第一電晶體及第二電晶體,每個具有汲極場板。
圖5是根據本公開實施例說明具有汲極場板的GaN電晶體的性能優勢的圖表。
圖6示出根據本公開的一個實現的電腦裝置。
圖7示出包括本公開的一或多個實施例的中介層(interposer)。

Claims (22)

  1. 一種電晶體包括:   氮化鎵(GaN)層,位於基板上方;   閘極結構,位於該GaN層上方;   源極區,位於該閘極結構的第一側上;   汲極區,位於該閘極結構的第二側上,該第二側與該第一側相對;及   汲極場板,位於該汲極區上方,其中該汲極場板不電耦合到該閘極結構或該源極區或該汲極區。
  2. 如請求項1所述的電晶體,其中施加到該汲極場板的電壓不同於施加到該閘極結構的閘極電壓,並且不同於Vss。
  3. 如請求項1所述的電晶體,其中施加到該汲極場板的電壓是Vss。
  4. 如請求項1所述的電晶體,其中該汲極場板具有頂表面,其中該汲極場板的該頂表面與該閘極結構的頂表面大體上共面。
  5. 如請求項1所述的電晶體,其中該閘極結構具有T閘極結構。
  6. 如請求項1所述的電晶體,進一步包括:   汲極金屬接點,其中該汲極場板橫向位於該汲極金屬接點和該閘極結構之間。
  7. 如請求項1所述的電晶體,進一步包括在該閘極結構的源極側上的第一間隔物和在該閘極結構的該汲極側上的第二間隔物。
  8. 如請求項1所述的電晶體,進一步包括第二閘極結構,該第二閘極結構位於該GaN層上方及該閘極結構和該汲極場板之間。
  9. 一種系統,包括:   板,該板包括處理器和通訊晶片,其中該處理器或該通訊晶片中的一個具有電晶體,該電晶體包括:     氮化鎵(GaN)層,位於基板上方;     閘極結構,位於該GaN層上方;     源極區,位於該閘極結構的第一側上;     汲極區,位於該閘極結構的第二側上,該第二側與該第一側相對;及     汲極場板,位於該汲極區上方,其中該汲極場板不電耦合到該閘極結構或該源極區或該汲極區。
  10. 如請求項9所述的系統,其中該汲極場板具有頂表面,其中該汲極場板的該頂表面與該閘極結構的頂表面大體上共面。
  11. 如請求項10所述的系統,進一步包括在該閘極結構的源極側上的第一間隔物和在該閘極結構的汲極側上的第二間隔物。
  12. 如請求項10所述的系統,進一步包括第二閘極結構,該第二閘極結構位於該GaN層之上及該閘極結構和該汲極場板之間。
  13. 一種形成電晶體的方法,包括:   在GaN層上方的介電層中形成閘極溝槽,該閘極溝槽具有相對的側壁,該閘極溝槽具有第一深度;   在該介電層中形成汲極場板溝槽,且將該閘極溝槽的該溝槽深度增加到第二深度;   用導體填充該閘極溝槽和該汲極場板溝槽。
  14. 如請求項13所述的方法,進一步包括在形成該汲極場板溝槽之前,在該介電層上和該閘極溝槽的該側壁上及該閘極溝槽的該底部中形成硬遮罩。
  15. 如請求項14所述的方法,進一步包括在該閘極溝槽的源極側上從該硬遮罩形成第一側壁間隔物,及在該閘極溝槽的汲極側上從該硬遮罩形成第二側壁間隔物。
  16. 如請求項13所述的方法,進一步包括在該GaN層上形成極化層。
  17. 如請求項13所述的方法,進一步包括在該閘極結構的第一側上形成源極半導體接點,及在該閘極結構的第二相對側上形成汲極半導體接點。
  18. 如請求項17所述的方法,其中該源極半導體接點和該汲極半導體接點包括銦、鎵和氮。
  19. 如請求項13所述的方法,進一步包括在該介電層中形成第二閘極溝槽,該第二閘極溝槽位於該閘極溝槽和該汲極場板溝槽之間。
  20. 一種電路,包括:   第一電晶體,包括:     氮化鎵(GaN)層,位於基板上方;     第一閘極結構,位於該GaN層上方;     第一源極區,位於該第一閘極結構的第一側上;     第一汲極區,位於該第一閘極結構的第二側上,該第二側與該第一側相對;及     第一汲極場板,位於該第一汲極區上方;   第二電晶體,包括:     第二閘極結構,位於該GaN層上方;     第二源極區,位於該第二閘極結構的第一側上;     第二汲極區,位於該第二閘極結構的第二側上,該第二側與該第一側相對;及     第二汲極場板,位於該第二汲極區上方;   第一電容器,耦合在該第一電晶體的該第一汲極場板和該第二電晶體的該第二汲極之間;和   第二電容器,耦合在該第二電晶體的該第二汲極場板和該第一電晶體的該第一汲極之間。
  21. 如請求項20所述的電路,其中該第一汲極場板不電耦合到該第一閘極結構或該第一源極。
  22. 如請求項20所述的電路,其中該第二汲極場板不電耦合到該第二閘極結構或該第二源極。
TW107128553A 2017-09-28 2018-08-16 具有汲極場板的氮化鎵電晶體、具有其之電路和系統及其製造方法 TWI770252B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/US2017/054173 WO2019066877A1 (en) 2017-09-28 2017-09-28 GALLIUM NITRIDE TRANSISTORS WITH DRAIN FIELD PLATES AND METHODS OF MAKING SAME
??PCT/US17/54173 2017-09-28
WOPCT/US17/54173 2017-09-28

Publications (2)

Publication Number Publication Date
TW201924056A true TW201924056A (zh) 2019-06-16
TWI770252B TWI770252B (zh) 2022-07-11

Family

ID=65903220

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128553A TWI770252B (zh) 2017-09-28 2018-08-16 具有汲極場板的氮化鎵電晶體、具有其之電路和系統及其製造方法

Country Status (3)

Country Link
US (1) US20200227544A1 (zh)
TW (1) TWI770252B (zh)
WO (1) WO2019066877A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10861946B1 (en) 2019-05-21 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Field plate structure for high voltage device
TWI811394B (zh) 2019-07-09 2023-08-11 聯華電子股份有限公司 高電子遷移率電晶體及其製作方法
US11335636B2 (en) * 2019-10-29 2022-05-17 Hefei Reliance Memory Limited Gradual breakdown memory cell having multiple different dielectrics
US11121225B2 (en) 2019-11-01 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Field plate structure to enhance transistor breakdown voltage
EP3905335A1 (en) 2020-04-28 2021-11-03 Infineon Technologies AG Group iii nitride-based transistor device
US20220102344A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Gallium nitride (gan) three-dimensional integrated circuit technology
CN114520263A (zh) * 2020-11-19 2022-05-20 联华电子股份有限公司 半导体装置及半导体装置的制作方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112007000667T5 (de) * 2006-03-20 2009-01-29 International Rectifier Corp., El Segundo Vereinigter Gate-Kaskoden-Transistor
KR100782430B1 (ko) * 2006-09-22 2007-12-05 한국과학기술원 고전력을 위한 내부전계전극을 갖는 갈륨나이트라이드기반의 고전자 이동도 트랜지스터 구조
TWI538208B (zh) * 2012-02-03 2016-06-11 高效電源轉換公司 用於氮化鎵電晶體之離子植入及自行對準閘極結構
US9397211B2 (en) * 2013-12-19 2016-07-19 Texas Instruments Incorporated Lateral MOSFET with buried drain extension layer
SG10201503305PA (en) * 2015-04-27 2016-11-29 Globalfoundries Sg Pte Ltd Lateral high voltage transistor
US9647075B2 (en) * 2015-09-16 2017-05-09 Nxp Usa, Inc. Segmented field plate structure
WO2017111795A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Multiple stacked field-plated gan transistor and interlayer dielectrics to improve breakdown voltage and reduce parasitic capacitances
US9698260B1 (en) * 2015-12-31 2017-07-04 Globalfoundries Singapore Pte. Ltd. High voltage device with low Rdson

Also Published As

Publication number Publication date
US20200227544A1 (en) 2020-07-16
TWI770252B (zh) 2022-07-11
WO2019066877A1 (en) 2019-04-04

Similar Documents

Publication Publication Date Title
TWI770252B (zh) 具有汲極場板的氮化鎵電晶體、具有其之電路和系統及其製造方法
US11575036B2 (en) Gallium nitride transistors with source and drain field plates and their methods of fabrication
CN108369957B (zh) 形成用于纳米线设备结构的自对准垫片的方法
TWI784966B (zh) 具有垂直對置源極與汲極金屬互連層之電晶體
TWI787195B (zh) 在薄膜電晶體的金屬接觸通孔中實施高遷移率低接觸電阻半導體氧化物的系統、方法及設備
US11664305B2 (en) Staggered lines for interconnect performance improvement and processes for forming such
US11227799B2 (en) Wrap-around contact structures for semiconductor fins
US10943836B2 (en) Gallium nitride NMOS on Si (111) co-integrated with a silicon PMOS
US11107764B2 (en) Group III-V semiconductor fuses and their methods of fabrication
US10497785B2 (en) Gallium nitride voltage regulator
CN108140724B (zh) 用于磁阻式随机存储器器件的电接触部
JP2017527976A (ja) 高電圧デバイスを集積させたシリコンダイ
US11404407B2 (en) Implants to enlarge Schottky diode cross-sectional area for lateral current conduction
EP4109523A1 (en) Buried power rail with a silicide layer for well biasing
US11508824B2 (en) Gallium nitride transistors with multiple threshold voltages and their methods of fabrication
US11424335B2 (en) Group III-V semiconductor devices having dual workfunction gate electrodes
US11605592B2 (en) Method to fabricate metal and ferromagnetic metal multilayer interconnect line for skin effect suppression
US11362082B2 (en) Implanted substrate contact for in-process charging control
US11164747B2 (en) Group III-V semiconductor devices having asymmetric source and drain structures
US20240006305A1 (en) Integrated circuit structures having airgaps for backside signal routing or power delivery
CN117716495A (zh) 具有掩埋功率轨的集成电路结构
KR20220089627A (ko) 박막 핀 트랜지스터 구조체의 제조
CN115527969A (zh) 到自对准栅极触点的倒锥形过孔
WO2019132943A1 (en) Lateral epitaxial overgrowth in integrated circuits