TW201916106A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
TW201916106A
TW201916106A TW107133799A TW107133799A TW201916106A TW 201916106 A TW201916106 A TW 201916106A TW 107133799 A TW107133799 A TW 107133799A TW 107133799 A TW107133799 A TW 107133799A TW 201916106 A TW201916106 A TW 201916106A
Authority
TW
Taiwan
Prior art keywords
layer
forming
semiconductor device
low temperature
dielectric film
Prior art date
Application number
TW107133799A
Other languages
Chinese (zh)
Other versions
TWI734031B (en
Inventor
蔡萬霖
許仲豪
張競予
王仁宏
潘興強
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201916106A publication Critical patent/TW201916106A/en
Application granted granted Critical
Publication of TWI734031B publication Critical patent/TWI734031B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Abstract

A method of manufacturing a semiconductor device includes depositing a dielectric layer over a substrate, performing a first patterning to form an opening in the dielectric layer, and depositing an oxide film over and contacting the dielectric layer and within the opening in the dielectric layer. The oxide film is formed from multiple precursors that are free of O2, and depositing the oxide film includes forming a plasma of a first precursor of the multiple precursors.

Description

半導體裝置的形成方法  Method of forming a semiconductor device  

本發明實施例關於半導體裝置的形成方法,更特別關於以不含氧的同素異形體之前驅物沉積形成氧化物層。 Embodiments of the present invention relate to a method of forming a semiconductor device, and more particularly to forming an oxide layer by depositing a precursor of an allotrope containing no oxygen.

半導體裝置用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並採用微影與蝕刻製程圖案化多種材料層,以形成電路構件與單元於其上。 Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic devices. The semiconductor device is generally fabricated by sequentially depositing an insulating or dielectric layer, a conductive layer, and a material of the semiconductor layer on the semiconductor substrate, and patterning a plurality of material layers by using a lithography and etching process to form a circuit member and a unit thereof. on.

半導體產業持續減少最小結構尺寸,可持續改良多種電子構件(如電晶體、二極體、電阻、電容、或類似物)的積體密度,以將更多構件整合至給定面積中。然而隨著最小結構尺寸縮小,所用的每一製程將出現額外問題,且需解決這些額外問題。 The semiconductor industry continues to reduce the minimum structural size and continuously improve the bulk density of various electronic components such as transistors, diodes, resistors, capacitors, or the like to integrate more components into a given area. However, as the minimum size of the structure shrinks, each process used will present additional problems and these additional issues need to be addressed.

本發明一實施例提供之半導體裝置的形成方法,包括:將基板置入沉積腔室;沉積層狀物於基板上;以及沉積氧化物層於層狀物上,包括:使第一前驅物材料流入沉積腔室;在沉積腔室中,自第一前驅物材料形成氧化物層的一部份;點燃第二前驅物材料成電漿,且第二前驅物材料不含氧的同素異形體;以及在沉積腔室中,自電漿形成氧化物層的一部 份。 A method of forming a semiconductor device according to an embodiment of the present invention includes: placing a substrate into a deposition chamber; depositing a layer on the substrate; and depositing an oxide layer on the layer, comprising: making the first precursor material Flowing into the deposition chamber; forming a portion of the oxide layer from the first precursor material in the deposition chamber; igniting the second precursor material into a plasma, and the second precursor material is free of oxygen allotropes And forming a portion of the oxide layer from the plasma in the deposition chamber.

本發明一實施例提供之半導體裝置的形成方法,包括:沉積介電層於基板上;進行第一圖案化步驟,以形成凹陷於介電層中;以及沉積氧化物膜於介電層上與介電層的凹陷中,且氧化物膜接觸介電層,其中氧化物膜由多個前驅物形成,其中前驅物不含氧氣,且其中沉積氧化物膜的步驟包含形成前驅物的第一前驅物之電漿。 A method of forming a semiconductor device according to an embodiment of the present invention includes: depositing a dielectric layer on a substrate; performing a first patterning step to form a recess in the dielectric layer; and depositing an oxide film on the dielectric layer In the recess of the dielectric layer, and the oxide film contacts the dielectric layer, wherein the oxide film is formed of a plurality of precursors, wherein the precursor does not contain oxygen, and wherein the step of depositing the oxide film comprises forming a first precursor of the precursor Plasma of matter.

本發明一實施例提供之半導體裝置的形成方法,包括:形成介電層於半導體基板上;圖案化介電層上的光阻;將半導體基板與光阻置入製程腔室;採用蝕刻製程,以將光阻的圖案轉移至介電層;依序提供多個前驅物至製程腔室中,其中前驅物不含氧的同素異形體;以及在製程腔室中形成氧化物層於介電層上,氧化物層接觸介電層,且形成氧化物層的步驟包括點燃前驅物的至少一前驅物成電漿。 A method for forming a semiconductor device according to an embodiment of the present invention includes: forming a dielectric layer on a semiconductor substrate; patterning a photoresist on the dielectric layer; placing the semiconductor substrate and the photoresist into the process chamber; using an etching process, Transferring the pattern of photoresist to the dielectric layer; sequentially providing a plurality of precursors into the process chamber, wherein the precursor contains an oxygen-free allotrope; and forming an oxide layer in the process chamber to dielectric On the layer, the oxide layer contacts the dielectric layer, and the step of forming the oxide layer includes igniting at least one precursor of the precursor into a plasma.

A-A'、B-B'、6C-6C'、6F-6F'、6I-6I'‧‧‧剖線 A-A', B-B', 6C-6C', 6F-6F', 6I-6I'‧‧‧

ER1、ER2‧‧‧邊緣粗糙度 ER1, ER2‧‧‧ edge roughness

DT1、DT3‧‧‧厚度變化 DT1, DT3‧‧‧ thickness variation

T1、T2、T3、T4、T5、T6‧‧‧厚度 T1, T2, T3, T4, T5, T6‧‧‧ thickness

WR1、WR2‧‧‧寬度粗糙度 WR1, WR2‧‧‧ width roughness

W1、W2、W3、W4、W5‧‧‧寬度 W1, W2, W3, W4, W5‧‧‧ width

100、500、600、700‧‧‧半導體裝置 100, 500, 600, 700‧‧‧ semiconductor devices

102‧‧‧半導體基板 102‧‧‧Semiconductor substrate

112、612、702‧‧‧層間介電層 112, 612, 702‧‧ ‧ interlayer dielectric layer

114、514、614‧‧‧下方層 114, 514, 614‧‧‧ below

116、516、616、736、862‧‧‧低溫介電膜 116, 516, 616, 736, 862‧‧‧ low temperature dielectric film

118、518、618‧‧‧上方層 118, 518, 618‧‧‧ upper layer

120、632‧‧‧導電線路 120, 632‧‧‧ conductive lines

200‧‧‧沉積系統 200‧‧‧Deposition system

203‧‧‧沉積腔室 203‧‧‧Sedimentation chamber

205‧‧‧第一前驅物輸送系統 205‧‧‧First Precursor Delivery System

206‧‧‧第二前驅物輸送系統 206‧‧‧Second precursor transport system

207‧‧‧氣體供應器 207‧‧‧ gas supply

209‧‧‧流量控制器 209‧‧‧Flow Controller

213‧‧‧前驅物氣體控制器 213‧‧‧Precursor gas controller

215‧‧‧控制單元 215‧‧‧Control unit

216‧‧‧歧管 216‧‧‧Management

217‧‧‧噴灑頭 217‧‧‧sprinkler head

219‧‧‧外殼 219‧‧‧Shell

221‧‧‧安裝平台 221‧‧‧Installation platform

223‧‧‧真空泵浦 223‧‧‧vacuum pump

225‧‧‧排氣出口 225‧‧‧Exhaust outlet

230‧‧‧第一電極 230‧‧‧First electrode

231‧‧‧上電極 231‧‧‧Upper electrode

232、233‧‧‧射頻產生器 232, 233‧‧‧RF generator

301‧‧‧處理器 301‧‧‧ processor

303‧‧‧顯示器 303‧‧‧ display

305‧‧‧輸入/輸出構件 305‧‧‧Input/output components

306‧‧‧中央處理器 306‧‧‧Central Processing Unit

308‧‧‧記憶體 308‧‧‧ memory

310‧‧‧大量儲存裝置 310‧‧‧Many storage devices

312‧‧‧匯流排 312‧‧ ‧ busbar

314‧‧‧顯示卡 314‧‧‧ display card

316‧‧‧輸入/輸出介面 316‧‧‧Input/Output Interface

318‧‧‧網路介面 318‧‧‧Internet interface

320‧‧‧局域網路或廣域網路 320‧‧‧LAN or WAN

400‧‧‧圖表 400‧‧‧ Chart

410、420、430‧‧‧曲線 410, 420, 430‧‧‧ curves

512‧‧‧底層 512‧‧‧ bottom layer

502、602、704、850‧‧‧基板 502, 602, 704, 850‧‧‧ substrates

522、622、624、734、750‧‧‧開口 522, 622, 624, 734, 750 ‧ ‧ openings

612‧‧‧目標層 612‧‧‧Target layer

630、764‧‧‧導電材料 630, 764‧‧‧ conductive materials

724‧‧‧遮罩區 724‧‧‧Mask area

728、854‧‧‧遮罩層 728, 854‧‧‧ mask layer

770‧‧‧線路切割部 770‧‧‧Line Cutting Department

772‧‧‧第一導電線路 772‧‧‧First conductive line

774‧‧‧第二導電線路 774‧‧‧Second conductive line

802‧‧‧源極/汲極區 802‧‧‧Source/Bungee Zone

818‧‧‧閘極介電層 818‧‧‧ gate dielectric layer

820‧‧‧閘極 820‧‧‧ gate

850B‧‧‧第一區 850B‧‧‧First District

850C‧‧‧第二區 850C‧‧‧Second District

852‧‧‧抗反射塗層 852‧‧‧Anti-reflective coating

856‧‧‧芯層 856‧‧‧ core layer

858‧‧‧芯 858‧‧ core

864‧‧‧間隔物 864‧‧‧ spacers

868、874‧‧‧鰭狀物 868, 874‧‧‧Fins

872‧‧‧淺溝槽隔離區 872‧‧‧Shallow trench isolation zone

圖1A至1H係一些實施例中,採用低溫介電膜的半導體裝置中的導電線路,於形成方法的多種中間階段的剖視圖。 1A through 1H are cross-sectional views of various intermediate stages of a method of forming a conductive line in a semiconductor device employing a low temperature dielectric film in some embodiments.

圖2係一些實施例中,用於沉積低溫介電膜的沉積系統。 2 is a deposition system for depositing a low temperature dielectric film in some embodiments.

圖3係一些實施例中,用於沉積系統的控制單元。 Figure 3 is a control unit for a deposition system in some embodiments.

圖4係一些實施例中,下方層損傷與低溫介電膜厚度之間關係的實驗結果。 Figure 4 is an experimental result of the relationship between underlying layer damage and low temperature dielectric film thickness in some embodiments.

圖5A至5C係一些實施例中,採用低溫介電膜的半導體裝置於形成方法的多種中間階段的剖視圖。 5A through 5C are cross-sectional views of various intermediate stages of a semiconductor device employing a low temperature dielectric film in some embodiments.

圖6A至6J係一些實施例中,採用低溫介電膜的半導體裝置中的導電線路,於形成方法的多種中間階段的剖視圖或平面圖。 Figures 6A through 6J are cross-sectional or plan views of various intermediate stages of the formation process for conductive traces in a semiconductor device employing a low temperature dielectric film in some embodiments.

圖7A-7B、8、9A-9C、10A-10C、11、12A-12B、13A-13C、14A-14B、15A-15B係一些實施例中,採用低溫介電膜的半導體裝置中的導電線路,於形成方法的多種中間階段的剖視圖、平面圖、或透視圖。 7A-7B, 8, 9A-9C, 10A-10C, 11, 12A-12B, 13A-13C, 14A-14B, 15A-15B are conductive lines in a semiconductor device using a low temperature dielectric film in some embodiments , a cross-sectional view, a plan view, or a perspective view of various intermediate stages of the forming method.

圖16至25係一些實施例中,採用低溫介電膜的鰭狀場效電晶體半導體裝置於形成方法的多種中間階段的剖視圖。 16 through 25 are cross-sectional views of various intermediate stages of a fin field effect transistor semiconductor device employing a low temperature dielectric film in some embodiments.

下述內容提供的不同實施例可實施本揭露的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。 Different embodiments provided below can implement the different structures of the present disclosure. The specific components and arrangements of the embodiments are intended to simplify the disclosure and not to limit the disclosure. For example, the description of forming the first member on the second member includes direct contact between the two, or the other is spaced apart from other direct members rather than in direct contact. In addition, the various embodiments of the disclosure may be repeated, and the description is only for the sake of simplicity and clarity, and does not represent the same correspondence between units having the same reference numerals between different embodiments and/or arrangements.

此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。 In addition, spatial relative terms such as "below", "below", "below", "above", "above", or similar terms may be used to simplify the description of one element and another element in the illustration. Relative relationship. Spatial relative terms may be extended to elements used in other directions, and are not limited to the illustrated orientation. The component can also be rotated by 90° or other angles, so the directional terminology is only used to illustrate the orientation in the illustration.

此處所述的多種實施例關於形成低溫介電膜,其 用於半導體裝置的製程。此處所述的低溫介電膜、製程、方法、或材料,可用於許多應用(包含鰭狀場效電晶體)。舉例來說,本發明實施例很適合圖案化鰭狀物,使結構之間的空間較緊密。此外,用於形成鰭狀場效電晶體的鰭狀物之間隔物又稱作芯,其形成方法可採用此處所述的技術或材料。在另一例中,低溫介電膜在多重圖案化製程中可作為多層光阻的一部份,或在圖案化時作為減少結構尺寸的膜。然而本發明實施例並不侷限於這些應用。此處所述的用語「低溫介電膜」指的是採用較低製程溫度(比如低於或等於200℃)沉積的介電層。在一些例子中,以較低製程溫度沉積介電材料,可在沉積時減少對介電材料下方的層狀物造成可能的損傷。 Various embodiments described herein are directed to forming a low temperature dielectric film for use in the fabrication of semiconductor devices. The low temperature dielectric films, processes, methods, or materials described herein can be used in a variety of applications, including fin field effect transistors. For example, embodiments of the present invention are well suited for patterning fins to provide a tighter space between the structures. Furthermore, the spacers used to form the fins of the fin field effect transistors are also referred to as cores, and the methods of formation thereof may employ the techniques or materials described herein. In another example, the low temperature dielectric film can be used as part of a multilayer photoresist in a multiple patterning process, or as a film that reduces the size of the structure when patterned. However, embodiments of the invention are not limited to these applications. The term "low temperature dielectric film" as used herein refers to a dielectric layer deposited using a lower process temperature, such as less than or equal to 200 °C. In some instances, depositing a dielectric material at a lower process temperature may reduce possible damage to the underlying layer of dielectric material during deposition.

此處所述的低溫介電膜可用於形成不同種類的半導體裝置之不同製程。在第一例示性的實施例中,圖1A至1J顯示形成導電線路120於半導體基板102上的層間介電層112中的中間階段其剖視圖。 The low temperature dielectric films described herein can be used to form different processes for different types of semiconductor devices. In the first exemplary embodiment, FIGS. 1A through 1J show cross-sectional views of intermediate stages in forming an electrically conductive line 120 in an interlayer dielectric layer 112 on a semiconductor substrate 102.

在圖1A至1H的實施例中,低溫介電膜116在形成導電線路120時,作為圖案化層間介電層112的蝕刻遮罩。舉例來說,圖1A至1H所示的實施例為後段製程中,形成導電線路之方法的部份。在圖1A中,層間介電層112與下方層114形成於半導體裝置100中。在一些實施例中,層間介電層112可形成於半導體基板102上。半導體基板102的組成可為半導體材料如摻雜或未摻雜的矽,或絕緣層上半導體基板的主動層。半導體基板102可包含其他半導體材料如鍺、半導體化合物(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合 金(包括矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。亦可採用其他基板如多層基板或組成漸變基板。裝置(未圖示)如電晶體、二極體、電容、電阻、或類似物可形成於半導體基板102的主動表面之中及/或之上。裝置可包含多種主動裝置如電晶體或類似物,與多種被動裝置如電容、電阻、電感、或類似物。可採用任何合適方法,形成主動裝置與被動裝置於半導體基板102之中或之上。在一些例子中,可省略半導體基板102。 In the embodiment of FIGS. 1A through 1H, the low temperature dielectric film 116 serves as an etch mask for patterning the interlayer dielectric layer 112 when the conductive traces 120 are formed. For example, the embodiment illustrated in Figures 1A through 1H is part of a method of forming a conductive trace in a post-stage process. In FIG. 1A, an interlayer dielectric layer 112 and an underlying layer 114 are formed in the semiconductor device 100. In some embodiments, the interlayer dielectric layer 112 can be formed on the semiconductor substrate 102. The composition of the semiconductor substrate 102 can be a semiconductor material such as doped or undoped germanium, or an active layer of a semiconductor substrate on the insulating layer. The semiconductor substrate 102 may include other semiconductor materials such as germanium, semiconductor compounds (including germanium carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide), and semiconductor alloys (including germanium and phosphorus). Gallium arsenide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide, and/or gallium indium arsenide, or a combination thereof. Other substrates such as a multilayer substrate or a compositionally graded substrate may also be used. Devices (not shown) such as transistors, diodes, capacitors, resistors, or the like may be formed in and/or on the active surface of the semiconductor substrate 102. The device may comprise a variety of active devices such as transistors or the like, and a variety of passive devices such as capacitors, resistors, inductors, or the like. The active device and the passive device may be formed in or on the semiconductor substrate 102 by any suitable method. In some examples, the semiconductor substrate 102 can be omitted.

層間介電層112可包含介電材料,其形成方法可為旋轉塗佈、化學氣相沉積、可流動的化學氣相沉積、電漿增強化學氣相沉積、或其他沉積方法。層間介電層112的組成可為磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、四乙氧基矽烷的氧化物、或類似物。 The interlayer dielectric layer 112 may comprise a dielectric material, which may be formed by spin coating, chemical vapor deposition, flowable chemical vapor deposition, plasma enhanced chemical vapor deposition, or other deposition methods. The composition of the interlayer dielectric layer 112 may be a phosphonate glass, a borosilicate glass, a boron-doped phosphosilicate glass, an undoped tellurite glass, an oxide of tetraethoxydecane, or analog.

圖1A亦顯示下方層114形成於層間介電層112上。在一些例子中,其他層狀物可形成於層間介電層112與下方層114之間。在一些實施例中,下方層114可為光阻層或聚合物層。下方層114的形成方法可為旋轉塗佈或另一合適製程。如圖1A所示的一些例子,下方層114的厚度T1可介於約10nm至約600nm之間。然而這些厚度僅用以舉例說明而非侷限實施例的範疇,且沉積層的精準厚度可為任何合適的所需厚度。 FIG. 1A also shows that the underlying layer 114 is formed on the interlayer dielectric layer 112. In some examples, other layers may be formed between the interlayer dielectric layer 112 and the underlying layer 114. In some embodiments, the lower layer 114 can be a photoresist layer or a polymer layer. The method of forming the lower layer 114 can be spin coating or another suitable process. As with some examples shown in FIG. 1A, the thickness T1 of the lower layer 114 can be between about 10 nm and about 600 nm. However, these thicknesses are for illustrative purposes only and are not intended to limit the scope of the embodiments, and the precise thickness of the deposited layer can be any suitable desired thickness.

雖然圖1A至1G顯示層間介電層112物理接觸半導體基板102,或下方層114物理接觸層間介電層112,但任何數目的中介層可位於層間介電層112與半導體基板102之間。這些中介層可包含另一層間介電層(包含低介電常數介電物與接點 插塞形成其中)、金屬間介電層(具有導電線路及/或通孔形成其中)、一或多個中間層(如蝕刻停止層、黏著層、抗反射塗層、或類似物)、上述之組合、或類似物。舉例來說,在直接位於層間介電層112下方處,可視情況形成蝕刻停止層(未圖示)。蝕刻停止層可停止在層間介電層112上進行的後續蝕刻製程。用於形成蝕刻停止層的材料與製程,可取決於層間介電層112的材料。 Although FIGS. 1A through 1G show that the interlayer dielectric layer 112 physically contacts the semiconductor substrate 102, or the underlying layer 114 physically contacts the interlayer dielectric layer 112, any number of interposers may be located between the interlayer dielectric layer 112 and the semiconductor substrate 102. The interposer may include another interlayer dielectric layer (including a low-k dielectric and a contact plug formed therein), an inter-metal dielectric layer (having conductive lines and/or vias formed therein), one or more An intermediate layer (such as an etch stop layer, an adhesive layer, an anti-reflective coating, or the like), a combination of the above, or the like. For example, an etch stop layer (not shown) may be formed directly under the interlayer dielectric layer 112. The etch stop layer can stop subsequent etching processes performed on the interlayer dielectric layer 112. The materials and processes used to form the etch stop layer may depend on the material of the interlayer dielectric layer 112.

如圖1B所示,低溫介電膜116形成於下方層114上。在一些實施例中,低溫介電膜116可為多層光阻的部份。舉例來說,低溫介電膜116可為多層光阻堆疊的中間層,而下方層114可為多層光阻堆疊的底層。在一些實施例中,低溫介電膜116的組成可為氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、氧化矽、氧化鈦、氧化物、其他介電物、上述之組合、或類似物。低溫介電膜116的形成方法可為沉積製程如電漿增強化學氣相沉積、低壓化學氣相沉積、物理氣相沉積、電漿增強原子層沉積、或類似方法。在一些實施例中,低溫介電膜116的厚度可介於約10Å至約50nm之間。然而這些厚度僅用以舉例說明,而非侷限本發明實施例的範疇,且沉積層的精確厚度可為任何合適的所需厚度。 As shown in FIG. 1B, a low temperature dielectric film 116 is formed on the lower layer 114. In some embodiments, the low temperature dielectric film 116 can be part of a multilayer photoresist. For example, the low temperature dielectric film 116 can be an intermediate layer of a multilayer photoresist stack, while the lower layer 114 can be a bottom layer of a multilayer photoresist stack. In some embodiments, the composition of the low temperature dielectric film 116 may be tantalum nitride, hafnium oxynitride, niobium carbonitride, tantalum carbide, tantalum carbonitride, tantalum oxide, titanium oxide, oxides, other dielectrics, the above a combination, or the like. The method of forming the low temperature dielectric film 116 may be a deposition process such as plasma enhanced chemical vapor deposition, low pressure chemical vapor deposition, physical vapor deposition, plasma enhanced atomic layer deposition, or the like. In some embodiments, the low temperature dielectric film 116 can have a thickness between about 10 Å and about 50 nm. However, these thicknesses are for illustrative purposes only and are not intended to limit the scope of the embodiments of the invention, and the precise thickness of the deposited layer may be any suitable desired thickness.

圖2與3顯示用於形成低溫介電膜如低溫介電膜116的沉積系統200。在一些實施例中,沉積系統200採用電漿增強原子層沉積製程形成低溫介電膜。在一些實施例中,沉積系統200自第一前驅物輸送系統205接收第一前驅物材料,並由第二前驅物輸送系統206接收第二前驅物材料,以形成材料的 層狀物於半導體基板102上。在一實施例中,第一前驅物輸送系統205與第二前驅物輸送系統206可彼此結合,以輸送多種不同的前驅物材料至沉積腔室203中,其中半導體基板102位於沉積腔室203中。在一些例子中,第一前驅物輸送系統205與第二前驅物輸送系統206彼此之間可具有類似的物理構件。在一些實施例中,其他前驅物輸送系統可為沉積系統200的部份,且可與第一前驅物輸送系統205或第二前驅物輸送系統206類似。 2 and 3 show a deposition system 200 for forming a low temperature dielectric film such as a low temperature dielectric film 116. In some embodiments, deposition system 200 forms a low temperature dielectric film using a plasma enhanced atomic layer deposition process. In some embodiments, deposition system 200 receives a first precursor material from first precursor delivery system 205 and a second precursor material from second precursor delivery system 206 to form a layer of material on a semiconductor substrate 102. In an embodiment, the first precursor delivery system 205 and the second precursor delivery system 206 can be coupled to each other to deliver a plurality of different precursor materials into the deposition chamber 203, wherein the semiconductor substrate 102 is located in the deposition chamber 203 . In some examples, first precursor delivery system 205 and second precursor delivery system 206 may have similar physical components to each other. In some embodiments, other precursor delivery systems can be part of deposition system 200 and can be similar to first precursor delivery system 205 or second precursor delivery system 206.

在一些實施例中,第一前驅物輸送系統205與第二前驅物輸送系統206可各自包含氣體供應器207與流量控制器209(為了圖式清楚,在圖2中僅標示於第一前驅物輸送系統205而未標示於第二前驅物輸送系統206)。在一實施例中,第一前驅物材料以氣態儲存,而氣體供應器207可提供第一前驅物材料至沉積腔室203。氣體供應器207可為容器如氣體儲槽,其可位於沉積腔室203附近或遠離沉積腔室203。另一方面,氣體供應器207有利於獨立地準備並輸送第一前驅物材料至流量控制器209。任何適用於第一前驅物材料的來源均可作為氣體供應器207,且這些來源完全包含於本發明實施例的範疇。 In some embodiments, the first precursor delivery system 205 and the second precursor delivery system 206 can each include a gas supply 207 and a flow controller 209 (for clarity of illustration, only the first precursor is labeled in FIG. 2) Delivery system 205 is not labeled in second precursor delivery system 206). In an embodiment, the first precursor material is stored in a gaseous state, and the gas supply 207 can provide a first precursor material to the deposition chamber 203. The gas supply 207 can be a vessel such as a gas reservoir that can be located adjacent to or away from the deposition chamber 203. On the other hand, the gas supply 207 facilitates independently preparing and delivering the first precursor material to the flow controller 209. Any source suitable for the first precursor material can be used as the gas supply 207, and these sources are fully included in the scope of the embodiments of the present invention.

氣體供應器207可輸送所需的第一前驅物材料至流量控制器209。流量控制器209可用於控制第一前驅物材料至前驅物氣體控制器213與之後至沉積腔室203的流量,有助於控制沉積腔室203中的壓力。流量控制器209可為比例閥、調整閥、針閥、壓力調節器、質量流量控制器、上述之組合、或類似物。然而可採用任何合適方法以控制並調整載氣至前驅物罐的流量,且所有這些構件與方法完全屬於本發明實施例的範 疇。 Gas supply 207 can deliver the desired first precursor material to flow controller 209. The flow controller 209 can be used to control the flow of the first precursor material to the precursor gas controller 213 and thereafter to the deposition chamber 203 to help control the pressure in the deposition chamber 203. The flow controller 209 can be a proportional valve, a trim valve, a needle valve, a pressure regulator, a mass flow controller, combinations of the above, or the like. However, any suitable method can be employed to control and adjust the flow of carrier gas to the precursor canister, and all of these components and methods are well within the scope of embodiments of the present invention.

然而如本技術領域中具有通常知識者所知,此處所述的第一前驅物輸送系統205與第二前驅物輸送系統206具有相同構件,僅用以舉例說明而非侷限本發明實施例至任何形式。可改用任何合適種類的前驅物輸送系統,其可具有任何種類與數目的個別構件,且這些構件可與沉積系統200中的任何其他前驅物輸送系統之構件相同或不同。這些前驅物系統完全屬於本發明實施例的範疇。 However, as is known to those of ordinary skill in the art, the first precursor delivery system 205 and the second precursor delivery system 206 described herein have the same components, which are merely illustrative and not limiting to the embodiments of the present invention. Any form. Any suitable type of precursor delivery system can be used, which can have any number and number of individual components, and these components can be the same or different than the components of any other precursor delivery system in deposition system 200. These precursor systems are well within the scope of embodiments of the invention.

此外,一些實施例中的第一前驅物材料以固態或液態儲存。氣體供應器207可儲存載氣,而載氣可導入前驅物罐(未分開圖示),且前驅物罐儲存固態或液態的第一前驅物材料。接著在將第一前驅物材料送入前驅物氣體控制器213之前,以載氣推動並承載第一前驅物材料,比如蒸發或昇華第一前驅物材料成前驅物罐的氣體部份。在一些實施例中,載氣可為惰性氣體如氬氣、氦氣、或另一氣體。任何合適的方法與單元的組合可用於提供第一前驅物材料,且單元的所有組合完全包含於本發明實施例的範疇中。 Additionally, the first precursor material in some embodiments is stored in a solid or liquid state. The gas supply 207 can store a carrier gas, and the carrier gas can be introduced into a precursor canister (not shown separately), and the precursor canister stores a first precursor material in a solid or liquid state. The first precursor material is then pushed and carried by the carrier gas prior to feeding the first precursor material to the precursor gas controller 213, such as evaporating or sublimating the first precursor material into the gas portion of the precursor can. In some embodiments, the carrier gas can be an inert gas such as argon, helium, or another gas. Any suitable combination of methods and units can be used to provide the first precursor material, and all combinations of units are fully encompassed within the scope of embodiments of the invention.

第一前驅物輸送系統205與第二前驅物輸送系統206可輸送個別的前驅物材料至前驅物氣體控制器213中。前驅物氣體控制器213自沉積腔室203連接並隔開第一前驅物輸送系統205與第二前驅物輸送系統206,以輸送所需的前驅物材料至沉積腔室203。前驅物氣體控制器213可包含裝置如閥件、流量計、感測器、或類似物以控制每一前驅物的輸送速率,且可接收來自控制單元215(將搭配圖3進一步說明於下)的指令以控 制前驅物氣體控制器213。 The first precursor delivery system 205 and the second precursor delivery system 206 can deliver individual precursor materials to the precursor gas controller 213. Precursor gas controller 213 is coupled from deposition chamber 203 and separates first precursor delivery system 205 from second precursor delivery system 206 to deliver the desired precursor material to deposition chamber 203. The precursor gas controller 213 can include means such as a valve member, flow meter, sensor, or the like to control the delivery rate of each precursor and can be received from the control unit 215 (described further below in conjunction with FIG. 3) The instructions are to control the precursor gas controller 213.

前驅物氣體控制器213自控制單元215接收指令,可開啟或關閉閥件以連接第一前驅物輸送系統205與第二前驅物輸送系統206的一或多者至沉積腔室203,並經由歧管216將將所需的前驅物材料導入沉積腔室203與噴灑頭217。噴灑頭217可用於分散選用的前驅物材料至沉積腔室203中,並設計以均勻分散前驅物材料,使不均勻分散所造成之不想要的製程條件最小化。在一些實施例中,噴灑頭217具有圓形設計,其具有開口均勻地分散於噴灑頭217周圍,使所需的前驅物得以分散至沉積腔室203中。 The precursor gas controller 213 receives an instruction from the control unit 215 to open or close the valve member to connect one or more of the first precursor delivery system 205 and the second precursor delivery system 206 to the deposition chamber 203, and via the manifold Tube 216 will direct the desired precursor material into deposition chamber 203 and sprinkler head 217. Sprinkler head 217 can be used to disperse the selected precursor material into deposition chamber 203 and is designed to evenly disperse the precursor material to minimize undesirable process conditions resulting from uneven dispersion. In some embodiments, the showerhead 217 has a circular design with openings that are evenly distributed around the showerhead 217 to allow the desired precursor to be dispersed into the deposition chamber 203.

然而本技術領域中具有通常知識者應理解,經由單一噴灑頭217或單一導入點將前驅物材料導入沉積腔室203的上述內容,僅用以舉例說明而非侷限本發明實施例。可改用任何數目的分開獨立噴灑頭217或其他開口以將前驅物材料導入沉積腔室203。噴灑頭與其他導入點的所有組合完全包含於本發明實施例的範疇中。 However, those of ordinary skill in the art will appreciate that the foregoing introduction of the precursor material into the deposition chamber 203 via a single showerhead 217 or a single point of introduction is merely illustrative and not limiting of the embodiments of the invention. Any number of separate individual showerheads 217 or other openings can be used to introduce the precursor material into the deposition chamber 203. All combinations of sprinkler heads and other points of introduction are fully encompassed within the scope of embodiments of the present invention.

沉積腔室203可接受所需的前驅物材料,並暴露下方層114至前驅物材料。沉積腔室203可為任何所需形狀,其適於分散前驅物材料並使前驅物材料接觸下方層114。在圖2所示的實施例中,沉積腔室203具有圓柱形側壁與底部。然而沉積腔室203不限於圓柱形,且可採用任何其他形狀如中空方管、八面形、或類似形狀。此外,外殼219可圍繞沉積腔室203,且外殼219的材料組成對多種製程材料呈惰性。如此一來,外殼219可為承受沉積製程中的化學品與壓力的任何合適材料如 鋼、不鏽鋼、鎳、鋁、上述之合金、上述之組合、或類似物。 The deposition chamber 203 can accept the desired precursor material and expose the underlying layer 114 to the precursor material. The deposition chamber 203 can be of any desired shape suitable for dispersing the precursor material and contacting the precursor material with the underlying layer 114. In the embodiment shown in Figure 2, the deposition chamber 203 has a cylindrical side wall and a bottom. However, the deposition chamber 203 is not limited to a cylindrical shape, and any other shape such as a hollow square tube, an octahedron, or the like may be employed. Additionally, the outer casing 219 can surround the deposition chamber 203 and the material composition of the outer casing 219 is inert to a variety of process materials. As such, the outer casing 219 can be any suitable material that withstands the chemicals and pressures in the deposition process, such as steel, stainless steel, nickel, aluminum, alloys of the foregoing, combinations of the foregoing, or the like.

在沉積腔室203中,半導體基板102可置於安裝平台221上,以在沉積製程中安置並控制半導體基板102與下方層114。安裝平台221可位於沉積腔室203的底部上,且可包含加熱機制以在沉積製程時加熱半導體基板102。此外,雖然圖2顯示單一的安裝平台221,但沉積腔室203中可額外包含任何數目的安裝平台221。 In the deposition chamber 203, the semiconductor substrate 102 can be placed on the mounting platform 221 to place and control the semiconductor substrate 102 and the underlying layer 114 in a deposition process. The mounting platform 221 can be located on the bottom of the deposition chamber 203 and can include a heating mechanism to heat the semiconductor substrate 102 during the deposition process. Moreover, although FIG. 2 shows a single mounting platform 221, any number of mounting platforms 221 may be additionally included in the deposition chamber 203.

在一些實施例中,安裝平台221亦可包含耦接至射頻產生器232的第一電極230。在控制單元215的控制下,沉積製程(如電漿增強原子層沉積)時的射頻產生器232可施加射頻電壓的偏壓至第一電極230。由於第一電極230具有偏壓,其可用於提供偏壓至進入的氣體(如前驅物材料及/或載氣),並輔助點燃氣體成電漿。在一些實施例中,載氣可隨著前驅物材料點燃成電漿。另一方面,亦可在沉積時維持第一電極230的偏壓以維持電漿。 In some embodiments, the mounting platform 221 can also include a first electrode 230 coupled to the RF generator 232. Under the control of the control unit 215, the RF generator 232 when a deposition process (such as plasma enhanced atomic layer deposition) can apply a bias voltage of the RF voltage to the first electrode 230. Since the first electrode 230 has a bias voltage, it can be used to provide a bias to the incoming gas (such as the precursor material and/or carrier gas) and assist in igniting the gas into a plasma. In some embodiments, the carrier gas can be ignited into a plasma with the precursor material. On the other hand, the bias of the first electrode 230 can also be maintained during deposition to maintain the plasma.

沉積腔室203亦包含上電極231以作為電漿產生器。在一實施例中,電漿產生器可為變壓器耦合電漿產生器,且可為線圈。線圈可貼合至射頻產生器233,其於控制單元215的控制下提供功率至上電極231,以點燃氣體成電漿。 The deposition chamber 203 also includes an upper electrode 231 as a plasma generator. In an embodiment, the plasma generator can be a transformer coupled plasma generator and can be a coil. The coil can be attached to a radio frequency generator 233 that provides power to the upper electrode 231 under control of the control unit 215 to ignite the gas into a plasma.

雖然前述上電極231為變壓器耦合電漿產生器,但實施例並不侷限於變壓器耦合電漿產生器。相反地,可改用產生電漿的任何合適方法如感應式耦合電漿系統、磁增強反應式離子蝕刻、電子迴旋共振、遠端電漿產生器、或類似方法。舉例來說,一些實施例中進入的氣體可點燃成電漿,且點燃方法 可採用連接至沉積腔室203的分開腔室中的電漿產生器,或採用沉積腔室203中未耦接至安裝平台221的電漿產生器。這些方法完全包含於本發明實施例的範疇。 Although the aforementioned upper electrode 231 is a transformer coupled plasma generator, the embodiment is not limited to a transformer coupled plasma generator. Conversely, any suitable method of producing a plasma, such as an inductively coupled plasma system, a magnetically enhanced reactive ion etching, an electron cyclotron resonance, a remote plasma generator, or the like, can be used instead. For example, the gas entering in some embodiments may be ignited into a plasma, and the ignition method may employ a plasma generator connected to a separate chamber of the deposition chamber 203, or may be uncoupled to the deposition chamber 203. The plasma generator of the mounting platform 221 is installed. These methods are fully encompassed within the scope of embodiments of the invention.

此外,沉積腔室203與安裝平台221可為集束式工具系統(未圖示)的部份。集束式工具系統可結合自動處理系統,以在沉積製程之前安置半導體基板102至沉積腔室203中、在沉積製程時固定半導體基板102、並在沉積製程之後自沉積腔室203移出半導體基板102。 Additionally, deposition chamber 203 and mounting platform 221 can be part of a cluster tool system (not shown). The cluster tool system can be combined with an automated processing system to position the semiconductor substrate 102 into the deposition chamber 203 prior to the deposition process, fix the semiconductor substrate 102 during the deposition process, and remove the semiconductor substrate 102 from the deposition chamber 203 after the deposition process.

沉積腔室203亦可具有排氣出口225,以將氣體徘出沉積腔室203。真空泵浦223可連接至沉積腔室203的排氣出口225,以助排出廢氣。在控制單元215的控制下,真空泵浦223可降低及控制沉積腔室203中的壓力至所需壓力,並可自沉積腔室203排出前驅物材料,以準備導入之後的前驅物材料。 The deposition chamber 203 may also have an exhaust outlet 225 to pump gas out of the deposition chamber 203. A vacuum pump 223 can be coupled to the exhaust outlet 225 of the deposition chamber 203 to assist in exhausting the exhaust. Under the control of the control unit 215, the vacuum pump 223 can reduce and control the pressure in the deposition chamber 203 to the desired pressure, and can discharge the precursor material from the deposition chamber 203 to prepare the precursor material after introduction.

圖3顯示控制單元215的實施例,其可用於控制前驅物氣體控制器213、真空泵浦223、或射頻產生器232與233。控制單元215可為任何種類的電腦處理器,其可用於工業設定以控制製程機器。在一實施例中,控制單元215可包含處理器301如桌上型電腦、工作站、筆記型電腦、類似物、或為特用目的客製化的特用單元。控制單元215可配備顯示器303與一或多個輸入/輸出構件305(如指令輸出、感測輸入、滑鼠、鍵盤、印表機、上述之組合、或類似物)。處理器301可包含連接至匯流排312的輸入/輸出介面316、中央處理器306、記憶體308、大量儲存裝置310、與顯示卡314。 FIG. 3 shows an embodiment of control unit 215 that may be used to control precursor gas controller 213, vacuum pump 223, or radio frequency generators 232 and 233. Control unit 215 can be any type of computer processor that can be used in industrial settings to control the process machine. In an embodiment, control unit 215 can include a processor 301 such as a desktop computer, workstation, notebook, analog, or special purpose unit customized for special purposes. Control unit 215 can be equipped with display 303 and one or more input/output members 305 (eg, command output, sense input, mouse, keyboard, printer, combination of the above, or the like). The processor 301 can include an input/output interface 316 connected to the bus 312, a central processing unit 306, a memory 308, a mass storage device 310, and a display card 314.

匯流排312亦可為一或多種任意形態的多種匯流 排結構,其包含記憶體匯流排或記憶體控制器、周邊匯流排、或影像匯流排。中央處理器306可包含任何種類的電子資料處理器,且記憶體308可包含任何種類的系統記憶體如靜態隨機存取記憶體、動態隨機存取記憶體、或唯讀記憶體。大量儲存裝置310可包含任何種類的儲存裝置,其設置以儲存資料、程式、或其他資訊,且設置為可由匯流排312存取資料、程式、或其他資訊。舉例來說,大量儲存裝置310可包含一或多個硬碟、磁碟、或光碟。 The bus bar 312 can also be a plurality of bus bar structures of one or more arbitrary forms, including a memory bus bar or a memory controller, a peripheral bus bar, or an image bus bar. The central processing unit 306 can include any type of electronic data processor, and the memory 308 can include any type of system memory such as static random access memory, dynamic random access memory, or read only memory. The mass storage device 310 can include any type of storage device configured to store data, programs, or other information and is configured to be accessible by the bus 312 for accessing data, programs, or other information. For example, mass storage device 310 can include one or more hard drives, disks, or optical disks.

顯示卡314與輸入/輸出介面316提供介面,以耦接外部輸入與輸出裝置至處理單元301。如圖3所示,輸入與輸出裝置的例子包含耦接至顯示卡314的顯示器303,以及耦接至輸入/輸出介面316的輸入/輸出構件305如滑鼠、鍵盤、印表機、或類似物。其他裝置可耦接至處理器301,且可採用額外或較少的介面卡。舉例來說,串聯介面卡(未圖示)可提供用於印表機的串聯介面。處理器301亦可包含網路介面318,其可為連接至局域網路或廣域網路320的有線連接及/或無線連接。值得注意的是,控制單元215可包含其他構件。舉例來說,控制單元215可包含電源、纜線、主機板、可動儲存媒介、外殼、與類似物。雖然圖3未顯示,但這些其他構件可視作控制單元215的部份。 Display card 314 and input/output interface 316 provide an interface to couple external input and output devices to processing unit 301. As shown in FIG. 3, examples of input and output devices include a display 303 coupled to display card 314, and an input/output member 305 coupled to input/output interface 316 such as a mouse, keyboard, printer, or the like. Things. Other devices may be coupled to the processor 301 and additional or fewer interface cards may be employed. For example, a serial interface card (not shown) can provide a serial interface for a printer. The processor 301 can also include a network interface 318, which can be a wired connection and/or a wireless connection to a local area network or wide area network 320. It is noted that the control unit 215 can include other components. For example, control unit 215 can include a power source, a cable, a motherboard, a removable storage medium, a housing, and the like. Although not shown in FIG. 3, these other components can be considered as part of the control unit 215.

在一些例子中,在沉積材料於光阻或聚合物的下方層上時,氧電漿的存在可能損傷下方層的表面。在一些例子中,電漿中形成的氧自由基(O*)會破壞下方層中的碳-碳鍵(C-C或C=C)或碳氫鍵(C-H),而損傷或消耗下方層。舉例來說, 氧電漿可能與下方層產生的反應如下式:C=C+O* → CO2或CO C-H+O* → CO2+H2O除上式外,亦可能產生其他反應。 In some instances, the presence of oxygen plasma may damage the surface of the underlying layer when the material is deposited on the underlying layer of the photoresist or polymer. In some instances, oxygen radicals (O*) formed in the plasma can destroy the carbon-carbon bonds (CC or C=C) or carbon-hydrogen bonds (CH) in the underlying layer, damaging or consuming the underlying layers. For example, the oxygen plasma may react with the lower layer as follows: C=C+O* → CO 2 or CO C-H+O* → CO 2 +H 2 O In addition to the above formula, other reaction.

在電漿增強原子層沉積製程中,採用含氧前驅物材料沉積含氧膜,可能在沉積時產生氧電漿而損傷下方層。在一些例子中,氧電漿消耗或蝕刻下方層的部份,造成下方層的厚度比沉積時的厚度小。舉例來說,因沉積低溫介電膜116的氧電漿損傷下方層114,會使下方層114自沉積的厚度T1減少至厚度T2。如圖1B所示,下方層114具有厚度變化DT1。在一些例子中,厚度變化DT1的量取決於下方層114的材料,以及氧電漿與電漿增強原子層沉積製程的特性。這些損傷可能減少製程一致性或再現性,且一些例子中的損傷可能會影響圖案化結構或其他結構的尺寸。 In the plasma enhanced atomic layer deposition process, an oxygen-containing film is deposited using an oxygen-containing precursor material, which may generate oxygen plasma during deposition to damage the underlying layer. In some instances, the oxygen plasma consumes or etches portions of the underlying layer, causing the thickness of the underlying layer to be less than the thickness at the time of deposition. For example, by depositing the underlying layer 114 of oxygen plasma of the low temperature dielectric film 116, the thickness T1 of the underlying layer 114 from deposition is reduced to a thickness T2. As shown in FIG. 1B, the lower layer 114 has a thickness variation DT1. In some examples, the amount of thickness change DT1 depends on the material of the underlying layer 114, as well as the characteristics of the oxygen plasma and plasma enhanced atomic layer deposition process. These damages may reduce process consistency or reproducibility, and damage in some instances may affect the size of the patterned structure or other structures.

在一些例子中,採用含氧前驅物而非氧的同素異形體(如氣態氧、臭氧、或類似物)以沉積低溫介電膜116,可在沉積形成低溫介電膜116時減少氧電漿。在一些實施例中,前驅物如二氧化碳、一氧化二氮、或二氧化二氮可用於取代或額外添加至氧的同素異形體前驅物。在一些實施例中,一或多種醇類如乙醇、其他醇類、或醇類的組合可作為前驅物。在一些實施例中,可採用超過一種前驅物。在一些實施例中,超過一種前驅物可結合(或可不結合)氧的同素異形體(作為另一前驅物材料)。前驅物具有較少氧含量(比如前驅物不含氣態氧、臭氧、或類似物),可在形成含氧的低溫介電膜116於下方層114 上時,對下方層114的損傷較少。 In some instances, an oxygen-containing precursor, rather than an oxygen-containing allotrope (such as gaseous oxygen, ozone, or the like) to deposit a low temperature dielectric film 116 can reduce oxygenation during deposition of the low temperature dielectric film 116. Pulp. In some embodiments, a precursor such as carbon dioxide, nitrous oxide, or dinitrogen dioxide can be used to replace or otherwise add to the allotrope precursor of oxygen. In some embodiments, a combination of one or more alcohols such as ethanol, other alcohols, or alcohols can serve as a precursor. In some embodiments, more than one precursor can be employed. In some embodiments, more than one precursor may or may not bind to an allotrope of oxygen (as another precursor material). The precursor has less oxygen content (e.g., the precursor is free of gaseous oxygen, ozone, or the like) and may be less damaging to the underlying layer 114 when the oxygen-containing low temperature dielectric film 116 is formed on the underlying layer 114.

圖4的圖表400顯示沉積低溫介電膜於下方層上,以產生與圖1B所示的結構類似之多層結構的實驗結果。圖表400顯示的曲線410、420、與430分別為不同低溫介電膜直接沉積於下方層上時,所造成的下方層厚度變化。在一些例子中,下方層厚度變化量可視作氧電漿對下方層造成的損傷量。在圖表400所示的實驗中,沉積不同低溫介電膜的曲線分別以曲線410、420、與430表示。曲線410、420、與430顯示沉積低溫介電膜所減少的下方層厚度。一般而言,當沉積的低溫介電膜厚度增加時,下方層厚度的變化也較大。曲線410與420採用氧氣作為前驅物以沉積低溫介電膜。然而曲線430採用二氧化碳取代氧氣作為前驅物以沉積低溫介電膜,且曲線430沉積低溫介電膜所損傷的下方層小於曲線410與420。舉例來說,下方層厚度變化的曲線430幾乎為下方層厚度變化的曲線410之一半。因此圖表400顯示此處所述的一些實施例之技術在直接沉積含氧介電膜於下方層上時,如何減少損傷光阻或聚合物的下方層。 The graph 400 of FIG. 4 shows experimental results of depositing a low temperature dielectric film on the underlying layer to produce a multilayer structure similar to that shown in FIG. 1B. The curves 410, 420, and 430 shown in the graph 400 are the thickness variations of the underlying layers caused by different low temperature dielectric films deposited directly on the underlying layers, respectively. In some examples, the amount of thickness variation of the underlying layer can be considered as the amount of damage caused by the oxygen plasma to the underlying layer. In the experiment shown in graph 400, the curves for depositing different low temperature dielectric films are represented by curves 410, 420, and 430, respectively. Curves 410, 420, and 430 show the reduced underlying layer thickness of the deposited low temperature dielectric film. In general, as the thickness of the deposited low temperature dielectric film increases, the thickness of the underlying layer also changes. Curves 410 and 420 employ oxygen as a precursor to deposit a low temperature dielectric film. Curve 430, however, uses carbon dioxide instead of oxygen as a precursor to deposit a low temperature dielectric film, and curve 430 deposits a lower layer of damaged low temperature dielectric film that is less than curves 410 and 420. For example, the curve 430 of the underlying layer thickness variation is almost one-half of the curve 410 of the underlying layer thickness variation. Thus, graph 400 shows how the techniques of some embodiments described herein reduce the damage photoresist or underlying layers of the polymer when directly depositing the oxygen-containing dielectric film on the underlying layer.

如圖1B所示,可採用沉積系統如沉積系統200,以沉積低溫介電膜116於下方層114上。在一些實施例中,低溫介電膜116的形成方法可先將前驅物材料導入第一前驅物輸送系統205或第二前驅物輸送系統206中。在一些實施例中,第一前驅物材料包含二氧化碳、一氧化二氮、二氧化二氮、一或東種醇類、其他材料、或上述之組合。在一些實施例中,第二前驅物材料包含三(二乙基胺基)矽烷、四(二甲基胺基)鈦、雙(第三丁基胺基)矽烷、雙(二乙基胺基)矽烷、其他材料、或上述之組 合。在一些實施例中,氧同素異形體前驅物可作為第三前驅物材料,以與另一第一前驅物材料結合。 As shown in FIG. 1B, a deposition system, such as deposition system 200, can be employed to deposit a low temperature dielectric film 116 on the underlying layer 114. In some embodiments, the method of forming the low temperature dielectric film 116 can first introduce the precursor material into the first precursor delivery system 205 or the second precursor delivery system 206. In some embodiments, the first precursor material comprises carbon dioxide, nitrous oxide, dinitrogen dioxide, mono or eastern alcohols, other materials, or combinations thereof. In some embodiments, the second precursor material comprises tris(diethylamino)decane, tetrakis(dimethylamino)titanium, bis(t-butylamino)decane, bis(diethylamino) ) decane, other materials, or a combination of the above. In some embodiments, the oxygen allotrope precursor can be used as a third precursor material to combine with another first precursor material.

一旦將第一前驅物材料與第二前驅物材料置入第一前驅物輸送系統205與第二前驅物輸送系統206中,則控制單元215可傳送指令至前驅物氣體控制器213,以依序或交替連接第一前驅物輸送系統205與第二前驅物輸送系統206至沉積腔室203,以開始形成低溫介電膜116。一旦完成上述連接,第一前驅物輸送系統205與第二前驅物輸送系統206可經由前驅物氣體控制器213與歧管216輸送第一前驅物材料與第二前驅物材料至噴灑頭217。噴灑頭217接著可將第一前驅物材料與第二前驅物材料分散至沉積腔室203中,其中第一前驅物材料與第二前驅物材料可形成低溫介電膜116於下方層114上。控制單元215亦可點燃前驅物材料以成電漿於沉積腔室203中。舉例來說,上述點燃可採用射頻產生器232與233。在一些實施例中,在沉積低溫介電膜116時,可點燃上述第一前驅物材料以成電漿。在一些例子中,可在相同的沉積腔室203中沉積低溫介電膜116與進行其他製程步驟(如沉積製程或蝕刻製程)。舉例來說,亦可在沉積腔室203中的半導體裝置100上,進行電漿蝕刻製程或另一電漿增強沉積製程。 Once the first precursor material and the second precursor material are placed in the first precursor delivery system 205 and the second precursor delivery system 206, the control unit 215 can transmit an instruction to the precursor gas controller 213, in order The first precursor delivery system 205 and the second precursor delivery system 206 are alternately connected to the deposition chamber 203 to begin forming the low temperature dielectric film 116. Once the above connections are completed, the first precursor delivery system 205 and the second precursor delivery system 206 can deliver the first precursor material and the second precursor material to the showerhead 217 via the precursor gas controller 213 and the manifold 216. The showerhead 217 can then disperse the first precursor material and the second precursor material into the deposition chamber 203, wherein the first precursor material and the second precursor material can form the low temperature dielectric film 116 on the underlying layer 114. Control unit 215 can also ignite the precursor material to plasma into deposition chamber 203. For example, the above ignition may employ RF generators 232 and 233. In some embodiments, the first precursor material can be ignited to form a plasma when the low temperature dielectric film 116 is deposited. In some examples, the low temperature dielectric film 116 can be deposited in the same deposition chamber 203 with other processing steps (such as a deposition process or an etch process). For example, a plasma etching process or another plasma enhanced deposition process may also be performed on the semiconductor device 100 in the deposition chamber 203.

在一些實施例中,用於形成低溫介電膜116的第一前驅物材料流入沉積腔室203的流速介於約10sccm至約5000sccm之間,而第二前驅物材料流入沉積腔室203的流速介於約10sccm至約5000sccm之間。舉例來說,作為第一前驅物材料的二氧化碳流入沉積腔室203的流速介於約10sccm至約 5000sccm之間。此外,沉積腔室203的壓力可維持於約0.1Torr至約10Torr之間,而溫度可維持於約0℃至約200℃之間(如約90℃)。在一些實施例中,形成低溫介電膜116所用的射頻功率介於約1瓦至約2000瓦之間。在一些實施例中,形成低溫介電膜116所用的直流電功率介於約1瓦至約2000瓦之間。然而如本技術領域中具有通常知識者所知,這些製程條件僅用以舉例說明,而任何合適的製程條件仍屬本發明實施例的範疇。 In some embodiments, the flow rate of the first precursor material used to form the low temperature dielectric film 116 into the deposition chamber 203 is between about 10 sccm and about 5000 sccm, and the flow rate of the second precursor material flows into the deposition chamber 203. Between about 10 sccm and about 5000 sccm. For example, the flow rate of carbon dioxide as the first precursor material into the deposition chamber 203 is between about 10 sccm and about 5,000 sccm. Further, the pressure of the deposition chamber 203 can be maintained between about 0.1 Torr and about 10 Torr, and the temperature can be maintained between about 0 ° C and about 200 ° C (eg, about 90 ° C). In some embodiments, the RF power used to form the low temperature dielectric film 116 is between about 1 watt and about 2000 watts. In some embodiments, the DC power used to form the low temperature dielectric film 116 is between about 1 watt and about 2000 watts. However, as is known to those of ordinary skill in the art, these process conditions are for illustrative purposes only, and any suitable process conditions are still within the scope of embodiments of the invention.

如圖1C所示,上方層118形成於低溫介電膜116上。在一些實施例中,上方層118可為光阻。在一些例子中,上方層118可為多層光阻堆疊的部份。舉例來說,上方層118可為多層光阻堆疊的最上層,而低溫介電膜116可為多層光阻堆疊的中間層。上方層118的形成方法可為旋轉塗佈製程或另一合適製程。在一些實施例中,在形成上方層118之前,可形成額外層如黏著層於低溫介電膜116上。在圖1D中,採用光微影製程圖案化上方層118,以形成開口於上方層118中。 As shown in FIG. 1C, an upper layer 118 is formed on the low temperature dielectric film 116. In some embodiments, the upper layer 118 can be photoresist. In some examples, the upper layer 118 can be part of a multilayer photoresist stack. For example, the upper layer 118 can be the uppermost layer of the multilayer photoresist stack, and the low temperature dielectric film 116 can be the intermediate layer of the multilayer photoresist stack. The formation of the upper layer 118 can be a spin coating process or another suitable process. In some embodiments, an additional layer, such as an adhesive layer, may be formed on the low temperature dielectric film 116 prior to forming the upper layer 118. In FIG. 1D, the upper layer 118 is patterned using a photolithography process to form openings in the upper layer 118.

如圖1E所示,圖案化的上方層118作為圖案化低溫介電膜116時的蝕刻遮罩。上方層118的圖案可經由蝕刻製程轉移至低溫介電膜116。在一些例子中,蝕刻製程為非等向,因此上方層118中的開口延伸穿過低溫介電膜116,且低溫介電膜116中的開口尺寸與上方層118中的開口尺寸大致相同。在一些實施例中,可在沉積低溫介電膜116的相同腔室(如沉積腔室203)中進行一或多道蝕刻製程。 As shown in FIG. 1E, the patterned upper layer 118 acts as an etch mask when patterning the low temperature dielectric film 116. The pattern of the upper layer 118 can be transferred to the low temperature dielectric film 116 via an etching process. In some examples, the etch process is non-isotropic, such that the opening in the upper layer 118 extends through the low temperature dielectric film 116 and the opening size in the low temperature dielectric film 116 is substantially the same as the opening size in the upper layer 118. In some embodiments, one or more etching processes can be performed in the same chamber (eg, deposition chamber 203) where the low temperature dielectric film 116 is deposited.

如圖1F所示,圖案化的低溫介電膜116作為圖案化下方層114的蝕刻遮罩。低溫介電膜116的圖案可經由蝕刻製程 轉移至下方層114。在一些例子中,蝕刻製程為非等向,因此低溫介電膜116中的開口將延伸穿過下方層114,且下方層114中的開口與低溫介電膜116中的開口具有相同尺寸。在一些例子中,蝕刻下方層114時可消耗一些或全部的上方層118。如圖1G所示,接著以圖案化的下方層114作為圖案化層間介電層112的蝕刻遮罩。下方層114的圖案可經由蝕刻製程轉移至層間介電層112。在一些例子中,蝕刻層間介電層112時可能消耗一些或全部的低溫介電膜116或下方層114。在蝕刻層間介電層112的步驟未完全消耗下方層114的實施例中,可進行灰化製程以移除殘留的下方層114。 As shown in FIG. 1F, the patterned low temperature dielectric film 116 acts as an etch mask for patterning the underlying layer 114. The pattern of the low temperature dielectric film 116 can be transferred to the underlying layer 114 via an etching process. In some examples, the etch process is non-isotropic, such that openings in the low temperature dielectric film 116 will extend through the underlying layer 114, and the openings in the lower layer 114 have the same dimensions as the openings in the low temperature dielectric film 116. In some examples, some or all of the upper layer 118 may be consumed when etching the underlying layer 114. As shown in FIG. 1G, the patterned underlying layer 114 is then used as an etch mask for patterning the interlayer dielectric layer 112. The pattern of the lower layer 114 can be transferred to the interlayer dielectric layer 112 via an etching process. In some examples, some or all of the low temperature dielectric film 116 or underlying layer 114 may be consumed when etching the interlayer dielectric layer 112. In embodiments where the step of etching the interlayer dielectric layer 112 does not completely consume the underlying layer 114, an ashing process can be performed to remove the remaining underlying layer 114.

在圖1H中,可將導電材料填入層間介電層112被蝕刻的部份。可採用任何合適的導電材料如銅、鋁、或另一金屬,且導電材料的形成方法可採用電鍍製程或另一合適製程。在一些實施例中,可在形成導電材料之前,沉積一或多個額外層狀物如阻障層、黏著層、晶種層、或其他層於層間介電層112的蝕刻部份上。在填入蝕刻部份之後,可進行平坦化製程如化學機械研磨以移除層間介電層112上的導電材料之額外部份,即形成導電線路120。 In FIG. 1H, a conductive material may be filled into the portion of the interlayer dielectric layer 112 that is etched. Any suitable conductive material such as copper, aluminum, or another metal may be employed, and the conductive material may be formed by an electroplating process or another suitable process. In some embodiments, one or more additional layers such as a barrier layer, an adhesion layer, a seed layer, or other layer may be deposited over the etched portion of the interlayer dielectric layer 112 prior to forming the conductive material. After the etched portion is filled, a planarization process such as chemical mechanical polishing can be performed to remove an additional portion of the conductive material on the interlayer dielectric layer 112, i.e., the conductive traces 120 are formed.

雖然圖1A至1H的實施例中的低溫介電膜116用於圖案化層間介電層112,但其他實施例的層間介電層112可為另一種層狀物。舉例來說,層間介電層112可為用於形成裝置如鰭狀場效電晶體的半導體基板,而非層間介電層。在一些例子中,層間介電層112包含一或多個層狀物,比如額外的層間介電層、其他種類的介電層、半導體層、導電層、或類似層。如 此一來,層間介電層112僅為形成半導體裝置100時,表示一或多個不同種類的層狀物之例示性層狀物。 Although the low temperature dielectric film 116 in the embodiment of FIGS. 1A through 1H is used to pattern the interlayer dielectric layer 112, the interlayer dielectric layer 112 of other embodiments may be another layer. For example, the interlayer dielectric layer 112 can be a semiconductor substrate used to form a device such as a fin field effect transistor, rather than an interlayer dielectric layer. In some examples, interlayer dielectric layer 112 includes one or more layers, such as additional interlayer dielectric layers, other types of dielectric layers, semiconductor layers, conductive layers, or the like. As such, the interlayer dielectric layer 112 is merely an exemplary layer of one or more different types of layers when the semiconductor device 100 is formed.

在一些例子中,低溫介電膜116的存在可改善上方層(如上方層118)的黏著性。在一些例子中,低溫介電膜116的存在可減少上方層118上的圖案化結構剝落的機會。舉例來說,採用低溫介電膜116可增加圖1D所示之圖案化的上方層118的黏著性。 In some examples, the presence of the low temperature dielectric film 116 may improve the adhesion of the upper layer (e.g., the upper layer 118). In some examples, the presence of the low temperature dielectric film 116 may reduce the chance of flaking of the patterned structure on the upper layer 118. For example, the use of the low temperature dielectric film 116 can increase the adhesion of the patterned upper layer 118 shown in FIG. 1D.

此處所述的技術採用較低溫及較少氧電漿的沉積製程,可沉積氧化物的低溫介電膜於下方層上,且對下方層的損傷較少。具體而言,可減少氧電漿對光阻或聚合物下方層的損傷。在一些例子中,採用低溫介電膜如上述可減少圖案化結構的關鍵尺寸,以達較小的結構尺寸。沉積低溫介電膜如上述可形成精細的圖案化結構,其具有較大的製程控制、較小的結構尺寸、與較高良率。 The technique described herein employs a lower temperature and less oxygen plasma deposition process that deposits a low temperature dielectric film of oxide on the underlying layer with less damage to the underlying layer. In particular, damage to the photoresist or underlying layers of the polymer can be reduced by the oxygen plasma. In some instances, the use of a low temperature dielectric film such as described above can reduce the critical dimensions of the patterned structure to achieve a smaller structural size. Deposition of a low temperature dielectric film such as described above results in a fine patterned structure with greater process control, smaller structural size, and higher yield.

在其他實施例中,此處所述的低溫介電膜可作為半導體裝置的製程中,沉積於間隙或開口中的填隙材料。以例示性的實施例為例,圖5A至5C顯示以低溫介電膜516作為填隙材料之半導體裝置500的形成方法其中間階段的剖視圖。在圖5A中,可視情況形成底層512於基板502上。在一些實施例中,基板502可與圖1A至1G所示的前述半導體基板102類似,而底層512可與圖1A至1G所示的前述層間介電層112類似。 In other embodiments, the low temperature dielectric film described herein can be used as a gap filler material in a gap or opening in the fabrication of a semiconductor device. Taking an exemplary embodiment as an example, FIGS. 5A to 5C are cross-sectional views showing a middle stage of a method of forming a semiconductor device 500 using a low temperature dielectric film 516 as a gap filling material. In FIG. 5A, a bottom layer 512 can be formed on the substrate 502 as appropriate. In some embodiments, substrate 502 can be similar to the aforementioned semiconductor substrate 102 illustrated in FIGS. 1A through 1G, while bottom layer 512 can be similar to the aforementioned interlayer dielectric layer 112 illustrated in FIGS. 1A through 1G.

圖5A亦顯示下方層514形成於底層512上。在一些實施例中,下方層514為光阻或聚合物材料,且下方層514可與圖1A至1G所示的前述下方層114類似。在一些實施例中,沉積 形成的下方層514其厚度T3介於約5nm至約1000nm之間。下方層514的形成方法可為旋轉塗佈製程或另一合適製程。 FIG. 5A also shows that the lower layer 514 is formed on the bottom layer 512. In some embodiments, the lower layer 514 is a photoresist or polymeric material, and the lower layer 514 can be similar to the aforementioned lower layer 114 shown in Figures 1A through 1G. In some embodiments, the underlying layer 514 is deposited to have a thickness T3 between about 5 nm and about 1000 nm. The method of forming the lower layer 514 can be a spin coating process or another suitable process.

圖5A亦顯示上方層518形成於下方層514上。如圖5A所示,採用光微影製程圖案化上方層518,以形成開口於上方層518中。在一些實施例中,上方層518為與低溫介電膜116類似的含氧介電材料。但在其他實施例中,上方層518可為另一介電材料。如圖5B所示,上方層518作為圖案化下方層514時的蝕刻遮罩。上方層518的圖案可經由蝕刻製程轉移至下方層514,以形成開口522於下方層514中。在一些例子中,蝕刻製程為非等向,因此上方層518中的開口將延伸穿過下方層514如開口522,且下方層514中的開口522與上方層518中的開口具有大致相同的尺寸。在一些例子中,在蝕刻下方層514時,可消耗一些或全部的上方層518,如圖5B所示。圖5B亦顯示圖案至下方層514中的開口522可具有寬度W1。在一些實施例中,寬度W1可介於約5nm至約100nm之間。在一些實施例中,開口522可視作下方層514中的凹陷。 FIG. 5A also shows that the upper layer 518 is formed on the lower layer 514. As shown in FIG. 5A, the upper layer 518 is patterned using a photolithography process to form openings in the upper layer 518. In some embodiments, the upper layer 518 is an oxygen-containing dielectric material similar to the low temperature dielectric film 116. In other embodiments, the upper layer 518 can be another dielectric material. As shown in FIG. 5B, the upper layer 518 acts as an etch mask when patterning the underlying layer 514. The pattern of the upper layer 518 can be transferred to the underlying layer 514 via an etch process to form openings 522 in the underlying layer 514. In some examples, the etch process is non-isotropic, such that the opening in the upper layer 518 will extend through the underlying layer 514, such as the opening 522, and the opening 522 in the lower layer 514 has substantially the same dimensions as the opening in the upper layer 518. . In some examples, some or all of the upper layer 518 may be consumed while etching the underlying layer 514, as shown in Figure 5B. FIG. 5B also shows that the pattern 522 in the lower layer 514 can have a width W1. In some embodiments, the width W1 can be between about 5 nm to about 100 nm. In some embodiments, the opening 522 can be considered a depression in the underlying layer 514.

在圖5C中,低溫介電膜516作為填隙材料,可沉積於下方層514上及開口522中。在一些實施例中,低溫介電膜516亦沉積於上方層518的保留部份上。在一些實施例中,低溫介電膜516可作為填隙材料、犧牲材料、或反向材料。在一些實施例中,可順應性地沉積低溫介電膜516於開口522的側壁與下表面上。但在其他實施例中,並未順應性地沉積低溫介電膜516。隨著沉積持續進行,開口522的兩側側壁上的低溫介電膜516可合併並填滿開口522。在一些實施例中,低溫介電膜516 的上表面可不平坦,如圖5C所示。 In FIG. 5C, a low temperature dielectric film 516 is deposited as an interstitial material on the underlying layer 514 and in the opening 522. In some embodiments, a low temperature dielectric film 516 is also deposited on the remaining portion of the upper layer 518. In some embodiments, the low temperature dielectric film 516 can function as a gap filler material, a sacrificial material, or a reverse material. In some embodiments, the low temperature dielectric film 516 can be conformally deposited on the sidewalls and the lower surface of the opening 522. In other embodiments, however, the low temperature dielectric film 516 is not conformally deposited. As deposition continues, the low temperature dielectric film 516 on both side walls of the opening 522 can merge and fill the opening 522. In some embodiments, the upper surface of the low temperature dielectric film 516 may not be flat, as shown in Figure 5C.

在一些實施例中,低溫介電膜516可與圖1A至4的前述低溫介電膜116類似。舉例來說,低溫介電膜516的沉積方法可為電漿增強原子層沉積製程,其不採用氧氣作為前驅物材料,以減少對下方層514造成可能損傷。如此處所述,在沉積形成低溫介電膜516時採用減少氧電漿的一或多個前驅物,可在形成低溫介電膜516時減少對下方層514的損傷。舉例來說,形成低溫介電膜516於下方層514上,可能會使下方層514的厚度自厚度T3減少至厚度T4,兩者之間的厚度變化DT3如圖5C所示。藉由此處所述的技術沉積低溫介電膜516造成的厚度變化DT3,可小於沉積時存在更多氧電漿的其他技術所造成的厚度變化。在一些例子中,沉積低溫介電膜516時亦可消耗開口522之側壁上的下方層514的部份。以圖5C為例,在沉積低溫介電膜516之後,開口522的寬度W1可增加至寬度W2。在一些實施例中,在形成低溫介電膜516時,寬度W1至寬度W2的增加量可小於約5nm,比如約3nm。在一些例子中,此處所述之沉積低溫介電膜後的寬度變化頂多為約5nm,其小於採用氧氣前驅物沉積介電膜所造成的寬度變化。 In some embodiments, the low temperature dielectric film 516 can be similar to the aforementioned low temperature dielectric film 116 of FIGS. 1A through 4. For example, the deposition method of the low temperature dielectric film 516 may be a plasma enhanced atomic layer deposition process that does not use oxygen as a precursor material to reduce possible damage to the underlying layer 514. As described herein, the use of one or more precursors that reduce oxygen plasma during deposition of the low temperature dielectric film 516 can reduce damage to the underlying layer 514 when forming the low temperature dielectric film 516. For example, forming the low temperature dielectric film 516 on the lower layer 514 may reduce the thickness of the lower layer 514 from the thickness T3 to the thickness T4, and the thickness variation DT3 therebetween is as shown in FIG. 5C. The thickness variation DT3 caused by the deposition of the low temperature dielectric film 516 by the techniques described herein can be less than the thickness variation caused by other techniques in which more oxygen plasma is present during deposition. In some examples, depositing the low temperature dielectric film 516 may also consume portions of the underlying layer 514 on the sidewalls of the opening 522. Taking FIG. 5C as an example, after depositing the low temperature dielectric film 516, the width W1 of the opening 522 can be increased to the width W2. In some embodiments, the increase in width W1 to width W2 may be less than about 5 nm, such as about 3 nm, when forming low temperature dielectric film 516. In some examples, the width variation after deposition of the low temperature dielectric film described herein is at most about 5 nm, which is less than the width variation caused by the deposition of the dielectric film with the oxygen precursor.

在一些實施例中,可對圖5A至5C所示的半導體裝置500進行後續製程。舉例來說,接著可採用化學機械研磨製程平坦化低溫介電膜516。接著可移除下方層514的保留部份,並保留底層512上的低溫介電膜516的部份。低溫介電膜516的保留部份接著可作為圖案化底層512時的蝕刻遮罩。導電材料可沉積於圖案化底層512上,以形成導電線路、接點、通孔、 或類似物。此為製程的例子,而採用低溫介電膜作為填隙材料的其他製程亦屬本發明實施例的範疇。 In some embodiments, the subsequent process can be performed on the semiconductor device 500 illustrated in FIGS. 5A through 5C. For example, the low temperature dielectric film 516 can then be planarized using a chemical mechanical polishing process. The remaining portion of the underlying layer 514 can then be removed and the portion of the low temperature dielectric film 516 on the bottom layer 512 retained. The remaining portion of the low temperature dielectric film 516 can then serve as an etch mask when patterning the bottom layer 512. A conductive material can be deposited over the patterned underlayer 512 to form conductive traces, contacts, vias, or the like. This is an example of a process, and other processes using a low temperature dielectric film as a gap filler are also within the scope of embodiments of the present invention.

此處所述的低溫介電膜亦可用於減少半導體裝置的後段製程中的結構尺寸。在另一例示性的實施例中,圖6A至6J顯示形成半導體裝置600中的導電線路之中間階段的剖視圖。具體而言,圖6A至6J顯示的實施例中,低溫介電膜616作為順應性材料,用以減少半導體裝置600的製程之圖案化結構尺寸。在圖6A中,層間介電層612可形成於基板602上。在一些實施例中,基板602可與圖1A至1H或圖5A至5C所示的基板102或基板502類似,而層間介電層612可與圖1A至1H或圖5A至5C所示的層間介電層112或底層512類似。在一些例子中,基板602可為進行部份製程的半導體裝置。舉例來說,圖6A至6J所示的實施例可為後段製程的部份或另一製程的部份。 The low temperature dielectric film described herein can also be used to reduce the structural size in the back end process of a semiconductor device. In another exemplary embodiment, FIGS. 6A through 6J show cross-sectional views of intermediate stages of forming conductive traces in semiconductor device 600. Specifically, in the embodiment shown in FIGS. 6A through 6J, the low temperature dielectric film 616 is used as a compliant material to reduce the patterned structure size of the process of the semiconductor device 600. In FIG. 6A, an interlayer dielectric layer 612 may be formed on the substrate 602. In some embodiments, the substrate 602 can be similar to the substrate 102 or substrate 502 shown in FIGS. 1A through 1H or 5A through 5C, and the interlayer dielectric layer 612 can be between the layers shown in FIGS. 1A through 1H or 5A through 5C. Dielectric layer 112 or bottom layer 512 is similar. In some examples, substrate 602 can be a semiconductor device that performs a partial process. For example, the embodiment shown in Figures 6A through 6J can be part of a later stage process or part of another process.

圖6A亦顯示下方層614形成於層間介電層612上。在一些實施例中,下方層614為光阻材料,而下方層614可與圖1A至1H或5A至5C所示的前述下方層114或下方層514類似。在一些實施例中,沉積形成的下方層614其厚度T5介於約5nm至約1000nm之間。下方層614的形成方法可為旋轉塗佈製程或另一合適製程。 FIG. 6A also shows that the underlying layer 614 is formed over the interlayer dielectric layer 612. In some embodiments, the lower layer 614 is a photoresist material and the lower layer 614 can be similar to the aforementioned lower layer 114 or lower layer 514 shown in FIGS. 1A through 1H or 5A through 5C. In some embodiments, the underlayer 614 formed by deposition has a thickness T5 between about 5 nm and about 1000 nm. The formation of the lower layer 614 can be a spin coating process or another suitable process.

圖6A亦顯示上方層618形成於下方層614上。如圖6A所示,採用光微影製程圖案化上方層618,以形成開口於上方層618中。在一些實施例中,上方層618為與低溫介電膜116類似的含氧介電材料,但其他實施例中的上方層618可為另一介電材料。如圖6B所示,圖案化的上方層618作為圖案化下方 層614時的蝕刻遮罩。上方層618的圖案可經由蝕刻製程轉移至下方層614,以形成下方層614中的開口622。在一些例子中,蝕刻製程為非等向,因此上方層618中的開口延伸穿過下方層614如開口622,且開口622的尺寸可與上方層618中的開口尺寸大致相同。在一些例子中,蝕刻下方層614時可消耗一些或全部的上方層618,如圖6B所示。圖6B亦顯示圖案化至下方層614中的開口622可具有寬度W3。在一些實施例中,開口622可視作下方層614中的凹陷。 FIG. 6A also shows that the upper layer 618 is formed on the lower layer 614. As shown in FIG. 6A, the upper layer 618 is patterned using a photolithography process to form openings in the upper layer 618. In some embodiments, the upper layer 618 is an oxygen-containing dielectric material similar to the low temperature dielectric film 116, although the upper layer 618 in other embodiments can be another dielectric material. As shown in Figure 6B, the patterned upper layer 618 acts as an etch mask when patterning the underlying layer 614. The pattern of the upper layer 618 can be transferred to the underlying layer 614 via an etch process to form an opening 622 in the underlying layer 614. In some examples, the etch process is non-isotropic, such that the opening in the upper layer 618 extends through the underlying layer 614, such as the opening 622, and the opening 622 can be approximately the same size as the opening in the upper layer 618. In some examples, some or all of the upper layer 618 may be consumed when etching the underlying layer 614, as shown in Figure 6B. FIG. 6B also shows that opening 622 patterned into lower layer 614 can have a width W3. In some embodiments, the opening 622 can be considered a depression in the underlying layer 614.

在一些例子中,圖案化後的開口622的側壁可具有粗糙表面。舉例來說,圖6C顯示開口622的例子之剖視圖,如同圖6B所示的開口622。圖6D顯示圖6C的開口622之平面圖,而圖6C的剖面圖沿著圖6D的平面圖中的剖線6C-6C’。如圖6D所示,平面圖中的開口622的側壁亦具有粗糙度。在一些例子中,粗糙度可由開口622的側壁邊緣與固定位置之間的偏差之一或多個量測值定義,比如圖6D中的邊緣粗糙度ER1。在一些例子中,粗糙度可由橫越開口622之一段距離的一或多個量測值定義,比如圖6D中的寬度粗糙度WR1。在一些例子中,採用低溫介電膜616可改善開口、圖案化的層狀物、或後續形成的結構之寬度粗糙度或邊緣粗糙度。上述例子將搭配圖6F至6J說明如下。 In some examples, the sidewalls of the patterned opening 622 can have a rough surface. For example, Figure 6C shows a cross-sectional view of an example of opening 622, like opening 622 shown in Figure 6B. Figure 6D shows a plan view of the opening 622 of Figure 6C, and the cross-sectional view of Figure 6C is taken along line 6C-6C' of the plan view of Figure 6D. As shown in Fig. 6D, the side walls of the opening 622 in plan view also have roughness. In some examples, the roughness may be defined by one or more measurements of the deviation between the sidewall edge of the opening 622 and the fixed position, such as edge roughness ER1 in Figure 6D. In some examples, the roughness may be defined by one or more measurements across a distance of the opening 622, such as the width roughness WR1 in Figure 6D. In some examples, the use of a low temperature dielectric film 616 may improve the width roughness or edge roughness of the openings, patterned layers, or subsequently formed structures. The above examples will be described below in conjunction with Figs. 6F to 6J.

如圖6E所示,沉積低溫介電膜616於下方層614上與開口622中。在一些實施例中,低溫介電膜616亦沉積於上方層618的保留部份(若存在)上。如圖6C所示,可順應性地沉積低溫介電膜616於開口622的側壁與下表面上。在一些實施例 中,低溫介電膜616的厚度可介於約0.1nm至約100nm之間。在一些實施例中,低溫介電膜616可與圖1A至4或圖5A至5C所示的前述低溫介電膜116或低溫介電膜516類似。舉例來說,低溫介電膜616的沉積方法可為電漿增強原子層沉積製程,其不採用氧氣作為前驅物材料,以減少對下方層614造成可能損傷。 As shown in FIG. 6E, a low temperature dielectric film 616 is deposited over the lower layer 614 and into the opening 622. In some embodiments, a low temperature dielectric film 616 is also deposited on the remaining portion (if present) of the upper layer 618. As shown in FIG. 6C, a low temperature dielectric film 616 can be conformally deposited on the sidewalls and the lower surface of the opening 622. In some embodiments, the low temperature dielectric film 616 can have a thickness between about 0.1 nm and about 100 nm. In some embodiments, the low temperature dielectric film 616 can be similar to the aforementioned low temperature dielectric film 116 or low temperature dielectric film 516 shown in FIGS. 1A through 4 or 5A through 5C. For example, the deposition method of the low temperature dielectric film 616 may be a plasma enhanced atomic layer deposition process that does not use oxygen as a precursor material to reduce possible damage to the underlying layer 614.

順應性地沉積低溫介電膜616於開口622中,以形成開口624。由於低溫介電膜616的存在,開口624的寬度W5小於開口622的寬度W3。此方法採用低溫介電膜616,可讓圖案化至目標層612中的結構尺寸更小,此將搭配圖6D詳述於下。 A low temperature dielectric film 616 is deposited conformally in the opening 622 to form an opening 624. Due to the presence of the low temperature dielectric film 616, the width W5 of the opening 624 is smaller than the width W3 of the opening 622. This method uses a low temperature dielectric film 616 that allows for a smaller size of the structure patterned into the target layer 612, which will be described in more detail below in conjunction with Figure 6D.

此外,在沉積形成低溫介電膜616時採用一或多個前驅物減少氧電漿如此處所述,可在形成低溫介電膜616時減少對下方層614的損傷。舉例來說,形成低溫介電膜616於下方層614上的步驟,可能使下方層614的厚度T5減少至厚度T6,其與圖5A至5C所示的前述下方層514類似。在一些例子中,沉積低溫介電膜616的步驟亦可消耗開口622之側壁上的下方層614的部份。以圖6C為例,在沉積低溫介電膜616之後,開口622的寬度可增加至寬度W4。在一些實施例中,在形成厚約3nm的低溫介電膜616時,寬度W3至寬度W4的增加量可小於約50nm(比如約3nm)。在一些實施例中,沉積於下方層614的表面上的低溫介電膜616之厚度,可小於、大於、或等於沉積低溫介電膜616時,下方層614的表面消耗的厚度。藉由減少對開口622的側壁造成的可能損傷,開口624可具有較小的寬度W5,其可減少後續圖案化的結構尺寸並改善製程控制。 In addition, the use of one or more precursors to reduce oxygen plasma during deposition of the low temperature dielectric film 616 can reduce damage to the underlying layer 614 when forming the low temperature dielectric film 616 as described herein. For example, the step of forming the low temperature dielectric film 616 on the underlying layer 614 may reduce the thickness T5 of the underlying layer 614 to a thickness T6, which is similar to the aforementioned lower layer 514 shown in Figures 5A through 5C. In some examples, the step of depositing the low temperature dielectric film 616 may also consume portions of the underlying layer 614 on the sidewalls of the opening 622. Taking FIG. 6C as an example, after depositing the low temperature dielectric film 616, the width of the opening 622 can be increased to the width W4. In some embodiments, the increase in width W3 to width W4 may be less than about 50 nm (such as about 3 nm) when forming a low temperature dielectric film 616 having a thickness of about 3 nm. In some embodiments, the thickness of the low temperature dielectric film 616 deposited on the surface of the underlying layer 614 can be less than, greater than, or equal to the thickness of the surface of the underlying layer 614 when the low temperature dielectric film 616 is deposited. By reducing possible damage to the sidewalls of the opening 622, the opening 624 can have a smaller width W5 that can reduce subsequent patterned structural dimensions and improve process control.

在一些例子中,形成低溫介電膜616於下方層614 上與開口622中,可改善側壁粗糙度。在例示性的例子中,圖6F與6G為形成低溫介電膜616於圖6C與6D所示的開口622中之後,所形成的開口624之剖視圖與平面圖。圖6F與6G所示的開口624與圖6E所示的開口624類似。圖6F的剖視圖沿著圖6G的平面圖中的剖線6F-6F’。低溫介電膜616可填入下方層614的粗糙側壁中的小凹陷,且其沉積的表面比下方層614的粗糙側壁更平滑。如此一來如圖6F所示,開口624的側壁之低溫介電膜616之粗糙度,可小於下方層614的側壁粗糙度。在一些例子中,開口624的側壁的邊緣粗糙度ER2可小於開口622的側壁的邊緣粗糙度ER1。在一些例子中,開口624的側壁的寬度粗糙度WR2可小於開口622的側壁的寬度粗糙度WR1。在此方式中,採用低溫介電膜可減少側壁粗糙度,亦可讓後續形成的結構更一致或具有較少粗糙度。 In some examples, forming a low temperature dielectric film 616 on the underlying layer 614 and opening 622 may improve sidewall roughness. In the illustrative example, FIGS. 6F and 6G are cross-sectional and plan views of the formed opening 624 after forming the low temperature dielectric film 616 in the opening 622 shown in FIGS. 6C and 6D. The opening 624 shown in Figures 6F and 6G is similar to the opening 624 shown in Figure 6E. The cross-sectional view of Fig. 6F is along the line 6F-6F' in the plan view of Fig. 6G. The low temperature dielectric film 616 can be filled into small depressions in the rough sidewalls of the underlying layer 614, and its deposited surface is smoother than the rough sidewalls of the underlying layer 614. As such, as shown in FIG. 6F, the roughness of the low temperature dielectric film 616 of the sidewall of the opening 624 can be less than the sidewall roughness of the lower layer 614. In some examples, the edge roughness ER2 of the sidewall of the opening 624 can be less than the edge roughness ER1 of the sidewall of the opening 622. In some examples, the width roughness WR2 of the sidewall of the opening 624 can be less than the width roughness WR1 of the sidewall of the opening 622. In this manner, the use of a low temperature dielectric film reduces sidewall roughness and allows for a more consistent or lesser roughness of subsequently formed structures.

如圖6H所示,開口624可經由蝕刻製程轉移至目標層612。在一些例子中,蝕刻製程為非等向,因此開口624延伸穿過目標層612,且目標層612中的開口尺寸與開口624的尺寸大致相同。蝕刻製程亦可停止於下方層614上的低溫介電膜616的上表面,如圖6H所示。在一些例子中,採用此處所述的低溫介電膜沉積製程,可減少之前圖案化步驟中形成的開口尺寸,以形成較小的結構尺寸。可視情況進行平坦化製程(如化學機械研磨、乾蝕刻、上述之組合、或類似製程),以移除覆蓋下方層614的低溫介電膜616的部份。在一些例子中,平坦化製程亦可移除下方層614的上側部份。 As shown in FIG. 6H, opening 624 can be transferred to target layer 612 via an etch process. In some examples, the etch process is non-isotropic, such that the opening 624 extends through the target layer 612 and the size of the opening in the target layer 612 is substantially the same as the size of the opening 624. The etching process can also stop at the upper surface of the low temperature dielectric film 616 on the lower layer 614, as shown in FIG. 6H. In some examples, using the low temperature dielectric film deposition process described herein, the size of the opening formed in the previous patterning step can be reduced to form a smaller structural size. A planarization process (such as chemical mechanical polishing, dry etching, combinations of the above, or the like) may be performed as appropriate to remove portions of the low temperature dielectric film 616 that cover the underlying layer 614. In some examples, the planarization process can also remove the upper portion of the underlying layer 614.

在一些實施例中,可進行額外製程。舉例來說, 一些實施例接著可將導電材料填入目標層612中的開口624,以形成通孔、導電線路、或其他導電結構。如圖6I至6J所示,目標層612中的開口624填有導電材料630,以形成導電線路632。圖6I的剖視圖沿著圖6J的平面圖中的剖線6I-6I’。在形成導電材料630之後,可採用平坦化製程(如化學機械研磨)或蝕刻製程,以移除低溫介電膜616、下方層614、與導電材料630的多餘部份。在一些實施例中,在形成導電材料630之前,即移除低溫介電膜616。在此方式中,低溫介電膜616可用於形成較小尺寸的結構如導電線路632。圖6A至6J所示的實施例僅用於說明目的,而其他實施例可包含額外的層狀物、結構、或製程步驟。 In some embodiments, an additional process can be performed. For example, some embodiments may then fill a conductive material into opening 624 in target layer 612 to form vias, conductive traces, or other conductive structures. As shown in FIGS. 6I through 6J, the opening 624 in the target layer 612 is filled with a conductive material 630 to form a conductive trace 632. The cross-sectional view of Fig. 6I is taken along the line 6I-6I' in the plan view of Fig. 6J. After forming the conductive material 630, a planarization process (such as chemical mechanical polishing) or an etching process may be employed to remove the low temperature dielectric film 616, the underlying layer 614, and excess portions of the conductive material 630. In some embodiments, the low temperature dielectric film 616 is removed prior to forming the conductive material 630. In this manner, the low temperature dielectric film 616 can be used to form smaller sized structures such as conductive traces 632. The embodiments shown in Figures 6A through 6J are for illustrative purposes only, while other embodiments may include additional layers, structures, or process steps.

採用低溫介電膜616可改善開口622的粗糙度,亦可減少自開口622圖案化的結構粗糙度。舉例來說,採用低溫介電膜616可減少開口622的側壁粗糙度,亦可減少導電線路632的線路邊緣粗糙度或線路寬度粗糙度,如圖6J所示。在此方式中,採用低溫介電膜可減少圖案化結構的粗糙度,亦可讓後續形成的結構更一致或具有較少粗糙度。 The use of the low temperature dielectric film 616 can improve the roughness of the opening 622 and also reduce the structural roughness patterned from the opening 622. For example, the use of the low temperature dielectric film 616 can reduce the sidewall roughness of the opening 622, and can also reduce the line edge roughness or line width roughness of the conductive line 632, as shown in FIG. 6J. In this manner, the use of a low temperature dielectric film can reduce the roughness of the patterned structure, and can also make the subsequently formed structure more uniform or have less roughness.

此處所述的低溫介電膜可作為填隙材料,其可沉積於半導體裝置的後段製程中的間隙或開口中。在例示性的實施例中,圖7A至15B顯示形成導電結構於半導體裝置700的層間介電層702中的中間階段之剖面圖及/或平面圖。圖7A至15B所示的製程為一實施例中,採用低溫介電膜736作為填隙材料,且作為填隙材料的低溫介電膜736可與圖5A至5C所示的前述低溫介電膜516類似。半導體裝置700如圖7A(剖視圖)與圖7B(平面圖)所示。圖7A的剖視圖沿著圖7B的平面圖中的剖線 A-A’。在圖7A與7B中,層間介電層702形成於基板704上。在一些實施例中,基板704可與前述的其他基板類似,或者層間介電層702可與前述的其他層狀物(如圖1A所示的層間介電層112)類似,或可為另一種層狀物。舉例來說,圖7A至15B所示的實施例可為後段製程的部份或另一製程的部份。 The low temperature dielectric film described herein can serve as a gap fill material that can be deposited in a gap or opening in a back end process of a semiconductor device. In the exemplary embodiment, FIGS. 7A-15B show cross-sectional and/or plan views of intermediate stages in forming an electrically conductive structure in interlayer dielectric 702 of semiconductor device 700. The process shown in FIGS. 7A to 15B is an embodiment in which a low temperature dielectric film 736 is used as a gap filling material, and the low temperature dielectric film 736 as a gap filling material can be combined with the aforementioned low temperature dielectric film shown in FIGS. 5A to 5C. 516 is similar. The semiconductor device 700 is shown in FIG. 7A (cross-sectional view) and FIG. 7B (plan view). The cross-sectional view of Fig. 7A is along the line A-A' in the plan view of Fig. 7B. In FIGS. 7A and 7B, an interlayer dielectric layer 702 is formed on the substrate 704. In some embodiments, the substrate 704 can be similar to the other substrates described above, or the interlayer dielectric layer 702 can be similar to the other layers described above (such as the interlayer dielectric layer 112 shown in FIG. 1A), or can be another Layer. For example, the embodiment shown in Figures 7A through 15B can be part of a later stage process or part of another process.

圖7A與7B顯示形成遮罩區724於層間介電層702上。舉例來說,遮罩區724的形成方法可為圖案化介電層的毯狀物,且圖案化方法可採用光微影製程。在平面圖中,遮罩區724之間的間隙所定義的區域,之後可形成導電結構於層間介電702中。在圖8中,遮罩層728形成於遮罩區724與層間介電層702上。在一些實施例中,遮罩層728為光阻或聚合物材料,且可與圖1A至1G、圖5A至5C、或圖6A至6J所示的前述下方層114、下方層514、或下方層614類似。在一些實施例中,遮罩層728包含多層與多種材料。 7A and 7B show the formation of a mask region 724 on the interlayer dielectric layer 702. For example, the masking region 724 can be formed by patterning a dielectric layer blanket, and the patterning method can employ a photolithography process. In plan view, the area defined by the gap between the mask regions 724 can then form a conductive structure in the interlayer dielectric 702. In FIG. 8, a mask layer 728 is formed over the mask region 724 and the interlayer dielectric layer 702. In some embodiments, the mask layer 728 is a photoresist or a polymeric material and may be associated with the aforementioned lower layer 114, lower layer 514, or below as shown in Figures 1A through 1G, Figures 5A through 5C, or Figures 6A through 6J. Layer 614 is similar. In some embodiments, the mask layer 728 comprises multiple layers and a variety of materials.

在圖9A至9C中,採用光微影製程圖案化遮罩層728,以形成開口734。圖9A的剖視圖沿著圖9B的平面圖與圖9C的透視圖中的剖線A-A’。在圖9C的透視圖中,以透明方式呈現遮罩層728的部份,可更清楚的顯示開口734。 In FIGS. 9A through 9C, the mask layer 728 is patterned using a photolithography process to form openings 734. The cross-sectional view of Fig. 9A is along the line AA' of the plan view of Fig. 9B and the perspective view of Fig. 9C. In the perspective view of FIG. 9C, the portion of the mask layer 728 is presented in a transparent manner, and the opening 734 can be more clearly displayed.

在圖10A至10C中,形成低溫介電膜736於開口734中與遮罩層728上。圖10A的剖視圖沿著圖10B的平面圖與圖10C的透視圖中的剖線A-A’。在圖10C的透視圖中,以透明方式呈現遮罩層728的部份,以更清楚的顯示形成於開口734中的低溫介電膜736。在一些實施例中,低溫介電膜736可作為填隙材料或犧牲材料。在一些實施例中,低溫介電膜736可與圖1A 至4、圖5A至5C、或圖6A至6J所示的前述低溫介電膜116、低溫介電膜516、或低溫介電膜616類似。舉例來說,低溫介電膜736的沉積方法可為電漿增強原子層沉積製程,其不採用氧氣作為前驅物材料,以減少對遮罩層728造成的可能損傷。在一些實施例中,可沉積低溫介電膜736以順應性地填入開口734。 In FIGS. 10A through 10C, a low temperature dielectric film 736 is formed in the opening 734 and on the mask layer 728. The cross-sectional view of Fig. 10A is along the line AA' of the plan view of Fig. 10B and the perspective view of Fig. 10C. In the perspective view of FIG. 10C, portions of the mask layer 728 are presented in a transparent manner to more clearly show the low temperature dielectric film 736 formed in the opening 734. In some embodiments, the low temperature dielectric film 736 can function as a gap fill material or a sacrificial material. In some embodiments, the low temperature dielectric film 736 can be the aforementioned low temperature dielectric film 116, low temperature dielectric film 516, or low temperature dielectric film 616 as shown in FIGS. 1A through 4, 5A through 5C, or FIGS. 6A through 6J. similar. For example, the deposition method of the low temperature dielectric film 736 may be a plasma enhanced atomic layer deposition process that does not use oxygen as a precursor material to reduce possible damage to the mask layer 728. In some embodiments, a low temperature dielectric film 736 can be deposited to compliantly fill the opening 734.

在後續製程中可進一步圖案化低溫介電膜736,且圖案化的低溫介電膜736可用於定義兩個相鄰的導電線路之間的線路切割部,其將形成於層間介電層702中如下詳述。雖然圖10顯示形成低溫介電膜736於單一開口734中,一些實施例可具有單一或超過兩個開口734,且低溫介電膜736可形成於一或超過兩個開口734中(以形成較少的線路切割部或額外的線路切割部)。 The low temperature dielectric film 736 can be further patterned in a subsequent process, and the patterned low temperature dielectric film 736 can be used to define a line cut between two adjacent conductive lines that will be formed in the interlayer dielectric layer 702. As detailed below. Although FIG. 10 shows the formation of a low temperature dielectric film 736 in a single opening 734, some embodiments may have a single or more than two openings 734, and a low temperature dielectric film 736 may be formed in one or more than two openings 734 (to form a Less line cuts or extra line cuts).

接著在圖11中,可進行平坦化製程(如化學機械研磨、乾蝕刻、上述之組合、或類似製程)以移除開口734之外的低溫介電膜736之多餘部份。接著在圖12A與12B中,移除遮罩層728的保留部份。圖12A的剖面圖沿著圖12B的平面圖中的剖線A-A’。在一些實施例中,可採用灰化製程移除遮罩層728。在移除遮罩層728之後,可保留低溫介電膜736以覆蓋層間介電層702與遮罩區724的一部份。 Next, in FIG. 11, a planarization process (such as chemical mechanical polishing, dry etching, combinations thereof, or the like) may be performed to remove excess portions of the low temperature dielectric film 736 outside the opening 734. Next, in FIGS. 12A and 12B, the remaining portion of the mask layer 728 is removed. Fig. 12A is a cross-sectional view taken along line A-A' in the plan view of Fig. 12B. In some embodiments, the ashing process can be used to remove the mask layer 728. After the mask layer 728 is removed, the low temperature dielectric film 736 can be left to cover a portion of the interlayer dielectric layer 702 and the mask region 724.

接著如圖13A至13C所示,進行平坦化製程以移除低溫介電膜736的多餘部份,並使低溫介電膜736的上表面平坦化以與遮罩區724的上表面齊平。圖13A的剖視圖沿著圖13B之平面圖與圖13C之透視圖中的剖線A-A’。在一些實施例中,平坦化製程包含一或多道蝕刻製程。舉例來說,可採用乾蝕刻製 程或濕蝕刻製程。在其他實施例中,可採用研磨製程如化學機械研磨。上述步驟形成的結構如圖13A與13B所示。如圖13A與13B所示,平坦化低溫介電膜736可產生低溫介電膜736的分隔部份,且每一分隔部份位於兩個相鄰的遮罩區724之間的間隙上。在一些實施例中,區域上的每一隔開部份為即將形成的導電線路其兩個相鄰部份之間的線路切割部。 Next, as shown in FIGS. 13A to 13C, a planarization process is performed to remove excess portions of the low temperature dielectric film 736, and the upper surface of the low temperature dielectric film 736 is planarized to be flush with the upper surface of the mask region 724. The cross-sectional view of Fig. 13A is along the line AA' of the plan view of Fig. 13B and the perspective view of Fig. 13C. In some embodiments, the planarization process includes one or more etching processes. For example, a dry etching process or a wet etching process can be employed. In other embodiments, a grinding process such as chemical mechanical polishing can be employed. The structure formed by the above steps is as shown in Figs. 13A and 13B. As shown in FIGS. 13A and 13B, the planarized low temperature dielectric film 736 can create a separation portion of the low temperature dielectric film 736, and each of the separation portions is located on a gap between two adjacent mask regions 724. In some embodiments, each spaced portion of the region is a line cut between two adjacent portions of the conductive trace to be formed.

在圖14A與14B中,接著以低溫介電膜736與遮罩區724作為蝕刻遮罩,以形成開口750至層間介電層702中。蝕刻層間介電層702的方法可包含非等向乾蝕刻製程或濕蝕刻製程。層間介電層702的保留部份之圖案,可與圖14A與14B的遮罩區724與低溫介電膜736之圖案相同。 In FIGS. 14A and 14B, a low temperature dielectric film 736 and a mask region 724 are then used as an etch mask to form openings 750 into the interlayer dielectric layer 702. The method of etching the interlayer dielectric layer 702 may include an anisotropic dry etching process or a wet etching process. The pattern of the remaining portions of the interlayer dielectric layer 702 may be the same as the pattern of the mask region 724 and the low temperature dielectric film 736 of FIGS. 14A and 14B.

接著如圖15A與15B所示,可將導電材料764填入開口750的保留部份。導電材料764可為銅、鋁、或另一金屬,而導電材料764的形成方法可採用電鍍製程或另一合適製程。在一些例子中,可先沉積導電材料764以超填開口750。在填滿開口750之後,可進行平坦化製程如化學機械研磨以移除導電材料764的多餘部份。在一些實施例中,在形成導電材料764之前,可形成襯墊材料於開口750中。 Next, as shown in FIGS. 15A and 15B, conductive material 764 can be filled into the remaining portion of opening 750. The conductive material 764 can be copper, aluminum, or another metal, and the conductive material 764 can be formed by an electroplating process or another suitable process. In some examples, conductive material 764 may be deposited to overfill opening 750. After filling the opening 750, a planarization process such as chemical mechanical polishing can be performed to remove excess portions of the conductive material 764. In some embodiments, a liner material can be formed in opening 750 prior to forming conductive material 764.

如圖15A與15B所示,可進行平坦化製程以移除層間介電層702上的導電材料764之多餘部份。如此一來,導電結構可形成於層間介電層702中。在一些實施例中,層間介電層702中的導電結構為導電線路。在圖案化層間介電層702時,低溫介電膜736的部份下方之區域為導電線路具有間隙或「線路切割部」的位置。舉例來說,藉由低溫介電膜736,線路切割 部770可分隔第一導電線路772與第二導電線路774。採用上述低溫介電膜736可改良線路寬度變異或線路邊緣粗糙度,其可讓導電結構較小,並讓導電結構之間的線路切割部較小。 As shown in Figures 15A and 15B, a planarization process can be performed to remove excess portions of conductive material 764 on interlayer dielectric layer 702. As such, a conductive structure can be formed in the interlayer dielectric layer 702. In some embodiments, the conductive structures in the interlayer dielectric layer 702 are electrically conductive lines. When the interlayer dielectric layer 702 is patterned, the region under the portion of the low temperature dielectric film 736 is a position where the conductive line has a gap or a "line cutting portion". For example, the line cut 770 can separate the first conductive trace 772 from the second conductive trace 774 by the low temperature dielectric film 736. The use of the low temperature dielectric film 736 described above can improve line width variation or line edge roughness, which allows the conductive structure to be smaller and allows the line cut between the conductive structures to be smaller.

此處所述的低溫介電膜,可用於形成半導體裝置的前段製程中的結構。在例示性的實施例中,圖16至25顯示採用低溫介電膜862的前段製程之中間階段,其形成鰭狀場效電晶體裝置的鰭狀物868。圖16顯示一些實施例中,鰭狀場效電晶體之一例的三維圖。圖17至25顯示一些實施例中,鰭狀場效電晶體的鰭狀物之形成方法的中間階段之剖視圖。圖17至25的剖視圖沿著圖16之三維圖中的剖線B-B’。圖16所示的鰭狀場效電晶體之例子,包含鰭狀物874於基板850上。淺溝槽隔離區872位於基板850上,而鰭狀物874自相鄰的淺溝槽隔離區872之間向上凸起。閘極介電層818沿著鰭狀物874的側壁並位於鰭狀物874的上表面上。閘極820位於閘極介電層818上。源極/汲極區802相對於閘極介電層818與閘極820,位於鰭狀物874的兩側上。此處所述的一些實施例採用閘極後製製程形成鰭狀場效電晶體。在其他實施例中,可採用閘極優先製程。此外,一些實施例可用於平面裝置如平面場效電晶體。舉例來說,圖16至25所示的實施例,可為前段製程的部份或另一製程的部份。 The low temperature dielectric film described herein can be used to form structures in the front-end process of a semiconductor device. In the exemplary embodiment, FIGS. 16 through 25 illustrate an intermediate stage of a front-end process employing a low temperature dielectric film 862 that forms a fin 868 of a fin field effect transistor device. Figure 16 shows a three-dimensional view of an example of a fin field effect transistor in some embodiments. 17 through 25 show cross-sectional views of intermediate stages of a method of forming a fin of a fin field effect transistor in some embodiments. 17 to 25 are sectional views taken along line B-B' in the three-dimensional view of Fig. 16. An example of a fin field effect transistor shown in FIG. 16 includes a fin 874 on a substrate 850. Shallow trench isolation regions 872 are located on substrate 850 and fins 874 are raised upwardly between adjacent shallow trench isolation regions 872. Gate dielectric layer 818 is along the sidewall of fin 874 and is located on the upper surface of fin 874. Gate 820 is located on gate dielectric layer 818. The source/drain regions 802 are located on opposite sides of the fins 874 with respect to the gate dielectric layer 818 and the gate 820. Some embodiments described herein form a fin field effect transistor using a gate post process. In other embodiments, a gate priority process can be employed. Moreover, some embodiments may be used in planar devices such as planar field effect transistors. For example, the embodiment shown in Figures 16 through 25 can be part of the front-end process or part of another process.

圖17顯示一些實施例的基板850。基板850具有第一區850B與第二區850C。第一區850B可用於形成n型裝置如n型金氧半電晶體(例如n型鰭狀場效電晶體)。第二區850C可用於形成p型裝置如p型金氧半電晶體(例如p型鰭狀場效電晶體)。在一些實施例中,第一區850B與第二區850C均用於形成 相同型態的裝置,比如兩區均用於形成n型裝置(或p型裝置)。第一區850B與第二區850C之間可彼此物理分隔,且任何數目的結構(如隔離區或主動區等等)可位於第一區850B與第二區850C之間。基板850可為半導體基板如基體半導體、絕緣層上半導體基板基板、或類似物,其可未摻雜或摻雜(p型或n型摻質)。基板850可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板為形成於絕緣層上的半導體材料層。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。絕緣層可位於基板上,且基板通常為矽基板或玻璃基板。亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板850的半導體材料可包含矽、鍺、半導體化合物(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(包括矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。 Figure 17 shows a substrate 850 of some embodiments. The substrate 850 has a first region 850B and a second region 850C. The first region 850B can be used to form an n-type device such as an n-type MOS transistor (eg, an n-type fin field effect transistor). The second region 850C can be used to form a p-type device such as a p-type MOS transistor (e.g., a p-type fin field effect transistor). In some embodiments, both the first zone 850B and the second zone 850C are used to form the same type of device, such as both zones for forming an n-type device (or p-type device). The first zone 850B and the second zone 850C may be physically separated from one another, and any number of structures (eg, isolation zones or active zones, etc.) may be located between the first zone 850B and the second zone 850C. Substrate 850 can be a semiconductor substrate such as a base semiconductor, a semiconductor substrate on an insulating layer, or the like, which can be undoped or doped (p-type or n-type dopant). The substrate 850 can be a wafer such as a germanium wafer. In general, the semiconductor substrate on the insulating layer is a layer of semiconductor material formed on the insulating layer. For example, the insulating layer can be a buried oxide layer, a hafnium oxide layer, or the like. The insulating layer may be on the substrate, and the substrate is typically a germanium substrate or a glass substrate. Other substrates such as a multilayer substrate or a compositionally graded substrate may also be used. In some embodiments, the semiconductor material of the substrate 850 may comprise germanium, germanium, a semiconductor compound (including tantalum carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide), a semiconductor alloy. (Including bismuth, gallium arsenide, aluminum indium arsenide, aluminum gallium arsenide, indium gallium arsenide, gallium indium phosphide, and/or gallium indium arsenide), or a combination thereof.

在圖18中,形成膜堆疊於基板850上。膜堆疊用於形成基板850中的結構,且結構尺寸可為最小光微影間距的幾分之一。在一些實施例中,製程為自對準雙重微影製程,其中結構尺寸為最小光微影間距的二分之一。在其他實施例中,製程可為自對準四重圖案化製程,其中結構尺寸為最小光微影間距的四分之一。膜堆疊包含抗反射塗層852、遮罩層854、與芯層856。在其他實施例中,膜堆疊可包含更多或更少的層狀物。 In FIG. 18, a film is formed stacked on a substrate 850. The film stack is used to form structures in the substrate 850, and the structural dimensions can be a fraction of the minimum photolithographic spacing. In some embodiments, the process is a self-aligned dual lithography process wherein the structure size is one-half of the minimum photolithographic pitch. In other embodiments, the process can be a self-aligned quadruple patterning process in which the structure size is one quarter of the minimum photolithographic pitch. The film stack includes an anti-reflective coating 852, a mask layer 854, and a core layer 856. In other embodiments, the film stack can include more or fewer layers.

抗反射塗層852形成於基板850上,並在圖案化光阻層時幫助曝光並與聚焦上方的光阻層(如下述)。在一些實施例中,抗反射塗層852的組成可為氮氧化矽、碳化矽、摻雜氧 與氮的材料、或類似物。在一些實施例中,抗反射塗層852實質上不含氮,且組成可為氧化物。 An anti-reflective coating 852 is formed on the substrate 850 and assists in exposing and focusing the photoresist layer above (as described below) when patterning the photoresist layer. In some embodiments, the composition of the anti-reflective coating 852 can be yttrium oxynitride, tantalum carbide, a material doped with oxygen and nitrogen, or the like. In some embodiments, the anti-reflective coating 852 is substantially free of nitrogen and the composition can be an oxide.

遮罩層854形成於抗反射塗層852上。在一些實施例中,遮罩層854為低溫介電膜,其可與圖1A至4、圖5A至5C、圖6A至6E、與圖7A至15B所示的前述低溫介電膜116、低溫介電膜516、低溫介電膜616、或低溫介電膜736類似。在一些例子中,採用低溫介電膜作為遮罩層854可改善上方層或下方結構的黏著性。在一些例子中,遮罩層854採用低溫介電膜而非另一材料,可降低遮罩層854上的圖案化結構剝落的機會。舉例來說,採用低溫介電膜作為遮罩層854可改善芯層856或芯858的黏著性,如下所述。在其他實施例中,遮罩層854的組成可為硬遮罩材料,其可包含金屬及/或介電物。在遮罩層854包含金屬的實施例中,其組成可為氮化鈦、鈦、氮化鉭、鉭、或類似物。在遮罩層854包含介電物的實施例中,其組成可為氧化物、氮化物、或類似物。遮罩層854的形成方法可為物理氣相沉積、射頻物理氣相沉積、原子層沉積、或類似方法。在後續製程步驟中可形成圖案於遮罩層854中,如自對準雙重圖案化製程的一部份。接著以遮罩層854作為蝕刻遮罩,將遮罩層854的圖案轉移至基板850。 A mask layer 854 is formed on the anti-reflective coating 852. In some embodiments, the mask layer 854 is a low temperature dielectric film that can be combined with the aforementioned low temperature dielectric film 116 shown in FIGS. 1A through 4, FIGS. 5A through 5C, FIGS. 6A through 6E, and FIGS. 7A through 15B, low temperature. The dielectric film 516, the low temperature dielectric film 616, or the low temperature dielectric film 736 is similar. In some examples, the use of a low temperature dielectric film as the mask layer 854 improves the adhesion of the upper or lower structure. In some examples, the mask layer 854 uses a low temperature dielectric film rather than another material, which reduces the chance of the patterned structure peeling off the mask layer 854. For example, the use of a low temperature dielectric film as the mask layer 854 can improve the adhesion of the core layer 856 or the core 858, as described below. In other embodiments, the composition of the mask layer 854 can be a hard mask material that can include metals and/or dielectrics. In embodiments where the mask layer 854 comprises a metal, the composition may be titanium nitride, titanium, tantalum nitride, tantalum, or the like. In embodiments where the mask layer 854 comprises a dielectric, the composition can be an oxide, a nitride, or the like. The mask layer 854 can be formed by physical vapor deposition, radio frequency physical vapor deposition, atomic layer deposition, or the like. Patterns may be formed in the mask layer 854 in subsequent processing steps, such as a portion of a self-aligned double patterning process. The mask layer 854 is then used as an etch mask to transfer the pattern of the mask layer 854 to the substrate 850.

芯層856為形成於遮罩層854上的犧牲層。在一些實施例中,芯層856為光阻或聚合物材料,且可與圖1A至1G、圖5A至5C、圖6A至6F、或圖7A至14B所示的前述下方層114、下方層514、下方層614、或遮罩層728類似。在一些實施例中,芯層856的材料組成相對於下方層(如遮罩層854)具有高蝕刻選 擇性。在一些實施例中,芯層856的材料組成可為非晶矽、多晶矽、氮化矽、氧化矽、類似物、或上述之組合,且其形成製程可為化學氣相沉積、電漿增強化學氣相沉積、或類似方法。在一實施例中,芯層856的組成為多晶矽。 Core layer 856 is a sacrificial layer formed on mask layer 854. In some embodiments, core layer 856 is a photoresist or polymeric material and may be as described above with respect to FIGS. 1A through 1G, FIGS. 5A through 5C, FIGS. 6A through 6F, or FIGS. 7A through 14B. 514, lower layer 614, or mask layer 728 are similar. In some embodiments, the material composition of core layer 856 has a high etch selectivity relative to the underlying layer (e.g., mask layer 854). In some embodiments, the material composition of the core layer 856 may be amorphous germanium, polycrystalline germanium, tantalum nitride, hafnium oxide, the like, or a combination thereof, and the formation process may be chemical vapor deposition, plasma enhanced chemistry. Vapor deposition, or a similar method. In one embodiment, the core layer 856 is composed of polysilicon.

在圖19中,圖案化芯層856以形成芯858。芯層856的圖案化方法可採用任何合適的光微影技術。舉例來說,芯層856的圖案化方法可形成三層光阻(未圖示)於膜堆疊上。三層光阻可包含底層、中間層、與上方層。上方層的組成可為光敏材料如光阻,其可包含有機材料。底層可為底抗反射塗層。中間層的組成可為或包含無機材料,比如氮化物(如氮化矽)、氮氧化物(如氮氧化矽)、氧化物(如氧化矽)、或類似物。中間層相對於上方層及底層,具有高蝕刻選擇性。如此一來,上方層作為圖案化中間層時的蝕刻遮罩,而中間層作為圖案化底層時的蝕刻遮罩。 In FIG. 19, core layer 856 is patterned to form core 858. The patterning method of core layer 856 can employ any suitable photolithography technique. For example, the patterning method of core layer 856 can form a three layer photoresist (not shown) on the film stack. The three-layer photoresist may include a bottom layer, an intermediate layer, and an upper layer. The composition of the upper layer may be a photosensitive material such as a photoresist, which may comprise an organic material. The bottom layer can be a bottom anti-reflective coating. The composition of the intermediate layer may be or include an inorganic material such as a nitride such as tantalum nitride, an oxynitride such as bismuth oxynitride, an oxide such as cerium oxide, or the like. The intermediate layer has high etching selectivity with respect to the upper layer and the bottom layer. In this way, the upper layer serves as an etch mask for patterning the intermediate layer, and the intermediate layer serves as an etch mask for patterning the underlayer.

在圖案轉移至底層之後,可進行蝕刻製程以將底層的圖案轉移至芯層856。蝕刻製程可移除中間層與底層所露出之芯層856的部份。在一實施例中,蝕刻製程可為乾蝕刻,其暴露芯層856至電漿源與一或多種蝕刻劑氣體。蝕刻可為感應耦合電漿蝕刻、變壓器耦合電漿蝕刻、電子迴旋共振蝕刻、反應性離子蝕刻、或類似蝕刻。芯層856的保留部份即形成芯858。在一些實施例中,用於轉移圖案至芯層856的蝕刻製程,可移除中間層並部份地移除底層的部份。可進行灰化製程,以移除殘留的中間層及/或底層。 After the pattern is transferred to the underlayer, an etch process can be performed to transfer the underlying pattern to the core layer 856. The etching process removes portions of the core layer 856 exposed by the intermediate layer and the bottom layer. In an embodiment, the etch process can be a dry etch that exposes the core layer 856 to the plasma source and one or more etchant gases. The etch can be an inductively coupled plasma etch, a transformer coupled plasma etch, an electron cyclotron resonance etch, a reactive ion etch, or the like. The remaining portion of the core layer 856 forms the core 858. In some embodiments, an etch process for transferring the pattern to the core layer 856 can remove the intermediate layer and partially remove the underlying portion. An ashing process can be performed to remove residual intermediate layers and/or underlayers.

在圖20中,形成低溫介電膜862於遮罩層854及芯 858上。在形成低溫介電膜862之後,其可沿著遮罩層854與芯858的上表面延伸,並沿著芯858的側壁延伸。在一些實施例中,低溫介電膜862可與圖1A至4、圖5A至5C、圖6A至6E、或圖7A至14B所示的前述低溫介電膜116、低溫介電膜516、低溫介電膜616、或低溫介電膜736類似。舉例來說,低溫介電膜862的沉積方法可為電漿增強原子層沉積製程,其不採用氧氣作為前驅物材料,以減少對芯858造成可能損傷。在下述形成鰭狀物874的方法中,減少損傷芯858可改善製程的一致性。 In Fig. 20, a low temperature dielectric film 862 is formed over the mask layer 854 and the core 858. After forming the low temperature dielectric film 862, it may extend along the upper surface of the mask layer 854 and the core 858 and along the sidewalls of the core 858. In some embodiments, the low temperature dielectric film 862 can be the aforementioned low temperature dielectric film 116, low temperature dielectric film 516, low temperature as shown in FIGS. 1A to 4, 5A to 5C, FIGS. 6A to 6E, or 7A to 14B. Dielectric film 616, or low temperature dielectric film 736 is similar. For example, the deposition method of the low temperature dielectric film 862 may be a plasma enhanced atomic layer deposition process that does not use oxygen as a precursor material to reduce possible damage to the core 858. In the method of forming the fins 874 described below, reducing the damage core 858 can improve process uniformity.

在圖21中,可進行合適的蝕刻製程以移除低溫介電膜862的水平部份。在一些實施例中,用於蝕刻低溫介電膜862的水平部份之蝕刻劑,為氯氣、甲烷、氮氣、氬氣、類似物、或上述之組合。在蝕刻製程之後,可沿著芯的側部保留低溫介電膜862的垂直部份,其之後可稱作間隔物864。蝕刻製程可為非等向,因此間隔物864的寬度不會明顯減少。 In FIG. 21, a suitable etching process can be performed to remove the horizontal portion of the low temperature dielectric film 862. In some embodiments, the etchant used to etch the horizontal portion of the low temperature dielectric film 862 is chlorine, methane, nitrogen, argon, the like, or a combination thereof. After the etching process, the vertical portion of the low temperature dielectric film 862 may remain along the sides of the core, which may be referred to as spacers 864 thereafter. The etching process can be non-isotropic, so the width of the spacer 864 is not significantly reduced.

在圖22中,移除芯858。芯858的移除方法可為合適的蝕刻製程(比如包含蝕刻劑如四氟化碳、氟化甲烷、氫氣、氮氣、氬氣、類似物、或上述之組合的蝕刻製程)、灰化製程、或任何其他合適的蝕刻製程,其可移除芯858而實質上不損傷間隔物864。此外,可對基板850進行濕式清潔製程,以移除殘留的間隔物與芯材料。在一些實施例中,可在相同沉積腔室中進行蝕刻間隔物與移除芯的製程。 In Figure 22, the core 858 is removed. The removal method of the core 858 may be a suitable etching process (such as an etching process including an etchant such as carbon tetrafluoride, methyl fluoride, hydrogen, nitrogen, argon, the like, or a combination thereof), an ashing process, Or any other suitable etching process that removes the core 858 without substantially damaging the spacers 864. Additionally, the substrate 850 can be subjected to a wet cleaning process to remove residual spacers and core material. In some embodiments, the process of etching the spacers and removing the core can be performed in the same deposition chamber.

在圖23中,間隔物864作為圖案化遮罩層854的蝕刻遮罩。可進行合適的蝕刻製程如非等向蝕刻,其可搭配任何合適的化學劑如四氟化碳、溴化氫、氯氣、氧氣、氬氣、類似 物、或上述之組合。因此可將間隔物864的圖案轉移至遮罩層854,以形成開口於遮罩層854中。 In FIG. 23, spacer 864 acts as an etch mask for patterned mask layer 854. A suitable etching process, such as non-isotropic etching, can be employed in conjunction with any suitable chemical such as carbon tetrafluoride, hydrogen bromide, chlorine, oxygen, argon, the like, or combinations thereof. The pattern of spacers 864 can thus be transferred to the mask layer 854 to form openings in the mask layer 854.

在圖24中,鰭狀物868形成於基板850中。鰭狀物868的形成方法可採用圖案化的遮罩層854作為蝕刻遮罩,並蝕刻抗反射塗層852與基板850,以形成溝槽於基板850中。溝槽之間的半導體帶形成鰭狀物868。蝕刻可為任何可接受的蝕刻製程,且可採用蝕刻劑如氯氣、氮氣、甲烷、類似物、或上述之組合。蝕刻可為非等向。此製程可消耗間隔物864、圖案化的遮罩層854、與圖案化的抗反射塗層852。在一些實施例中,可進行清潔製程以移除間隔物864、圖案化的遮罩層854、或圖案化的抗反射塗層852的任何殘餘材料。 In FIG. 24, a fin 868 is formed in the substrate 850. The method of forming the fins 868 may employ a patterned mask layer 854 as an etch mask and etch the anti-reflective coating 852 and the substrate 850 to form trenches in the substrate 850. The semiconductor strips between the trenches form fins 868. The etching can be any acceptable etching process, and an etchant such as chlorine, nitrogen, methane, the like, or a combination thereof can be employed. The etching can be non-isotropic. This process can consume spacers 864, patterned mask layer 854, and patterned anti-reflective coating 852. In some embodiments, a cleaning process can be performed to remove any residual material of the spacer 864, the patterned mask layer 854, or the patterned anti-reflective coating 852.

在圖25中,形成絕緣材料於基板850上與相鄰的鰭狀物868之間。絕緣材料可為氧化物(如氧化矽)、氮化物、類似物、或上述之組合。接著使絕緣材料凹陷,以形成淺溝槽隔離區872。由於絕緣材料凹陷,第一區850B與第二區850C中的鰭狀物874將自相鄰的淺溝槽隔離區872之間凸起。可採用可接受的蝕刻製程使絕緣材料凹陷,以形成淺溝槽隔離區872。此蝕刻製程可對絕緣材料具有選擇性。鰭狀物874可與上述圖16所示的鰭狀物874類似。在形成鰭狀物874之後,可進行其他製程步驟以形成鰭狀場效電晶體(比如圖16所示的鰭狀場效電晶體)。舉例來說,閘極介電層818與閘極820可形成於每一鰭狀物874上,而源極/汲極區802可形成於每一鰭狀物874的兩側上。此為例示性的例子,而形成鰭狀場效電晶體的其他實施例可採用額外或其他製程步驟,且屬於本發明實施例的範疇。 In FIG. 25, an insulating material is formed between the substrate 850 and the adjacent fins 868. The insulating material may be an oxide such as yttria, a nitride, the like, or a combination thereof. The insulating material is then recessed to form shallow trench isolation regions 872. Due to the recess of the insulating material, the fins 874 in the first region 850B and the second region 850C will bulge from between the adjacent shallow trench isolation regions 872. The insulating material may be recessed using an acceptable etching process to form shallow trench isolation regions 872. This etching process is selective to the insulating material. The fins 874 can be similar to the fins 874 shown in Figure 16 above. After the fins 874 are formed, other processing steps can be performed to form fin field effect transistors (such as the fin field effect transistors shown in FIG. 16). For example, gate dielectric layer 818 and gate 820 can be formed on each fin 874, and source/drain regions 802 can be formed on both sides of each fin 874. This is an illustrative example, and other embodiments for forming a fin field effect transistor may employ additional or other process steps and fall within the scope of embodiments of the present invention.

雖然圖17至25所示的製程用於形成鰭狀物874,但應理解圖17至25所示的製程步驟可用於其他製程。舉例來說,自低溫介電膜形成的間隔物可形成於其他半導體裝置單元上以圖案化其他半導體裝置單元,且其他半導體裝置單元可為多晶矽閘極、金屬閘極、虛置閘極、隔離區、內連線結構、閘極間隔物、接點蝕刻停止層、或類似物。 Although the processes illustrated in Figures 17 through 25 are used to form the fins 874, it should be understood that the process steps illustrated in Figures 17 through 25 can be used in other processes. For example, spacers formed from a low temperature dielectric film may be formed on other semiconductor device units to pattern other semiconductor device units, and other semiconductor device units may be polysilicon gates, metal gates, dummy gates, isolation A region, an interconnect structure, a gate spacer, a contact etch stop layer, or the like.

上述的多種實施例提供的製程用於沉積低溫介電膜。上述低溫介電膜可沉積於光阻或聚合物層上,且不會或少量地損傷光阻或聚合物層。在一些實施例中,上述低溫介電膜可作為光阻、聚合物、或其他材料的蝕刻遮罩。在一些實施例中,上述低溫介電膜可作為墊層以用於改善黏著性。舉例來說,沉積於低溫介電膜上的層狀物(如光阻、介電層、或其他種類的層狀物)之黏著性,可高於沉積於不同材料上的層狀物之黏著性。採用低溫介電膜可改善一些結構(如金屬線路或其他結構)的寬度變異或邊緣粗糙度。上述低溫介電膜可用於前段製程的一部份,或後段製程的一部份。 The various embodiments described above provide a process for depositing a low temperature dielectric film. The low temperature dielectric film described above can be deposited on the photoresist or polymer layer without damaging the photoresist or polymer layer. In some embodiments, the low temperature dielectric film described above can be used as an etch mask for photoresists, polymers, or other materials. In some embodiments, the low temperature dielectric film described above can be used as a backing layer for improving adhesion. For example, the adhesion of a layer (such as a photoresist, dielectric layer, or other kind of layer) deposited on a low temperature dielectric film can be higher than that of a layer deposited on a different material. Sex. The use of low temperature dielectric films can improve the width variation or edge roughness of some structures, such as metal lines or other structures. The low temperature dielectric film can be used for a part of the front stage process or a part of the back end process.

在一實施例中,半導體裝置的形成方法包括:將基板置入沉積腔室;沉積層狀物於基板上;以及沉積氧化物層於層狀物上。沉積氧化物層的步驟包括:使第一前驅物材料流入沉積腔室;在沉積腔室中,自第一前驅物材料形成氧化物層的一部份;點燃第二前驅物材料成電漿,且第二前驅物材料不含氧的同素異形體;以及在沉積腔室中,自電漿形成氧化物層的一部份。在一實施例中,上述方法更包括圖案化開口於層狀物中。在一實施例中,沉積氧化物層的步驟包括沉積氧化物層於 層狀物中的開口中。在一實施例中,上述方法更包括圖案化氧化物層以延伸開口至氧化物層中。在一實施例中,沉積氧化物層的製程溫度小於約200℃。在一實施例中,第二前驅物材料不含氣態氧。在一實施例中,第二前驅物材料包含二氧化碳。在一實施例中,氧化物層包括矽、碳、或上述之組合。在一實施例中,氧化物層包括碳氧化矽。 In one embodiment, a method of forming a semiconductor device includes: placing a substrate into a deposition chamber; depositing a layer on the substrate; and depositing an oxide layer on the layer. The step of depositing an oxide layer includes: flowing a first precursor material into a deposition chamber; forming a portion of the oxide layer from the first precursor material in the deposition chamber; igniting the second precursor material into a plasma, And the second precursor material is free of oxygen allotropes; and in the deposition chamber, a portion of the oxide layer is formed from the plasma. In an embodiment, the method further includes patterning the opening in the layer. In one embodiment, the step of depositing an oxide layer includes depositing an oxide layer in the opening in the layer. In an embodiment, the above method further includes patterning the oxide layer to extend the opening into the oxide layer. In one embodiment, the process temperature at which the oxide layer is deposited is less than about 200 °C. In an embodiment, the second precursor material is free of gaseous oxygen. In an embodiment, the second precursor material comprises carbon dioxide. In an embodiment, the oxide layer comprises tantalum, carbon, or a combination thereof. In an embodiment, the oxide layer comprises tantalum carbonitride.

在另一實施例中,半導體裝置的形成方法包括:沉積介電層於基板上;進行第一圖案化步驟,以形成凹陷於介電層中;以及沉積氧化物膜於介電層上與介電層的凹陷中,且氧化物膜接觸介電層,其中氧化物膜由多個前驅物形成,其中前驅物不含氧氣,且其中沉積氧化物膜的步驟包含形成前驅物的第一前驅物之電漿。在一實施例中,氧化物膜沉積填入介電層中的凹陷。在一實施例中,凹陷具有第一內部寬度,沉積氧化物膜於凹陷中的步驟形成溝槽於凹陷中,溝槽具有第二內部寬度,且第二內部寬度小於第一內部寬度。在一實施例中,氧化物膜順應性地沉積於凹陷中。在一實施例中,介電層為光阻材料或聚合物材料。在一實施例中,氧化物膜包括矽、碳、氮、或上述之組合。在一實施例中,氧化物膜為碳氧化矽。在一實施例中,前驅物的第一前驅物為一氧化二氮。在一實施例中,前驅物的第一前驅物為二氧化碳。 In another embodiment, a method of forming a semiconductor device includes: depositing a dielectric layer on a substrate; performing a first patterning step to form a recess in the dielectric layer; and depositing an oxide film on the dielectric layer In the recess of the electrical layer, and the oxide film contacts the dielectric layer, wherein the oxide film is formed of a plurality of precursors, wherein the precursor does not contain oxygen, and wherein the step of depositing the oxide film comprises forming a first precursor of the precursor Plasma. In one embodiment, the oxide film deposits a recess that fills the dielectric layer. In one embodiment, the recess has a first inner width, and the step of depositing an oxide film in the recess forms a trench in the recess, the trench having a second inner width, and the second inner width being less than the first inner width. In an embodiment, the oxide film is conformally deposited in the recess. In an embodiment, the dielectric layer is a photoresist material or a polymer material. In an embodiment, the oxide film comprises tantalum, carbon, nitrogen, or a combination thereof. In one embodiment, the oxide film is tantalum carbonitride. In one embodiment, the first precursor of the precursor is nitrous oxide. In an embodiment, the first precursor of the precursor is carbon dioxide.

在另一實施例中,半導體裝置的形成方法包括:形成介電層於半導體基板上;圖案化介電層上的光阻;將半導體基板與光阻置入製程腔室;採用蝕刻製程,以將光阻的圖案轉移至介電層;依序提供多個前驅物至製程腔室中,其中前驅 物不含氧的同素異形體;以及在製程腔室中形成氧化物層於介電層上,該氧化物層接觸介電層,且形成氧化物層的步驟包括點燃前驅物的至少一前驅物成電漿。在一實施例中,上述方法包括在製程腔室中進行蝕刻製程以蝕刻氧化物層。在一實施例中,前驅物包括二氧化碳、一氧化二氮、或乙醇。在一實施例中,氧化物層包括矽、碳、氮、或上述之組合。在一實施例中,氧化物層包括氮氧化矽。在一實施例中,形成氧化物層的步驟包括採用電漿增強原子層沉積製程。 In another embodiment, a method of forming a semiconductor device includes: forming a dielectric layer on a semiconductor substrate; patterning a photoresist on the dielectric layer; placing the semiconductor substrate and the photoresist into the process chamber; using an etching process to Transferring the pattern of the photoresist to the dielectric layer; sequentially providing a plurality of precursors into the process chamber, wherein the precursor contains an oxygen-free allotrope; and forming an oxide layer in the dielectric layer in the process chamber The oxide layer contacts the dielectric layer, and the step of forming the oxide layer includes igniting at least one precursor of the precursor into a plasma. In one embodiment, the method includes performing an etching process in the process chamber to etch the oxide layer. In an embodiment, the precursor comprises carbon dioxide, nitrous oxide, or ethanol. In an embodiment, the oxide layer comprises tantalum, carbon, nitrogen, or a combination thereof. In an embodiment, the oxide layer comprises bismuth oxynitride. In one embodiment, the step of forming an oxide layer includes using a plasma enhanced atomic layer deposition process.

上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明精神與範疇的前提下進行改變、替換、或更動。 The features of the above-described embodiments are advantageous to those of ordinary skill in the art in understanding the embodiments of the invention. Those having ordinary skill in the art should understand that the embodiments of the present invention can be used to design and change other processes and structures to achieve the same objectives and/or advantages of the above embodiments. It is also to be understood by those of ordinary skill in the art that the invention may be modified, substituted, or modified without departing from the spirit and scope of the invention.

Claims (20)

一種半導體裝置的形成方法,包括:將一基板置入一沉積腔室;沉積一層狀物於該基板上;以及沉積一氧化物層於該層狀物上,包括:使一第一前驅物材料流入該沉積腔室;在該沉積腔室中,自該第一前驅物材料形成該氧化物層的一部份;點燃一第二前驅物材料成一電漿,且該第二前驅物材料不含氧的同素異形體;以及在該沉積腔室中,自該電漿形成該氧化物層的一部份。  A method of forming a semiconductor device, comprising: placing a substrate in a deposition chamber; depositing a layer on the substrate; and depositing an oxide layer on the layer, comprising: making a first precursor Material flows into the deposition chamber; in the deposition chamber, a portion of the oxide layer is formed from the first precursor material; a second precursor material is ignited into a plasma, and the second precursor material is not An oxygen-containing allotrope; and a portion of the oxide layer formed from the plasma in the deposition chamber.   如申請專利範圍第1項所述之半導體裝置的形成方法,更包括圖案化一開口於該層狀物中。  The method of forming a semiconductor device according to claim 1, further comprising patterning an opening in the layer.   如申請專利範圍第2項所述之半導體裝置的形成方法,其中沉積該氧化物層的步驟包括沉積該氧化物層於該層狀物中的開口中。  The method of forming a semiconductor device according to claim 2, wherein the step of depositing the oxide layer comprises depositing the oxide layer in an opening in the layer.   如申請專利範圍第3項所述之半導體裝置的形成方法,更包括圖案化該氧化物層以延伸該開口至該氧化物層中。  The method of forming a semiconductor device according to claim 3, further comprising patterning the oxide layer to extend the opening into the oxide layer.   如申請專利範圍第1項所述之半導體裝置的形成方法,其中沉積該氧化物層的製程溫度小於約200℃。  The method of forming a semiconductor device according to claim 1, wherein a process temperature for depositing the oxide layer is less than about 200 °C.   如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第二前驅物材料不含氣態氧。  The method of forming a semiconductor device according to claim 1, wherein the second precursor material is free of gaseous oxygen.   如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第二前驅物材料包含二氧化碳。  The method of forming a semiconductor device according to claim 1, wherein the second precursor material comprises carbon dioxide.   如申請專利範圍第1項所述之半導體裝置的形成方法,其中該氧化物層包括矽、碳、或上述之組合。  The method of forming a semiconductor device according to claim 1, wherein the oxide layer comprises tantalum, carbon, or a combination thereof.   一種半導體裝置的形成方法,包括:沉積一介電層於一基板上;進行一第一圖案化步驟,以形成一凹陷於該介電層中;以及沉積一氧化物膜於該介電層上與該介電層的該凹陷中,且該氧化物膜接觸該介電層,其中該氧化物膜由多個前驅物形成,其中該些前驅物不含氧氣,且其中沉積該氧化物膜的步驟包含形成該些前驅物的一第一前驅物之電漿。  A method of forming a semiconductor device, comprising: depositing a dielectric layer on a substrate; performing a first patterning step to form a recess in the dielectric layer; and depositing an oxide film on the dielectric layer In the recess of the dielectric layer, and the oxide film contacts the dielectric layer, wherein the oxide film is formed of a plurality of precursors, wherein the precursors are free of oxygen, and wherein the oxide film is deposited The step includes forming a plasma of a first precursor of the precursors.   如申請專利範圍第9項所述之半導體裝置的形成方法,其中該氧化物膜沉積填入該介電層中的該凹陷。  The method of forming a semiconductor device according to claim 9, wherein the oxide film deposits the recess filled in the dielectric layer.   如申請專利範圍第9項所述之半導體裝置的形成方法,其中該凹陷具有一第一內部寬度,沉積該氧化物膜於該凹陷中的步驟形成一溝槽於該凹陷中,該溝槽具有一第二內部寬度,且該第二內部寬度小於該第一內部寬度。  The method of forming a semiconductor device according to claim 9, wherein the recess has a first inner width, and the step of depositing the oxide film in the recess forms a trench in the recess, the trench having a second inner width, and the second inner width is less than the first inner width.   如申請專利範圍第9項所述之半導體裝置的形成方法,其中該氧化物膜順應性地沉積於該凹陷中。  The method of forming a semiconductor device according to claim 9, wherein the oxide film is conformally deposited in the recess.   如申請專利範圍第9項所述之半導體裝置的形成方法,其中該介電層為光阻材料或聚合物材料。  The method of forming a semiconductor device according to claim 9, wherein the dielectric layer is a photoresist material or a polymer material.   如申請專利範圍第9項所述之半導體裝置的形成方法,其中該氧化物膜包括矽、碳、氮、或上述之組合。  The method of forming a semiconductor device according to claim 9, wherein the oxide film comprises germanium, carbon, nitrogen, or a combination thereof.   如申請專利範圍第9項所述之半導體裝置的形成方法,其中該些前驅物的該第一前驅物為一氧化二氮。  The method of forming a semiconductor device according to claim 9, wherein the first precursor of the precursors is nitrous oxide.   一種半導體裝置的形成方法,包括:形成一介電層於一半導體基板上;圖案化該介電層上的一光阻;將該半導體基板與該光阻置入一製程腔室;採用一蝕刻製程,以將該光阻的圖案轉移至該介電層;依序提供多個前驅物至該製程腔室中,其中該些前驅物不含氧的同素異形體;以及在該製程腔室中形成一氧化物層於該介電層上,該氧化物層接觸該介電層,且形成該氧化物層的步驟包括點燃該些前驅物的至少一前驅物成一電漿。  A method of forming a semiconductor device includes: forming a dielectric layer on a semiconductor substrate; patterning a photoresist on the dielectric layer; placing the semiconductor substrate and the photoresist into a process chamber; using an etch a process of transferring the pattern of the photoresist to the dielectric layer; sequentially providing a plurality of precursors into the process chamber, wherein the precursors are oxygen-free allotropes; and in the process chamber Forming an oxide layer on the dielectric layer, the oxide layer contacting the dielectric layer, and forming the oxide layer comprises igniting at least one precursor of the precursors into a plasma.   如申請專利範圍第16項所述之半導體裝置的形成方法,更包括在該製程腔室中進行一蝕刻製程以蝕刻該氧化物層。  The method of forming a semiconductor device according to claim 16, further comprising performing an etching process in the process chamber to etch the oxide layer.   如申請專利範圍第16項所述之半導體裝置的形成方法,其中該些前驅物包括二氧化碳、一氧化二氮、或乙醇。  The method of forming a semiconductor device according to claim 16, wherein the precursors comprise carbon dioxide, nitrous oxide, or ethanol.   如申請專利範圍第16項所述之半導體裝置的形成方法,其中該氧化物層包括矽、碳、氮、或上述之組合。  The method of forming a semiconductor device according to claim 16, wherein the oxide layer comprises germanium, carbon, nitrogen, or a combination thereof.   如申請專利範圍第16項所述之半導體裝置的形成方法,其中形成該氧化物層的步驟包括採用電漿增強原子層沉積製程。  The method of forming a semiconductor device according to claim 16, wherein the step of forming the oxide layer comprises using a plasma enhanced atomic layer deposition process.  
TW107133799A 2017-09-29 2018-09-26 Method for manufacturing semiconductor device TWI734031B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762566044P 2017-09-29 2017-09-29
US62/566,044 2017-09-29
US15/967,480 US10727045B2 (en) 2017-09-29 2018-04-30 Method for manufacturing a semiconductor device
US15/967,480 2018-04-30

Publications (2)

Publication Number Publication Date
TW201916106A true TW201916106A (en) 2019-04-16
TWI734031B TWI734031B (en) 2021-07-21

Family

ID=65896194

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133799A TWI734031B (en) 2017-09-29 2018-09-26 Method for manufacturing semiconductor device

Country Status (3)

Country Link
US (2) US10727045B2 (en)
CN (1) CN109585280B (en)
TW (1) TWI734031B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
KR20210043460A (en) * 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
CN112992784B (en) * 2019-12-02 2024-01-12 长鑫存储技术有限公司 Semiconductor structure and forming method thereof
US20210217614A1 (en) * 2020-01-14 2021-07-15 Tokyo Electron Limited Multiple patterning with selective mandrel formation
US11276639B2 (en) 2020-01-22 2022-03-15 International Business Machines Corporation Conductive lines with subtractive cuts

Family Cites Families (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
JP3257593B2 (en) * 1999-02-05 2002-02-18 日本電気株式会社 Method for manufacturing semiconductor device
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US20020136905A1 (en) * 1999-11-24 2002-09-26 Medwick Paul A. Low shading coefficient and low emissivity coatings and coated articles
TW444341B (en) * 2000-02-16 2001-07-01 United Microelectronics Corp Manufacturing method of ultra-small opening
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US6887627B2 (en) * 2002-04-26 2005-05-03 Macronix International Co., Ltd. Method of fabricating phase shift mask
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6774051B2 (en) * 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
DE10228807B4 (en) * 2002-06-27 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Process for the production of microstructure elements
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6790779B2 (en) * 2002-07-24 2004-09-14 Lockheed Martin Corporation Anisotropic dry etching technique for deep bulk silicon etching
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
TW575907B (en) * 2002-12-24 2004-02-11 Macronix Int Co Ltd Patterning method for fabricating integrated circuit
US20040166447A1 (en) * 2003-02-26 2004-08-26 Vencent Chang Method for shrinking pattern photoresist
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
JP2005109203A (en) * 2003-09-30 2005-04-21 Seiko Epson Corp Semiconductor substrate, method of manufacturing the same semiconductor device, and method of manufacturing the same
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US7256126B1 (en) * 2004-02-03 2007-08-14 Macronix International Co., Ltd. Pitch reduction integrating formation of memory array and peripheral circuitry
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
KR100618850B1 (en) * 2004-07-22 2006-09-01 삼성전자주식회사 Mask pattern for manufacturing semiconductor device and method of forming the same and method of manufacturing semiconductor device having fine patterns
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
KR100640587B1 (en) * 2004-09-23 2006-11-01 삼성전자주식회사 Mask pattern for manufacturing semiconductor device and method of forming the same and method of manufacturing semiconductor device having fine patterns
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7205226B1 (en) * 2005-02-24 2007-04-17 Lam Research Corporation Sacrificial layer for protection during trench etch
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US20070082477A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Integrated circuit fabricating techniques employing sacrificial liners
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7972957B2 (en) * 2006-02-27 2011-07-05 Taiwan Semiconductor Manufacturing Company Method of making openings in a layer of a semiconductor device
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US8440268B2 (en) 2006-03-30 2013-05-14 Mitsui Engineering & Shipbuilding Co., Ltd. Method and apparatus for growing plasma atomic layer
US7785753B2 (en) * 2006-05-17 2010-08-31 Lam Research Corporation Method and apparatus for providing mask in semiconductor processing
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
KR100771886B1 (en) * 2006-09-27 2007-11-01 삼성전자주식회사 Method of forming fine contact hole and method of fabricating semiconductor device using block copolymer
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7696094B2 (en) * 2006-12-27 2010-04-13 Spansion Llc Method for improved planarization in semiconductor devices
US7799503B2 (en) * 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US20080286698A1 (en) * 2007-05-18 2008-11-20 Haoren Zhuang Semiconductor device manufacturing methods
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP5671202B2 (en) * 2007-10-26 2015-02-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated How to double the frequency using a photoresist template mask
US7851135B2 (en) * 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
WO2009085564A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
KR20090068082A (en) * 2007-12-22 2009-06-25 주식회사 동부하이텍 Method for manufacturing metal line of semiconductor device
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
KR100875180B1 (en) * 2008-07-10 2008-12-22 주식회사 동부하이텍 Method for manufacturing semiconductor device
JP5102720B2 (en) * 2008-08-25 2012-12-19 東京エレクトロン株式会社 Substrate processing method
JP5336283B2 (en) * 2008-09-03 2013-11-06 信越化学工業株式会社 Pattern formation method
US8158335B2 (en) * 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
KR101523951B1 (en) * 2008-10-09 2015-06-02 삼성전자주식회사 Method for forming fine patterns for semiconductor device
KR20100044029A (en) * 2008-10-21 2010-04-29 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
JP2010161162A (en) * 2009-01-07 2010-07-22 Tokyo Electron Ltd Fine pattern forming method
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8048795B2 (en) * 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
US20110183079A1 (en) 2009-08-31 2011-07-28 Penn State Research Foundation Plasma enhanced atomic layer deposition process
US8399180B2 (en) * 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
KR20110087976A (en) * 2010-01-28 2011-08-03 삼성전자주식회사 Method of forming a metal wiring and manufacturing a non-volatile semiconductor device using the same
KR20110111809A (en) * 2010-04-05 2011-10-12 삼성전자주식회사 Methods for forming stair-typed structures and methods for manufacturing nonvolatile memory devices using the same
US8476160B2 (en) * 2010-10-27 2013-07-02 International Business Machines Corporation Sublithographic patterning employing image transfer of a controllably damaged dielectric sidewall
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101865296B1 (en) * 2011-06-15 2018-06-07 삼성전자주식회사 Method for fabricating of semiconductor device
US8822137B2 (en) * 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US8592302B2 (en) * 2011-11-30 2013-11-26 GlobalFoundries, Inc. Patterning method for fabrication of a semiconductor device
FR2990794B1 (en) * 2012-05-16 2016-11-18 Commissariat Energie Atomique METHOD FOR PRODUCING A SUBSTRATE WITH VARIED ACTIVE ZONES AND PLANAR AND THREE DIMENSIONAL TRANSISTORS
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
CN103681269B (en) * 2012-09-03 2016-06-29 中芯国际集成电路制造(上海)有限公司 The method of selectively formed high-K dielectric layer
US9581899B2 (en) * 2012-11-27 2017-02-28 International Business Machines Corporation 2-dimensional patterning employing tone inverted graphoepitaxy
US9153478B2 (en) * 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
JP6355374B2 (en) * 2013-03-22 2018-07-11 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US20150024597A1 (en) * 2013-07-16 2015-01-22 HGST Netherlands B.V. Method for sidewall spacer line doubling using polymer brush material as a sacrificial layer
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
TWI667782B (en) * 2013-09-27 2019-08-01 群創光電股份有限公司 Organic light emitting diode display panel and organic light emitting diode display device containing the same
EP3050086A4 (en) * 2013-09-27 2017-05-03 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
KR102198023B1 (en) * 2013-10-30 2021-01-05 삼성전자주식회사 Method of forming a pattern of a semiconductor device
US9312174B2 (en) * 2013-12-17 2016-04-12 United Microelectronics Corp. Method for manufacturing contact plugs for semiconductor devices
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9209077B2 (en) * 2013-12-20 2015-12-08 Intel Corporation Diagonal hardmasks for improved overlay in fabricating back end of line (BEOL) interconnects
US9171703B2 (en) * 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9202749B2 (en) * 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
KR102233577B1 (en) * 2014-02-25 2021-03-30 삼성전자주식회사 Method for forming patterns of a semiconductor device
US10163652B2 (en) * 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9257298B2 (en) * 2014-03-28 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for in situ maintenance of a thin hardmask during an etch process
KR102377372B1 (en) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 Method for forming interconnects
US9117822B1 (en) * 2014-04-29 2015-08-25 Globalfoundries Inc. Methods and structures for back end of line integration
KR20160020622A (en) * 2014-08-13 2016-02-24 삼성디스플레이 주식회사 Polarizer and method for fabricating the same
TWI584061B (en) * 2014-08-27 2017-05-21 羅門哈斯電子材料有限公司 Multiple-pattern forming methods
JP6328524B2 (en) * 2014-08-29 2018-05-23 東京エレクトロン株式会社 Etching method
JP6366454B2 (en) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 Method for processing an object
US9613850B2 (en) * 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
CN107112212B (en) * 2014-12-22 2021-03-12 东京毅力科创株式会社 Patterning substrates using grafted polymeric materials
CN104599959A (en) * 2014-12-24 2015-05-06 深圳市华星光电技术有限公司 Manufacturing method and structure of low-temperature polycrystalline silicon TFT substrate
TWI603145B (en) * 2014-12-31 2017-10-21 羅門哈斯電子材料有限公司 Photolithographic methods
JP6481602B2 (en) * 2015-01-09 2019-03-13 信越化学工業株式会社 Pattern forming method and shrink agent
JP6559430B2 (en) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 Method for processing an object
US9418868B1 (en) * 2015-03-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
JP6339961B2 (en) * 2015-03-31 2018-06-06 東京エレクトロン株式会社 Etching method
KR102293134B1 (en) * 2015-04-17 2021-08-26 삼성전자주식회사 Method for forming fine patterns of semiconductor device
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
JP6462477B2 (en) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 Method for processing an object
JP6050860B1 (en) * 2015-05-26 2016-12-21 株式会社日本製鋼所 Plasma atomic layer growth equipment
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10211088B2 (en) * 2015-09-10 2019-02-19 Intel Corporation Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (BEOL) interconnects
WO2017058589A1 (en) * 2015-09-30 2017-04-06 Tokyo Electron Limited Method for patterning a substrate using extreme ultraviolet lithography
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
JP6643875B2 (en) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 Etching method
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
WO2017111953A1 (en) * 2015-12-22 2017-06-29 Intel Corporation Metal via processing schemes with via critical dimension (cd) control for back end of line (beol) interconnects and the resulting structures
US9728407B2 (en) * 2015-12-30 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming features with various dimensions
US10056256B2 (en) * 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US9484258B1 (en) * 2016-03-16 2016-11-01 Globalfoundries Inc. Method for producing self-aligned vias
US9857684B2 (en) * 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US10559529B2 (en) * 2016-03-28 2020-02-11 Intel Corporation Pitch division patterning approaches with increased overlay margin for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
TWI661466B (en) * 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 Method for patterning a substrate using a layer with multiple materials
US10276377B2 (en) * 2016-05-20 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning interconnects
US10867853B2 (en) * 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US9991156B2 (en) * 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US10049918B2 (en) * 2016-09-29 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Directional patterning methods
US11527433B2 (en) * 2016-09-30 2022-12-13 Intel Corporation Via and plug architectures for integrated circuit interconnects and methods of manufacture
US9978594B1 (en) * 2016-11-15 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using patterning stacks
US9881794B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
JP6804277B2 (en) * 2016-11-30 2020-12-23 東京エレクトロン株式会社 Processing method and processing equipment
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10002786B1 (en) * 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11232980B2 (en) * 2016-12-23 2022-01-25 Intel Corporation Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
US10199265B2 (en) * 2017-02-10 2019-02-05 Globalfoundries Inc. Variable space mandrel cut for self aligned double patterning
US10163633B2 (en) * 2017-03-13 2018-12-25 Globalfoundries Inc. Non-mandrel cut formation
US10079178B1 (en) * 2017-03-17 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd Formation method of semiconductor device structure using multilayer resist layer
US10262865B2 (en) * 2017-04-14 2019-04-16 Asm Ip Holding B.V. Methods for manufacturing semiconductor devices
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10672610B2 (en) * 2017-05-19 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting design for pattern post-treatment in semiconductor manufacturing
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US20180371612A1 (en) * 2017-06-27 2018-12-27 Wonik Materials Co., Ltd. Low Temperature Process for Forming Silicon-Containing Thin Layer
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10707073B2 (en) * 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10559492B2 (en) * 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
US10497565B2 (en) * 2017-11-21 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US10770294B2 (en) * 2018-06-22 2020-09-08 Tokyo Electron Limited Selective atomic layer deposition (ALD) of protective caps to enhance extreme ultra-violet (EUV) etch resistance
US10861706B2 (en) * 2018-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Etch selectivity improved by laser beam
JP7195113B2 (en) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 Processing method and substrate processing apparatus
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
JP7323409B2 (en) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 SUBSTRATE PROCESSING METHOD AND PLASMA PROCESSING APPARATUS
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
CN114093763A (en) * 2021-11-23 2022-02-25 武汉新芯集成电路制造有限公司 Method for manufacturing semiconductor

Also Published As

Publication number Publication date
TWI734031B (en) 2021-07-21
US10727045B2 (en) 2020-07-28
CN109585280B (en) 2021-05-04
US20190103272A1 (en) 2019-04-04
CN109585280A (en) 2019-04-05
US20200357634A1 (en) 2020-11-12

Similar Documents

Publication Publication Date Title
TWI734031B (en) Method for manufacturing semiconductor device
US11676852B2 (en) Patterning methods for semiconductor devices
TWI687977B (en) Semiconductor device and method for manufacturing the same
US10410872B2 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US8173357B2 (en) Method of forming etching mask, etching method using the etching mask, and method of fabricating semiconductor device including the etching method
TWI671855B (en) Semiconductor devices and methods for fabricating the same
US20130122429A1 (en) Pattern forming method and manufacturing method of semiconductor device
US11967527B2 (en) Fully aligned subtractive processes and electronic devices therefrom
KR20130015145A (en) Method of forming fine patterns for semiconductor device
CN105575887B (en) The forming method of interconnection structure
JP2013008732A (en) Semiconductor device manufacturing method
TWI689973B (en) Substantially defect-free polysilicon gate arrays
TW202109618A (en) Patterning method for semiconductor devices
US10074557B2 (en) Pattern forming method
TWI792360B (en) Methods for forming semiconductor devices and method for manufacturing an integrated circuit using the same
TWI760989B (en) Semiconductor device and depositing method thereof
JP2007096214A (en) Manufacturing method for semiconductor device
US20130059437A1 (en) Method for manufacturing semiconductor device
JP2014022656A (en) Pattern formation method, and method of manufacturing semiconductor device by using the same
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
WO2020170865A1 (en) Method for manufacturing semiconductor device
CN113838798A (en) Etching method
JP2014179475A (en) Process of manufacturing semiconductor apparatus