TW201830579A - Semiconductor device structure - Google Patents

Semiconductor device structure Download PDF

Info

Publication number
TW201830579A
TW201830579A TW106119613A TW106119613A TW201830579A TW 201830579 A TW201830579 A TW 201830579A TW 106119613 A TW106119613 A TW 106119613A TW 106119613 A TW106119613 A TW 106119613A TW 201830579 A TW201830579 A TW 201830579A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
semiconductor
layer
gate stack
region
Prior art date
Application number
TW106119613A
Other languages
Chinese (zh)
Inventor
蕭錦濤
曾健庭
超源 楊
許義明
鄭存甫
王薏涵
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201830579A publication Critical patent/TW201830579A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Abstract

Structures and formation methods of a semiconductor device structure are provided. The semiconductor device structure includes a dielectric layer. The semiconductor device structure also includes a gate stack structure in the dielectric layer. The semiconductor device structure further includes a semiconductor wire partially surrounded by the gate stack structure. In addition, the semiconductor device structure includes a contact electrode in the dielectric layer and electrically connected to the semiconductor wire. The contact electrode and the gate stack structure extend from the semiconductor wire in opposite directions.

Description

半導體裝置結構    Semiconductor device structure   

本發明實施例關於半導體裝置結構,更特別關於其半導體線、接點電極、與閘極堆疊結構的相對位置。 The embodiments of the present invention relate to the structure of a semiconductor device, and more particularly to the relative positions of the semiconductor lines, contact electrodes, and gate stack structures.

半導體積體電路產業已經歷快速成長。積體電路材料與設計的技術進展產生一代又一代的積體電路。每代的積體電路均比前一代具有更小且更複雜的電路。 The semiconductor integrated circuit industry has experienced rapid growth. The technological advances in integrated circuit materials and design have produced generations of integrated circuits. Each generation of integrated circuits has smaller and more complex circuits than the previous generation.

在積體電路演進中,功能密度(比如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(製程所能形成的最小構件或線路)縮小而增加。製程的尺寸縮小通常有利於增加產能並降低相關成本。 In the evolution of integrated circuits, functional density (such as the number of interconnect devices per chip area) generally increases as the geometric size (the smallest component or line that can be formed by the process) shrinks. The reduction in the size of the process usually helps to increase production capacity and reduce related costs.

尺寸縮小亦增加積體電路的製程複雜度,為實現這些進展,積體電路製程中需要類似發展。舉例來說,可採用三維電晶體如具有奈米線的半導體裝置,以取代平面電晶體。這些較新種類的半導體積體電路裝置面臨製程挑戰,且未完全適用於所有方面。 The reduction in size also increases the complexity of the integrated circuit process. To achieve these advances, similar developments are needed in the integrated circuit process. For example, a three-dimensional transistor such as a semiconductor device having a nanowire may be used instead of a planar transistor. These newer types of semiconductor integrated circuit devices face process challenges and are not fully applicable in all aspects.

本發明一實施例提供之半導體裝置結構,包括:第一介電層;閘極堆疊結構,位於第一介電層中;半導體線,且閘極堆疊結構圍繞部份的半導體線;以及接點電極,位於第一介電層中並電性連接至半導體線,其中接點電極與閘極堆疊 結構自半導體線朝相反方向延伸。 A semiconductor device structure provided by an embodiment of the present invention includes: a first dielectric layer; a gate stack structure located in the first dielectric layer; a semiconductor line, and a portion of the semiconductor line surrounding the gate stack structure; An electrode is located in the first dielectric layer and is electrically connected to the semiconductor line. The contact electrode and the gate stack structure extend from the semiconductor line in opposite directions.

D1、D2、D3、D4‧‧‧距離 D 1 , D 2 , D 3 , D 4 ‧‧‧ distance

I-I’、II-II’‧‧‧線段 I-I ’, II-II’‧‧‧ line segments

S1、S1’、S2、S3、S4、S5、S6、S7、S7’、S8‧‧‧表面 S 1 , S 1 ′, S 2 , S 3 , S 4 , S 5 , S 6 , S 7 , S 7 ′, S 8 ‧‧‧ surface

X1、X2‧‧‧方向 X 1 , X 2 ‧‧‧ direction

100‧‧‧半導體基板 100‧‧‧ semiconductor substrate

100A、100B‧‧‧區域 100A, 100B‧‧‧ area

110、150、170、210、280‧‧‧介電層 110, 150, 170, 210, 280‧‧‧ dielectric layers

110A、120A、121、122‧‧‧部份 110A, 120A, 121, 122‧‧‧ parts

120‧‧‧半導體層 120‧‧‧Semiconductor layer

125、125’‧‧‧半導體線 125, 125’‧‧‧Semiconductor line

125A‧‧‧通道區 125A‧‧‧Channel area

125B‧‧‧源極/汲極區 125B‧‧‧Source / Drain Region

130、160、175、220、220’、245‧‧‧溝槽 130, 160, 175, 220, 220 ’, 245‧‧‧ groove

140‧‧‧黏著層 140‧‧‧ Adhesive layer

142‧‧‧側表面 142‧‧‧side surface

152‧‧‧圖案化的遮罩層 152‧‧‧ patterned mask layer

154、290‧‧‧開口 154, 290‧‧‧ opening

180、250、252、252’‧‧‧閘極堆疊結構 180, 250, 252, 252’‧‧‧ gate stacked structure

185‧‧‧間隔物單元 185‧‧‧spacer unit

190、260‧‧‧閘極介電層 190, 260‧‧‧Gate dielectric layer

200‧‧‧閘極 200‧‧‧Gate

205‧‧‧凹陷 205‧‧‧Sag

225‧‧‧額外步驟 225‧‧‧ extra steps

230‧‧‧矽化物結構 230‧‧‧ silicide structure

240、240’‧‧‧接點結構 240, 240’‧‧‧ contact structure

270‧‧‧金屬閘極 270‧‧‧metal gate

272‧‧‧阻障層 272‧‧‧Barrier layer

274‧‧‧功函數層 274‧‧‧Work function layer

276‧‧‧黏結層 276‧‧‧Adhesive layer

278‧‧‧金屬填充層 278‧‧‧ metal filling layer

292‧‧‧導電材料 292‧‧‧ conductive material

294‧‧‧導電通孔 294‧‧‧ conductive via

300、301、310、311、320‧‧‧單元 Units 300, 301, 310, 311, 320‧‧‧

400A、400B、400C‧‧‧結構 400A, 400B, 400C‧‧‧ Structure

第1A至1Q圖係一些實施例中,用以形成半導體裝置結構的製程之多種階段的透視圖。 1A to 1Q are perspective views of various stages of a process for forming a semiconductor device structure in some embodiments.

第2圖係一些實施例中,用以形成半導體裝置結構的製程之多種階段的透視圖。 FIG. 2 is a perspective view of various stages of a process for forming a semiconductor device structure in some embodiments.

第3A與3B圖係一些實施例中,半導體裝置結構的剖視圖。 3A and 3B are cross-sectional views of a semiconductor device structure in some embodiments.

第4圖係一些實施例中,半導體裝置結構的透視圖。 FIG. 4 is a perspective view of a semiconductor device structure in some embodiments.

第5圖係一些實施例中,半導體裝置結構的透視圖。 FIG. 5 is a perspective view of a semiconductor device structure in some embodiments.

第6圖係一些實施例中,半導體裝置結構的透視圖。 FIG. 6 is a perspective view of a semiconductor device structure in some embodiments.

第7圖係一些實施例中,半導體裝置結構的透視圖。 FIG. 7 is a perspective view of a semiconductor device structure in some embodiments.

第8圖係一些實施例中,半導體裝置結構的上視圖。 FIG. 8 is a top view of a semiconductor device structure in some embodiments.

第9圖係一些實施例中,半導體裝置結構的透視圖。 FIG. 9 is a perspective view of a semiconductor device structure in some embodiments.

下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。 The different embodiments or examples provided below can implement different structures of the present invention. The embodiments of specific components and arrangements are used to simplify the present invention and not to limit the present invention. For example, the description of forming the first component on the second component includes direct contact between the two, or there are other additional components instead of direct contact between the two. In addition, in various examples of the present invention, reference numerals may be repeated, but these repetitions are only for simplification and clear description, and do not represent that the units with the same reference numerals in different embodiments and / or settings have the same correspondence relationship.

此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化 說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。 In addition, spatial relative terms such as "below", "below", "below", "above", "above", or similar terms can be used to simplify the description of one component and another component in the illustration. Relative relationship. Spatial relative terms can be extended to components used in other directions, not limited to the illustrated directions. The element can also be rotated 90 ° or other angles, so the directional term is used to describe the direction in the illustration.

為了使半導體裝置結構更易理解,圖式提供X-Y-Z座標。X軸通常沿著半導體裝置結構的基板表面,且沿著橫向方向延伸。Y軸通常沿著基板表面,且垂直於X軸。Z軸通常垂直於X-Y平面。 In order to make the structure of the semiconductor device easier to understand, the figure provides X-Y-Z coordinates. The X-axis generally runs along the substrate surface of the semiconductor device structure and extends in a lateral direction. The Y axis is usually along the substrate surface and is perpendicular to the X axis. The Z axis is usually perpendicular to the X-Y plane.

本發明的一些實施例說明如下。第1A至1N圖係一些實施例中,用以形成半導體裝置結構的製程其多種階段的透視圖。在第1A至1N圖中的階段之前、之中、及/或之後可進行額外步驟。在其他實施例中,可置換或省略一些階段。半導體裝置結構可新增額外結構。在其他實施例中,可置換或省略下述的一些結構。 Some embodiments of the invention are explained below. 1A to 1N are perspective views of various stages of a process for forming a semiconductor device structure in some embodiments. Additional steps may be performed before, during, and / or after the stages in Figures 1A to 1N. In other embodiments, some stages may be replaced or omitted. The semiconductor device structure may add additional structures. In other embodiments, some structures described below may be replaced or omitted.

如第1A圖所示,提供半導體基板100。在一些實施例中,半導體基板100為基體半導體基板如半導體晶圓。舉例來說,半導體基板100為矽晶圓。半導體基板100可包含矽或另一半導體元素如鍺。在一些其他實施例中,半導體基板100包含半導體化合物。半導體化合物可包含鍺錫、矽鍺錫、砷化鎵、碳化矽、砷化銦、磷化銦、另一合適的半導體化合物、或上述之組合。 As shown in FIG. 1A, a semiconductor substrate 100 is provided. In some embodiments, the semiconductor substrate 100 is a base semiconductor substrate such as a semiconductor wafer. For example, the semiconductor substrate 100 is a silicon wafer. The semiconductor substrate 100 may include silicon or another semiconductor element such as germanium. In some other embodiments, the semiconductor substrate 100 includes a semiconductor compound. The semiconductor compound may include germanium tin, silicon germanium tin, gallium arsenide, silicon carbide, indium arsenide, indium phosphide, another suitable semiconductor compound, or a combination thereof.

在一些實施例中,半導體基板100包含絕緣層上半導體基板。絕緣層上半導體基板的製作方法可採用晶圓接合製程、矽膜轉移製程、分離佈植氧製程、另一可行方法、或上述 之組合。 In some embodiments, the semiconductor substrate 100 includes a semiconductor substrate on an insulating layer. The manufacturing method of the semiconductor substrate on the insulating layer may adopt a wafer bonding process, a silicon film transfer process, a separation and oxygen implantation process, another feasible method, or a combination thereof.

在一些實施例中,多個區域100A與100B定義於半導體基板100上。區域100A之一者與區域100B之一者圖示於第1A圖中。在一些實施例中,閘極堆疊與接點結構設置以分別形成在區域100A與區域100B中。閘極堆疊與接點結構將詳述於下。區域100A可稱作閘極區,而區域100B可稱作接點區。 In some embodiments, a plurality of regions 100A and 100B are defined on the semiconductor substrate 100. One of the regions 100A and one of the regions 100B are illustrated in FIG. 1A. In some embodiments, the gate stack and the contact structure are arranged to be formed in the region 100A and the region 100B, respectively. The gate stack and contact structure will be detailed below. The region 100A may be referred to as a gate region, and the region 100B may be referred to as a contact region.

如第1A圖所示的一些實施例,堆疊層沉積於區域100A與區域100B中的半導體基板100上。堆疊層包含多個交錯沉積的介電層110與半導體層120。介電層110與半導體層120堆疊於垂直方向的不同水平處。在一些實施例中,最底部的半導體層120覆蓋最底部的介電層110。在一些實施例中,最頂部的介電層110覆蓋最頂部的半導體層120。 In some embodiments shown in FIG. 1A, a stacked layer is deposited on the semiconductor substrate 100 in the regions 100A and 100B. The stacked layer includes a plurality of dielectric layers 110 and semiconductor layers 120 that are alternately deposited. The dielectric layer 110 and the semiconductor layer 120 are stacked at different levels in the vertical direction. In some embodiments, the bottommost semiconductor layer 120 covers the bottommost dielectric layer 110. In some embodiments, the topmost dielectric layer 110 covers the topmost semiconductor layer 120.

雖然第1A圖中的介電層110與半導體層120沿著Z軸的厚度實質上相同,但本發明實施例不限於此。在一些實施例中,介電層110與半導體層120沿著Z軸的厚度不同。介電層110可比半導體層120厚或薄。 Although the thickness of the dielectric layer 110 and the semiconductor layer 120 along the Z axis in FIG. 1A is substantially the same, the embodiment of the present invention is not limited thereto. In some embodiments, the thickness of the dielectric layer 110 and the semiconductor layer 120 along the Z axis are different. The dielectric layer 110 may be thicker or thinner than the semiconductor layer 120.

本發明實施例可具有多種變化及/或調整。在一些其他實施例中,多個介電層110與一半導體層120垂直地堆疊於半導體基板100上。導體層120夾設於介電層110之間。 The embodiments of the present invention may have various changes and / or adjustments. In some other embodiments, a plurality of dielectric layers 110 and a semiconductor layer 120 are vertically stacked on the semiconductor substrate 100. The conductive layer 120 is sandwiched between the dielectric layers 110.

在一些實施例中,介電層110包含氧化物、氮化物、另一合適材料、或上述之組合。舉例來說,介電層110可包含氧化鋁、氧化矽、氮化矽、氮碳化矽、碳氧化矽、或另一合適的介電材料。在一些實施例中,介電層110的沉積方法可採用化學氣相沉積製程、噴塗製程、旋轉塗佈製程、原子層沉 積製程、物理氣相沉積製程、另一可行製程、或上述之組合。 In some embodiments, the dielectric layer 110 includes an oxide, a nitride, another suitable material, or a combination thereof. For example, the dielectric layer 110 may include aluminum oxide, silicon oxide, silicon nitride, silicon nitride carbide, silicon oxycarbide, or another suitable dielectric material. In some embodiments, the dielectric layer 110 can be deposited by a chemical vapor deposition process, a spray coating process, a spin coating process, an atomic layer deposition process, a physical vapor deposition process, another feasible process, or a combination thereof.

在一些實施例中,半導體層120包含矽、鍺、矽鍺、鍺錫、矽鍺錫、或另一合適的半導體材料。在一些實施例中,半導體層120的沉積方法可採用磊晶成長製程。每一半導體層120的沉積方法可採用選擇性磊晶成長製程、化學氣相沉積製程(如氣態磊晶製程、低壓化學氣相沉積製程、及/或超高真空化學氣相沉積製程)、分子束磊晶製程、另一可行製程、或上述之組合。 In some embodiments, the semiconductor layer 120 includes silicon, germanium, silicon germanium, germanium tin, silicon germanium tin, or another suitable semiconductor material. In some embodiments, the semiconductor layer 120 may be deposited by an epitaxial growth process. The deposition method of each semiconductor layer 120 may be a selective epitaxial growth process, a chemical vapor deposition process (such as a gaseous epitaxial process, a low pressure chemical vapor deposition process, and / or an ultra-high vacuum chemical vapor deposition process), a molecule Beam epitaxy process, another feasible process, or a combination of the above.

如第1B圖所示的一些實施例,移除區域100B中的部份介電層110與半導體層120。如此一來,可形成多個溝槽(或凹陷)130於區域100B的介電層110與半導體層120中。溝槽130之一者如第1B圖所示。在一些實施例中,溝槽130穿過介電層110與半導體層120,並露出區域100B中的半導體基板100。 As shown in FIG. 1B, some of the dielectric layer 110 and the semiconductor layer 120 in the region 100B are removed. In this way, a plurality of trenches (or depressions) 130 can be formed in the dielectric layer 110 and the semiconductor layer 120 in the region 100B. One of the grooves 130 is shown in FIG. 1B. In some embodiments, the trench 130 passes through the dielectric layer 110 and the semiconductor layer 120 and exposes the semiconductor substrate 100 in the region 100B.

在一些實施例中,進行多個光微影製程與蝕刻製程以形成溝槽130。在一些實施例中,蝕刻製程包含濕蝕刻製程、乾蝕刻製程、或另一合適的蝕刻製程。在一些實施例中,圖案化的遮罩層(未圖示)形成於最頂部的介電層110上,以幫助形成溝槽130。舉例來說,圖案化的遮罩層覆蓋區域100A並露出部份的區域100B,以定義溝槽130的位置。 In some embodiments, multiple photolithographic processes and etching processes are performed to form the trenches 130. In some embodiments, the etching process includes a wet etching process, a dry etching process, or another suitable etching process. In some embodiments, a patterned masking layer (not shown) is formed on the topmost dielectric layer 110 to help form the trench 130. For example, the patterned mask layer covers the area 100A and exposes a part of the area 100B to define the position of the trench 130.

如第1C圖所示的一些實施例,黏著層140沉積於區域100B中的溝槽130內。在一些實施例中,黏著層140順應性地沉積於溝槽130的側壁與下表面上。在一些實施例中,黏著層140與溝槽130所露出的介電層110及半導體層120的側表面相接。在一些實施例中,黏著層140覆蓋半導體基板100其露出的 部份。 As shown in FIG. 1C, the adhesive layer 140 is deposited in the trenches 130 in the region 100B. In some embodiments, the adhesive layer 140 is compliantly deposited on the sidewall and the lower surface of the trench 130. In some embodiments, the adhesive layer 140 is in contact with the lateral surfaces of the dielectric layer 110 and the semiconductor layer 120 exposed by the trench 130. In some embodiments, the adhesive layer 140 covers an exposed portion of the semiconductor substrate 100.

在一些實施例中,黏著層140包含氧化物如氧化矽、氮化物、另一合適的黏著材料、或上述之組合。在一些實施例中,黏著層140具有多層結構。舉例來說,黏著層140可包含氮化物層與氧化物層。氧化物層夾設於氮化層及半導體層120之間,並夾設於氮化物層與介電層110之間。在一些實施例中,黏著層140的沉積方法可採用化學氣相沉積製程、噴塗製程、旋轉塗佈製程、另一可行製程、或上述之組合。 In some embodiments, the adhesion layer 140 includes an oxide such as silicon oxide, nitride, another suitable adhesion material, or a combination thereof. In some embodiments, the adhesive layer 140 has a multilayer structure. For example, the adhesion layer 140 may include a nitride layer and an oxide layer. The oxide layer is sandwiched between the nitride layer and the semiconductor layer 120, and is sandwiched between the nitride layer and the dielectric layer 110. In some embodiments, the adhesion layer 140 can be deposited by a chemical vapor deposition process, a spray process, a spin coating process, another feasible process, or a combination thereof.

如第1C圖所示的一些實施例,介電層150沉積於區域100B中的溝槽中。如此一來,黏著層140與介電層150一起填滿溝槽130。在一些實施例中,介電層110與半導體層120圍繞黏著層140與介電層150。在一些實施例中,部份的黏著層140夾設於介電層110與介電層150之間。在一些實施例中,部份的黏著層140夾設於半導體層120與介電層150之間。 As in some embodiments shown in FIG. 1C, a dielectric layer 150 is deposited in a trench in the region 100B. In this way, the adhesive layer 140 fills the trench 130 together with the dielectric layer 150. In some embodiments, the dielectric layer 110 and the semiconductor layer 120 surround the adhesive layer 140 and the dielectric layer 150. In some embodiments, a portion of the adhesive layer 140 is sandwiched between the dielectric layer 110 and the dielectric layer 150. In some embodiments, a portion of the adhesive layer 140 is sandwiched between the semiconductor layer 120 and the dielectric layer 150.

在一些實施例中,介電層150包含氧化物、氮化物、另一合適材料、或上述之組合。舉例來說,介電層150可包含氧化鋁、氧化矽、氮化矽、氮碳化矽、碳氧化矽、或另一合適的介電材料。在一些實施例中,介電層150與介電層110的材料不同。 In some embodiments, the dielectric layer 150 includes an oxide, a nitride, another suitable material, or a combination thereof. For example, the dielectric layer 150 may include aluminum oxide, silicon oxide, silicon nitride, silicon nitride carbide, silicon oxycarbide, or another suitable dielectric material. In some embodiments, the materials of the dielectric layer 150 and the dielectric layer 110 are different.

在一些實施例中,介電層150的沉積方法可採用化學氣相沉積製程、噴塗製程、旋轉塗佈製程、原子層沉積製程、物理氣相沉積製程、另一可行製程、或上述之組合。在一些實施例中,沉積的黏著層140與沉積的介電層150覆蓋區域100A與區域100B中最頂部的介電層110(未圖示)。接著進行平坦化 製程,使沉積的黏著層140與沉積的介電層150向下薄化,直到露出最頂部的介電層110。平坦化製程可包含化學機械研磨製程、研磨製程、蝕刻製程、另一可行製程、或上述之組合。 In some embodiments, the dielectric layer 150 can be deposited by a chemical vapor deposition process, a spray coating process, a spin coating process, an atomic layer deposition process, a physical vapor deposition process, another feasible process, or a combination thereof. In some embodiments, the deposited adhesive layer 140 and the deposited dielectric layer 150 cover the topmost dielectric layer 110 (not shown) in the regions 100A and 100B. A planarization process is then performed to thin the deposited adhesive layer 140 and the deposited dielectric layer 150 downward until the topmost dielectric layer 110 is exposed. The planarization process may include a chemical mechanical polishing process, a polishing process, an etching process, another feasible process, or a combination thereof.

如第1D圖所示的一些實施例,圖案化的遮罩層152形成於最頂部的介電層110上。圖案化的遮罩層152覆蓋區域100B,且具有開口154以露出部份的區域100A。開口154的一者如第1D圖所示。 As in some embodiments shown in FIG. 1D, a patterned masking layer 152 is formed on the topmost dielectric layer 110. The patterned masking layer 152 covers the area 100B, and has an opening 154 to expose a part of the area 100A. One of the openings 154 is shown in FIG. 1D.

接著移除開口154露出之區域100A中的介電層110與半導體層120。如此一來,將露出部份的半導體基板100。每一介電層110具有保留的部份110A於區域100A中,且每一半導體層120具有保留的部份120A於區域100A中。區域100A與區域100B之間的邊界以虛線表示,以利了解此結構。在一些實施例中,蝕刻製程用以移除區域100A中部份的介電層110與部份的半導體層120。在蝕刻製程後,移除圖案化的遮罩層152。 Then, the dielectric layer 110 and the semiconductor layer 120 in the area 100A exposed by the opening 154 are removed. As a result, a part of the semiconductor substrate 100 is exposed. Each dielectric layer 110 has a reserved portion 110A in the region 100A, and each semiconductor layer 120 has a reserved portion 120A in the region 100A. The boundary between the region 100A and the region 100B is indicated by a dotted line to facilitate understanding of the structure. In some embodiments, an etching process is used to remove a portion of the dielectric layer 110 and a portion of the semiconductor layer 120 in the region 100A. After the etching process, the patterned masking layer 152 is removed.

如第1E圖所示的一些實施例,移除區域100A中介電層110的部份110A。如此一來,多個溝槽(或凹陷)160形成於區域100A中。溝槽160之一者如第1E圖所示。溝槽160產生之後用以形成介電層的空間。在一些實施例中,溝槽160穿過介電層110與半導體層120,並露出區域100A中的半導體基板100。 In some embodiments shown in FIG. 1E, a portion 110A of the dielectric layer 110 in the region 100A is removed. As such, a plurality of trenches (or depressions) 160 are formed in the region 100A. One of the trenches 160 is shown in FIG. 1E. A space is formed after the trench 160 is used to form a dielectric layer. In some embodiments, the trench 160 passes through the dielectric layer 110 and the semiconductor layer 120 and exposes the semiconductor substrate 100 in the region 100A.

在一些實施例中,以蝕刻製程移除區域100A中介電層110的部份110A。在一些實施例中,移除介電層110的部份110A之蝕刻品,對介電層110與半導體層120之部份120A具有高蝕刻選擇性。如此一來,部份110A的蝕刻速率遠大於部份120A的蝕刻速率。綜上所述,此蝕刻製程可輕易移除部份110A 而不殘留,且未損傷半導體層120。 In some embodiments, a portion 110A of the dielectric layer 110 in the region 100A is removed by an etching process. In some embodiments, removing the etched portion of the portion 110A of the dielectric layer 110 has high etching selectivity to the portion 120A of the dielectric layer 110 and the portion of the semiconductor layer 120. As a result, the etching rate of part 110A is much higher than the etching rate of part 120A. In summary, this etching process can easily remove a portion of the 110A without remaining, and the semiconductor layer 120 is not damaged.

如上所述的一些實施例,介電層150的材料不同於介電層110的材料。在一些實施例中,用以形成溝槽160的蝕刻製程其採用的蝕刻品,對介電層110與介電層150具有夠高的蝕刻選擇性。如此一來,在形成溝槽160的蝕刻製程中,介電層110的蝕刻速率遠大於介電層150的蝕刻速率。舉例來說,一些實施例移除區域100A中的介電層110以形成溝槽160,且實質上不移除區域100B中的介電層150。溝槽160可形成於特定位置以對應區域100B中的介電層150。如此一來,溝槽160位於區域100A中而不形成於區域100B中。綜上所述,高選擇性的蝕刻製程可產生自對準的溝槽160,並可達到溝槽160與區域100A之間的精準對準。 In some embodiments described above, the material of the dielectric layer 150 is different from the material of the dielectric layer 110. In some embodiments, the etched product used in the etching process for forming the trench 160 has sufficiently high etch selectivity for the dielectric layer 110 and the dielectric layer 150. As such, in the etching process for forming the trench 160, the etching rate of the dielectric layer 110 is much higher than the etching rate of the dielectric layer 150. For example, some embodiments remove the dielectric layer 110 in the region 100A to form the trench 160 and substantially do not remove the dielectric layer 150 in the region 100B. The trench 160 may be formed at a specific position to correspond to the dielectric layer 150 in the region 100B. As such, the trench 160 is located in the region 100A and is not formed in the region 100B. In summary, the highly selective etching process can generate self-aligned trenches 160, and can achieve precise alignment between the trenches 160 and the area 100A.

如第1E圖所示的一些實施例,自區域100A移除半導體層120其部份120A下方的介電層110其部份110A。在一些實施例中,實質上沒有介電層110保留於區域100A中,因此半導體層120的部份120A在區域100A中沒有支撐。半導體層120的部份120A懸吊於區域100A中,如第1E圖所示。在一些實施例中,半導體層120的部份120A經由黏著層140,以貼合與固定至區域100B中的介電層150。如此一來,半導體層120的部份120A在後續製程中可免於偏移與彎曲,這些機制將進一步詳述於下。 In some embodiments shown in FIG. 1E, the dielectric layer 110 and a portion 110A of the semiconductor layer 120 and a portion 120A thereof are removed from the region 100A. In some embodiments, substantially no dielectric layer 110 remains in the region 100A, so a portion 120A of the semiconductor layer 120 is not supported in the region 100A. A portion 120A of the semiconductor layer 120 is suspended in the area 100A, as shown in FIG. 1E. In some embodiments, a portion 120A of the semiconductor layer 120 passes through the adhesive layer 140 to adhere to and fix the dielectric layer 150 in the region 100B. In this way, part 120A of the semiconductor layer 120 can be free from offset and bending in subsequent processes, and these mechanisms will be further detailed below.

如第1F圖所示的一些實施例,介電層170沉積於區域100A中的半導體基板100上。介電層170填滿溝槽160。如此一來,區域100A中的半導體層120其部份120A將埋置於介電層 170中。在一些實施例中,介電層170部份地覆蓋半導體層120的部份120A。舉例來說,介電層170覆蓋半導體層120其部份120A的三個表面,而黏著層140與介電層150覆蓋半導體層120其部份120A的一個表面。 As in some embodiments shown in FIG. 1F, a dielectric layer 170 is deposited on the semiconductor substrate 100 in the region 100A. The dielectric layer 170 fills the trench 160. As such, a portion 120A of the semiconductor layer 120 in the region 100A will be buried in the dielectric layer 170. In some embodiments, the dielectric layer 170 partially covers a portion 120A of the semiconductor layer 120. For example, the dielectric layer 170 covers three surfaces of a portion 120A of the semiconductor layer 120, and the adhesive layer 140 and the dielectric layer 150 cover one surface of a portion 120A of the semiconductor layer 120.

在一些實施例中,介電層170包含氧化物、氮化物、另一合適材料、或上述之組合。舉例來說,介電層170可包含氧化鋁、氧化矽、氮化矽、氮碳化矽、碳氧化矽、或另一合適介電材料。在一些實施例中,介電層170與介電層110的材料不同。在一些實施例中,介電層170與介電層150的材料不同。在一些實施例中,介電層110、介電層150、與介電層170的材料選擇需在後續的蝕刻製程中具有夠高的選擇性,此機制將進一步詳述於後。 In some embodiments, the dielectric layer 170 comprises an oxide, a nitride, another suitable material, or a combination thereof. For example, the dielectric layer 170 may include aluminum oxide, silicon oxide, silicon nitride, silicon nitride carbide, silicon oxycarbide, or another suitable dielectric material. In some embodiments, the materials of the dielectric layer 170 and the dielectric layer 110 are different. In some embodiments, the materials of the dielectric layer 170 and the dielectric layer 150 are different. In some embodiments, the material selection of the dielectric layer 110, the dielectric layer 150, and the dielectric layer 170 needs to have sufficient selectivity in the subsequent etching process, and this mechanism will be further detailed later.

在一些實施例中,介電層170的沉積方法可採用化學氣相沉積製程、噴塗製程、旋轉塗佈製程、原子層沉積製程、物理氣相沉積製程、另一可行製程、或上述之組合。如前所述,半導體層120的部份120A經由黏著層140貼合至介電層150。綜上所述,在沉積介電層170時,半導體層120的部份120A不會偏移或彎曲。 In some embodiments, the dielectric layer 170 can be deposited by a chemical vapor deposition process, a spray coating process, a spin coating process, an atomic layer deposition process, a physical vapor deposition process, another feasible process, or a combination thereof. As described above, a portion 120A of the semiconductor layer 120 is bonded to the dielectric layer 150 via the adhesive layer 140. In summary, when the dielectric layer 170 is deposited, the portion 120A of the semiconductor layer 120 is not shifted or bent.

在一些實施例中,之後形成多個溝槽(或凹陷)175於區域100A中的介電層170內。如此一來,可產生空間以用於後續形成閘極堆疊。溝槽175之一者如第1G圖所示。 In some embodiments, a plurality of trenches (or depressions) 175 are then formed within the dielectric layer 170 in the region 100A. In this way, space can be created for the subsequent formation of the gate stack. One of the grooves 175 is shown in FIG. 1G.

在一些實施例中,溝槽175穿過介電層170,並露出區域100A中的半導體基板100。在一些實施例中,溝槽175露出部份的半導體層120的部份120A。在一些實施例中,溝槽 175露出部份的半導體層120的部份120A。在一些實施例中,溝槽175露出區域100B中的部份黏著層140。在一些實施例中,溝槽175之一者的寬度與第1B圖中溝槽130之一者的寬度實質上相同。溝槽175的寬度可沿著與第1B圖中Y軸(或區域100A中的介電層170與區域100B中的介電層110之間的邊界)實質上平行的方向量測。第1B圖所示的溝槽130的寬度可沿著與第B圖中Y軸(或區域100A與區域100B之間的邊界)實質上平行的方向量測。 In some embodiments, the trench 175 penetrates the dielectric layer 170 and exposes the semiconductor substrate 100 in the region 100A. In some embodiments, the trench 175 exposes a portion 120A of the semiconductor layer 120. In some embodiments, the trench 175 exposes a portion 120A of the semiconductor layer 120. In some embodiments, the trench 175 exposes a portion of the adhesive layer 140 in the area 100B. In some embodiments, the width of one of the trenches 175 is substantially the same as the width of one of the trenches 130 in FIG. 1B. The width of the trench 175 can be measured along a direction substantially parallel to the Y axis (or the boundary between the dielectric layer 170 in the region 100A and the dielectric layer 110 in the region 100B) in FIG. The width of the trench 130 shown in FIG. 1B can be measured along a direction substantially parallel to the Y axis (or the boundary between the region 100A and the region 100B) in FIG. B.

溝槽175與溝槽130的尺寸可依需求變化。舉例來說,一些實施例中第1B圖所示的溝槽130之一者的寬度,可大於溝槽175之一者的寬度。如此一來,形成於溝槽130之一者中的介電層150,比後續形成於溝槽175之一者中的閘極堆疊寬。較寬的介電層150可進一步提供電性絕緣於後續形成的閘極堆疊與後續形成的接點結構之間。接點結構將進一步詳述於後。 The dimensions of the trenches 175 and 130 can be changed as required. For example, in some embodiments, the width of one of the trenches 130 shown in FIG. 1B may be greater than the width of one of the trenches 175. As such, the dielectric layer 150 formed in one of the trenches 130 is wider than the gate stack subsequently formed in one of the trenches 175. The wider dielectric layer 150 can further provide electrical insulation between the gate stack formed later and the contact structure formed later. The contact structure will be further detailed later.

在一些實施例中,進行光微影與蝕刻製程以形成溝槽175。在一些實施例中,圖案化的遮罩層(未圖示)用以幫助形成溝槽175。舉例來說,圖案化的遮罩層覆蓋區域100B並露出部份的區域100A,以定義溝槽175的位置。 In some embodiments, a photolithography and etching process is performed to form the trenches 175. In some embodiments, a patterned masking layer (not shown) is used to help form the trench 175. For example, the patterned mask layer covers the area 100B and exposes a part of the area 100A to define the position of the trench 175.

如上所述,一些實施例中介電層170的材料,不同於介電層110與介電層150的材料。在一些實施例中,用以形成溝槽175的蝕刻製程其蝕刻品,對介電層170與介電層110具有夠高的蝕刻選擇性。在一些實施例中,用於形成溝槽175的蝕刻製程其蝕刻品,對介電層170與介電層150具有夠高的蝕刻選擇性。如此一來,在形成溝槽175的蝕刻製程中,介電層170的 蝕刻速率大於介電層100及介電層150的蝕刻速率。 As described above, in some embodiments, the material of the dielectric layer 170 is different from the materials of the dielectric layer 110 and the dielectric layer 150. In some embodiments, the etching process used to form the trench 175 has an etching product that has a sufficiently high etching selectivity for the dielectric layer 170 and the dielectric layer 110. In some embodiments, the etching process used to form the trenches 175 has a sufficiently high etching selectivity for the dielectric layer 170 and the dielectric layer 150. As such, in the etching process for forming the trench 175, the etching rate of the dielectric layer 170 is greater than the etching rates of the dielectric layer 100 and the dielectric layer 150.

舉例來說,一些實施例移除區域110A中部份的介電層170以形成溝槽175,並實質上不移除區域110B中的介電層110與介電層150。溝槽175可形成於特定位置以對應介電層110與介電層150。如此一來,溝槽175位於區域100A中,而不位於區域100B中。綜上所述,高選擇性的蝕刻製程可形成自對準的溝槽175。高選擇性的蝕刻製程不需額外對準溝槽175至區域100A,且可達溝槽175與區域100A之間的精準對準。 For example, some embodiments remove a portion of the dielectric layer 170 in the region 110A to form a trench 175, and substantially do not remove the dielectric layer 110 and the dielectric layer 150 in the region 110B. The trench 175 may be formed at a specific position to correspond to the dielectric layer 110 and the dielectric layer 150. As such, the trench 175 is located in the region 100A, but not in the region 100B. In summary, the highly selective etching process can form a self-aligned trench 175. The highly selective etching process does not require additional alignment of the trench 175 to the area 100A, and precise alignment between the trench 175 and the area 100A can be achieved.

在一些實施例中,具有開口於區域100A中的圖案化的遮罩層(未圖示),可用以定義溝槽175的位置。圖案化的遮罩層覆蓋區域100B中的介電層110與介電層150。圖案化的遮罩層之開口露出區域100A中的介電層170。若圖案化的遮罩層偏移,開口可能露出區域100B中部份的介電層110及/或介電層150。由於形成溝槽175的蝕刻製程實質上不移除介電層110與介電層150,因此溝槽175可形成於區域100A中的特定位置。如此一來,即使定義溝槽175之圖案化的遮罩層產生不想要的偏移,仍可確保溝槽175準確地位於區域100A中。 In some embodiments, a patterned mask layer (not shown) having an opening in the region 100A can be used to define the position of the trench 175. The patterned masking layer covers the dielectric layer 110 and the dielectric layer 150 in the area 100B. The opening of the patterned masking layer exposes the dielectric layer 170 in the area 100A. If the patterned mask layer is offset, the opening may expose a portion of the dielectric layer 110 and / or the dielectric layer 150 in the area 100B. Since the etching process for forming the trench 175 does not substantially remove the dielectric layer 110 and the dielectric layer 150, the trench 175 may be formed at a specific position in the region 100A. In this way, even if the patterned masking layer defining the trenches 175 produces an unwanted offset, it is still ensured that the trenches 175 are accurately located in the region 100A.

在一些實施例中,之後形成多個犧牲(或虛置)的閘極堆疊結構180於介電層170的溝槽175中。閘極堆疊結構180之一者如第1H圖所示。閘極堆疊結構180可置換為其他閘極堆疊,此機制將進一步詳述於後。 In some embodiments, multiple sacrificial (or dummy) gate stack structures 180 are then formed in the trenches 175 of the dielectric layer 170. One of the gate stack structures 180 is shown in FIG. 1H. The gate stack structure 180 can be replaced with other gate stacks, and this mechanism will be further detailed later.

在一些實施例中,閘極堆疊結構180部份地圍繞半導體層120其露出的部份120A。舉例來說,閘極堆疊結構180覆蓋部份120A的三個表面,而黏著層140與介電層150覆蓋部份 120A的一個表面。在一些實施例中,閘極堆疊結構180與區域100B中的黏著層140相接。 In some embodiments, the gate stack structure 180 partially surrounds the exposed portion 120A of the semiconductor layer 120. For example, the gate stack structure 180 covers three surfaces of the portion 120A, and the adhesive layer 140 and the dielectric layer 150 cover one surface of the portion 120A. In some embodiments, the gate stack structure 180 is in contact with the adhesive layer 140 in the region 100B.

如第1H圖所示的一些實施例,閘極堆疊結構180之一者包含犧牲(或虛置)的閘極介電層190與犧牲(或虛置)的閘極200。閘極介電層190與閘極200一起填滿介電層170的溝槽175。在一些實施例中,部份的閘極介電層190夾設於閘極200與黏著層140之間。在一些實施例中,部份閘極介電層190夾設於閘極200與半導體層120其露出的部份120A。 As shown in some embodiments in FIG. 1H, one of the gate stack structures 180 includes a sacrificial (or dummy) gate dielectric layer 190 and a sacrificial (or dummy) gate 200. The gate dielectric layer 190 fills the trench 175 of the dielectric layer 170 together with the gate 200. In some embodiments, a portion of the gate dielectric layer 190 is sandwiched between the gate 200 and the adhesive layer 140. In some embodiments, a portion of the gate dielectric layer 190 is sandwiched between the gate 200 and the exposed portion 120A of the semiconductor layer 120.

在一些實施例中,閘極介電層190之組成為介電材料。舉例來說,閘極介電層190之組成為氧化矽或另一合適材料。在一些實施例中,閘極介電層190的沉積方法可為化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、旋轉塗佈製程、另一可行製程、或上述之組合。在一些實施例中,閘極200的組成為多晶矽或另一合適材料。在一些實施例中,閘極200的沉積方法可為化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、另一可行製程、或上述之組合。 In some embodiments, the composition of the gate dielectric layer 190 is a dielectric material. For example, the composition of the gate dielectric layer 190 is silicon oxide or another suitable material. In some embodiments, the method for depositing the gate dielectric layer 190 may be a chemical vapor deposition process, an atomic layer deposition process, a physical vapor deposition process, a spin coating process, another feasible process, or a combination thereof. In some embodiments, the composition of the gate 200 is polycrystalline silicon or another suitable material. In some embodiments, the deposition method of the gate electrode 200 may be a chemical vapor deposition process, an atomic layer deposition process, a physical vapor deposition process, another feasible process, or a combination thereof.

如第1I圖所示的一些實施例,移除區域100A中的介電層170與區域100B中的介電層110與半導體層120。如此一來,可形成凹陷205。凹陷205露出區域100A與100B中的半導體基板100。在一些實施例中,可進行多個光微影製程與蝕刻製程以形成凹陷205。 In some embodiments shown in FIG. 11, the dielectric layer 170 in the region 100A and the dielectric layer 110 and the semiconductor layer 120 in the region 100B are removed. In this way, a depression 205 can be formed. The recess 205 exposes the semiconductor substrate 100 in the regions 100A and 100B. In some embodiments, multiple photolithography processes and etching processes may be performed to form the recesses 205.

如上所述的一些實施例,可多次圖案化半導體層120。可移除區域100A中部份的半導體層120以形成溝槽160,如第1D圖所示。接著可移除區域100B中的半導體層120以形成 凹陷205,如第1I圖所示。在形成溝槽160與凹陷205後,半導體層120的部份120將保留於區域100A中。如此一來,多次圖案化半導體層120後可形成多個半導體線125於區域100A中。半導體線125亦可稱作奈米線。 In some embodiments as described above, the semiconductor layer 120 may be patterned multiple times. A portion of the semiconductor layer 120 in the region 100A can be removed to form a trench 160, as shown in FIG. 1D. Then, the semiconductor layer 120 in the region 100B can be removed to form a recess 205, as shown in FIG. 11. After the trench 160 and the recess 205 are formed, a portion 120 of the semiconductor layer 120 will remain in the region 100A. In this way, a plurality of semiconductor lines 125 can be formed in the region 100A after the semiconductor layer 120 is patterned multiple times. The semiconductor line 125 may also be referred to as a nanowire.

如第1I圖所示,每一半導體線125具有部份121與122。閘極堆疊結構180覆蓋部份121,而凹陷205露出部份122。半導體線125的部份121可作為場效電晶體的通道區。半導體線125的部份122可作為場效電晶體的源極/汲極區。源極/汲極區可提供應力至通道區。在一些實施例中,半導體線125的部份122不具支撐,因此其將懸吊於區域100A中。 As shown in FIG. 11, each semiconductor line 125 has portions 121 and 122. The gate stack structure 180 covers a portion 121 and the recess 205 exposes a portion 122. The portion 121 of the semiconductor line 125 can be used as a channel region of a field effect transistor. The portion 122 of the semiconductor line 125 can be used as a source / drain region of a field effect transistor. The source / drain region can provide stress to the channel region. In some embodiments, the portion 122 of the semiconductor line 125 is unsupported, so it will hang in the area 100A.

在一些實施例中,區域100A中的閘極堆疊結構180與區域100B中的介電層150位於半導體線125的相反兩側上。不同於介電層150,閘極堆疊180圍繞半導體線125。如此一來,閘極堆疊結構180圍繞部份的半導體線125。閘極堆疊結構180可覆蓋半導體線125的多個表面。在一些實施例中,閘極堆疊結構180覆蓋半導體線125的三個表面。在一些實施例中,介電層150覆蓋半導體線125的一個表面,且此表面直接接觸黏著層140。 In some embodiments, the gate stack structure 180 in the region 100A and the dielectric layer 150 in the region 100B are located on opposite sides of the semiconductor line 125. Unlike the dielectric layer 150, the gate stack 180 surrounds the semiconductor line 125. In this way, the gate stack structure 180 surrounds a portion of the semiconductor lines 125. The gate stack structure 180 may cover multiple surfaces of the semiconductor line 125. In some embodiments, the gate stack structure 180 covers three surfaces of the semiconductor line 125. In some embodiments, the dielectric layer 150 covers a surface of the semiconductor line 125, and this surface directly contacts the adhesive layer 140.

如第1I圖所示的一些實施例,之後形成間隔物單元185於閘極堆疊結構180的側壁上。間隔物單元185圍繞部份的半導體線125。在一些實施例中,在形成間隔物單元185時可露出部份的半導體線125。 In some embodiments shown in FIG. 11, a spacer unit 185 is formed on a sidewall of the gate stack structure 180. The spacer unit 185 surrounds a portion of the semiconductor line 125. In some embodiments, a portion of the semiconductor line 125 may be exposed when the spacer unit 185 is formed.

在一些實施例中,間隔物單元185之組成為介電材料。介電材料可包含氮化矽、氮氧化矽、氮碳化矽、碳化矽、 另一合適的介電材料、或上述之組合。在一些實施例中,介電材料的沉積方法可為化學氣相沉積製程、物理氣相沉積製程、旋轉塗佈製程、另一可行製程、或上述之組合。 In some embodiments, the spacer unit 185 is composed of a dielectric material. The dielectric material may include silicon nitride, silicon oxynitride, silicon nitride carbide, silicon carbide, another suitable dielectric material, or a combination thereof. In some embodiments, the method for depositing the dielectric material may be a chemical vapor deposition process, a physical vapor deposition process, a spin coating process, another feasible process, or a combination thereof.

如第1J圖所示的一些實施例,介電層210沉積於區域100A與區域100B中的半導體基板100上。介電層210填滿凹陷205。如此一來,介電層210圍繞半導體線125、閘極堆疊結構180、與介電層150。介電層210可作為內連線結構的層間介電層。層間介電層的材料可擇以最小化附近的導電結構之間的尺寸、傳輸延遲、與串音。 As shown in FIG. 1J, the dielectric layer 210 is deposited on the semiconductor substrate 100 in the regions 100A and 100B. The dielectric layer 210 fills the recess 205. As such, the dielectric layer 210 surrounds the semiconductor line 125, the gate stack structure 180, and the dielectric layer 150. The dielectric layer 210 may serve as an interlayer dielectric layer of an interconnect structure. The material of the interlayer dielectric layer can be selected to minimize the size, transmission delay, and crosstalk between nearby conductive structures.

在一些實施例中,介電層210包含氧化物、氮化物、另一合適材料、或上述之組合。舉例來說,介電層210可包含氧化鋁、氧化矽、氮化矽、氮碳化矽、碳氧化矽、或另一合適的介電材料。在一些實施例中,介電層210與介電層150的材料不同。介電層210的材料可與介電層110的材料相同或不同。 In some embodiments, the dielectric layer 210 includes an oxide, a nitride, another suitable material, or a combination thereof. For example, the dielectric layer 210 may include aluminum oxide, silicon oxide, silicon nitride, silicon nitride carbide, silicon oxycarbide, or another suitable dielectric material. In some embodiments, the materials of the dielectric layer 210 and the dielectric layer 150 are different. The material of the dielectric layer 210 may be the same as or different from the material of the dielectric layer 110.

在一些實施例中,介電層210的沉積方法可為化學氣相沉積製程、噴塗製程、旋轉塗佈製程、原子層沉積製程、物理氣相沉積製程、另一可行製程、或上述之組合。在一些實施例中,沉積的介電層210覆蓋閘極堆疊結構180與介電層150(未圖示)。接著可進行平坦化製程使沉積的介電層210向下薄化,直到露出閘極堆疊結構180與介電層150。平坦化製程可包含化學機械研磨製程、研磨製程、蝕刻製程、另一可行製程、或上述之組合。 In some embodiments, the method for depositing the dielectric layer 210 may be a chemical vapor deposition process, a spray coating process, a spin coating process, an atomic layer deposition process, a physical vapor deposition process, another feasible process, or a combination thereof. In some embodiments, the deposited dielectric layer 210 covers the gate stack structure 180 and the dielectric layer 150 (not shown). A planarization process may then be performed to thin the deposited dielectric layer 210 downward until the gate stack structure 180 and the dielectric layer 150 are exposed. The planarization process may include a chemical mechanical polishing process, a polishing process, an etching process, another feasible process, or a combination thereof.

如第1K圖所示的一些實施例,移除區域100B中的 部份介電層210。如此一來,可形成多個溝槽(或凹陷)220於區域100B中的介電層210中,以產生空間以用於後續形成的接點電極。接點電極耦接至場效電晶體的源極/汲極區。在一些實施例中,溝槽220露出半導體線125的側表面。在一些實施例中,溝槽220位於介電層150的相反兩側上,且露出黏著層140的側表面142。 In some embodiments shown in FIG. 1K, a portion of the dielectric layer 210 in the region 100B is removed. In this way, a plurality of trenches (or depressions) 220 can be formed in the dielectric layer 210 in the region 100B to generate a space for a contact electrode to be formed later. The contact electrode is coupled to a source / drain region of the field effect transistor. In some embodiments, the trench 220 exposes a side surface of the semiconductor line 125. In some embodiments, the trenches 220 are located on opposite sides of the dielectric layer 150 and expose the side surface 142 of the adhesive layer 140.

在一些實施例中,可進行光微影與蝕刻製程以形成溝槽220。在一些實施例中,形成圖案化的遮罩層(未圖示),以幫助形成溝槽220。舉例來說,圖案化的遮罩層覆蓋區域100A,並露出部份的區域100B以定義溝槽220的位置。 In some embodiments, a photolithography and etching process may be performed to form the trench 220. In some embodiments, a patterned masking layer (not shown) is formed to help form the trench 220. For example, the patterned mask layer covers the area 100A and exposes a part of the area 100B to define the position of the trench 220.

如上所述,一些實施例的介電層210與介電層150的材料不同。在一些實施例中,用以形成溝槽220的蝕刻製程其蝕刻品,對介電層210與介電層150具有夠高的蝕刻選擇性。如此一來,在形成溝槽20的蝕刻製程中,介電層210的蝕刻速率遠大於介電層150的蝕刻速率。 As described above, the materials of the dielectric layer 210 and the dielectric layer 150 are different in some embodiments. In some embodiments, the etched product used in the etching process for forming the trench 220 has a sufficiently high etching selectivity for the dielectric layer 210 and the dielectric layer 150. As such, in the etching process for forming the trench 20, the etching rate of the dielectric layer 210 is much higher than the etching rate of the dielectric layer 150.

舉例來說,一些實施例移除區域100B中的介電層210以形成溝槽220,且實質上不移除介電層150。溝槽220可形成於特定位置以對應介電層150。如此一來,溝槽可位於介電層150的相反兩側上。綜上所述,高選擇性的蝕刻製程產生自對準的溝槽220。高選擇性的蝕刻製程不需額外對準溝槽220以形成於介電層150的相反兩側上。 For example, some embodiments remove the dielectric layer 210 in the region 100B to form the trench 220 without substantially removing the dielectric layer 150. The trench 220 may be formed at a specific position to correspond to the dielectric layer 150. As such, the trenches may be located on opposite sides of the dielectric layer 150. In summary, the highly selective etch process produces self-aligned trenches 220. The highly selective etching process does not require additional alignment of the trenches 220 to be formed on opposite sides of the dielectric layer 150.

在一些實施例中,具有開口於區域100B中的圖案化的遮罩層(未圖示)用以定義溝槽220的位置。圖案化的遮罩層覆蓋區域100B中的介電層以及區域100A。圖案化的遮罩層其 開口可露出區域100B中的介電層210。若圖案化的遮罩層偏移,開口將露出區域100B中的部份介電層150。由於用以形成溝槽220的蝕刻製程實質上不移除介電層150,因此溝槽220將形成於特定位置以對應介電層150。如此一來,溝槽220可精準地位於介電層150的相反兩側上。即使定義溝槽220之位置之圖案化的遮罩層具有不想要的偏移,仍可確保溝槽220精準地形成於預定的位置。 In some embodiments, a patterned masking layer (not shown) having an opening in the region 100B is used to define the position of the trench 220. The patterned masking layer covers the dielectric layer in the region 100B and the region 100A. The opening of the patterned masking layer may expose the dielectric layer 210 in the region 100B. If the patterned mask layer is offset, the opening will expose a portion of the dielectric layer 150 in the area 100B. Since the etching process used to form the trench 220 does not substantially remove the dielectric layer 150, the trench 220 will be formed at a specific position to correspond to the dielectric layer 150. As such, the trenches 220 can be accurately located on opposite sides of the dielectric layer 150. Even if the patterned masking layer defining the position of the trench 220 has an unwanted offset, it is still ensured that the trench 220 is accurately formed at a predetermined position.

在形成溝槽220之後可進行一或多個額外步驟225。在一些實施例中,額外步驟225包含磊晶成長製程。在一些實施例中,在第1K圖所示的結構上進行磊晶成長製程。如此一來,在磊晶成長製程後的場效電晶體其源極/汲極區可擴大。磊晶成長製程可包含選擇性磊晶成長製程、化學氣相沉積製程(比如氣相磊晶製程、低壓化學氣相沉積製程、及/或超高真空化學氣相沉積製程)、分子束磊晶製程、另一可行製程、或上述之組合。 One or more additional steps 225 may be performed after the trench 220 is formed. In some embodiments, the additional step 225 includes an epitaxial growth process. In some embodiments, an epitaxial growth process is performed on the structure shown in FIG. 1K. In this way, the source / drain region of the field effect transistor after the epitaxial growth process can be enlarged. The epitaxial growth process may include a selective epitaxial growth process, a chemical vapor deposition process (such as a vapor epitaxial process, a low pressure chemical vapor deposition process, and / or an ultra-high vacuum chemical vapor deposition process), and a molecular beam epitaxial process. Process, another feasible process, or a combination of the above.

舉例來說,在磊晶成長至程中可沉積包覆層於溝槽220露出的部份半導體線125上。如此一來,半導體線125與其上的包覆層可一起形成場效電晶體的源極/汲極區。在一些實施例中,包覆層包含矽、鍺、矽鍺、鍺錫、矽鍺錫、或另一合適半導體材料。包覆層與半導體線125可包含不同或相同的材料。 For example, during epitaxial growth, a cladding layer may be deposited on a portion of the semiconductor line 125 exposed by the trench 220. In this way, the semiconductor line 125 and the cladding layer thereon can form a source / drain region of the field effect transistor together. In some embodiments, the cladding layer comprises silicon, germanium, silicon germanium, germanium tin, silicon germanium tin, or another suitable semiconductor material. The cladding layer and the semiconductor line 125 may include different or the same materials.

雖然第1K圖所示之半導體線125具有矩形或方形的剖面輪廓,但本發明實施例並不限於此。在一些其他實施例中,半導體線125的剖面輪廓較圓潤,或可為圓形、鑽石形、 或另一形狀。 Although the semiconductor line 125 shown in FIG. 1K has a rectangular or square cross-sectional profile, the embodiment of the present invention is not limited thereto. In some other embodiments, the cross-sectional profile of the semiconductor wire 125 is rounded, or may be circular, diamond-shaped, or another shape.

在一些實施例中,額外步驟225包含熱處理。舉例來說,在第1K圖所示的結構上進行熱處理如回火製程,以改變半導體線125的形狀。在熱處理中,半導體線125中的原子可重排。在一些實施例中,原子重排導致半導體線125的角落圓潤化。如此一來,半導體線125可具有弧狀表面。 In some embodiments, the additional step 225 includes heat treatment. For example, a heat treatment such as a tempering process is performed on the structure shown in FIG. 1K to change the shape of the semiconductor wire 125. During the heat treatment, the atoms in the semiconductor wire 125 may be rearranged. In some embodiments, the rearrangement of atoms causes the corners of the semiconductor line 125 to be rounded. As such, the semiconductor line 125 may have an arc-shaped surface.

在一些實施例中,額外步驟225包含在第1K圖所示的結構上進行磊晶成長製程與熱處理。本發明實施例可具有許多變化及/或調整。不同實施例可置換或省略上述的一些額外步驟225。 In some embodiments, the additional step 225 includes performing an epitaxial growth process and heat treatment on the structure shown in FIG. 1K. Embodiments of the invention may have many variations and / or adjustments. Different embodiments may replace or omit some of the additional steps 225 described above.

如第1L圖所示的一些實施例,多個矽化物結構230形成於溝槽220中。矽化物結構230覆蓋半導體線125的露出表面。矽化物結構230可降低接點電阻並增加場效電晶體的源極/汲極區之導電性。如此一來,可形成用於電性連接的合適接點區域。 As shown in FIG. 1L, a plurality of silicide structures 230 are formed in the trench 220. The silicide structure 230 covers the exposed surface of the semiconductor line 125. The silicide structure 230 can reduce the contact resistance and increase the conductivity of the source / drain regions of the field effect transistor. In this way, a suitable contact area can be formed for electrical connection.

在一些實施例中,矽化物結構230包含金屬材料。金屬材料可包含鈦、鎳、鈷、或另一合適材料。在一些實施例中,矽化物結構230包含金屬材料與半導體線125的半導體材料之組合。舉例來說,矽化物結構230可包含鈦矽、鎳矽、或鈷矽。在一些實施例中,金屬材料的沉積方法可為物理氣相沉積製程、化學氣相沉積製程、另一可用製程、或上述之組合。 In some embodiments, the silicide structure 230 includes a metal material. The metallic material may include titanium, nickel, cobalt, or another suitable material. In some embodiments, the silicide structure 230 includes a combination of a metal material and a semiconductor material of the semiconductor line 125. For example, the silicide structure 230 may include titanium silicon, nickel silicon, or cobalt silicon. In some embodiments, the metal material deposition method may be a physical vapor deposition process, a chemical vapor deposition process, another available process, or a combination thereof.

在一些實施例中,矽化物結構230的形成方法為自對準的矽化製程。舉例來說,可先順應性地沉積金屬材料於溝槽220中。接著可進行回火使金屬材料擴散至半導體線125。如 此一來,矽化物結構230形成於半導體線125的露出表面。回火製程不會使金屬材料擴散至介電層(如介電層210),因此不會有矽化物形成於介電層上。在回火製程後,可進行清潔處理以移除金屬材料的未擴散部份。保留的矽化物結構230將自對準半導體線125的露出表面。矽化物結構230可稱作自對準的矽化物結構。 In some embodiments, the silicide structure 230 is formed by a self-aligned silicide process. For example, the metal material may be deposited in the trench 220 compliantly. Tempering may then be performed to diffuse the metal material to the semiconductor lines 125. As such, the silicide structure 230 is formed on the exposed surface of the semiconductor line 125. The tempering process does not cause the metal material to diffuse to the dielectric layer (such as the dielectric layer 210), so no silicide is formed on the dielectric layer. After the tempering process, a cleaning process may be performed to remove undiffused portions of the metal material. The remaining silicide structure 230 will self-align the exposed surface of the semiconductor line 125. The silicide structure 230 may be referred to as a self-aligned silicide structure.

矽化製程不需額外對準矽化物結構230與半導體線125,即可在矽化物結構230與半導體線125之間達到自發性對準。在一些實施例中,矽化物結構230的形成方法不需微影圖案化製程。 The silicidation process does not require additional alignment of the silicide structure 230 and the semiconductor line 125, so that spontaneous alignment can be achieved between the silicide structure 230 and the semiconductor line 125. In some embodiments, the method of forming the silicide structure 230 does not require a lithographic patterning process.

如第1M圖所示的一些實施例,多個接點結構240形成於溝槽220中。接點結構240填滿溝槽220。如此一來,矽化物結構230與接點結構240一起形成接點電極,以耦接至場效電晶體的源極/汲極區。 As shown in FIG. 1M, a plurality of contact structures 240 are formed in the trench 220. The contact structure 240 fills the trench 220. In this way, the silicide structure 230 and the contact structure 240 together form a contact electrode to be coupled to the source / drain region of the field effect transistor.

在一些實施例中,矽化物結構230夾設於接點結構240與半導體線125之間。每一接點結構240經由矽化物結構230電性連接至半導體線125。在一些實施例中,接點結構240直接接觸間隔物單元185。在一些實施例中,接點結構240直接接觸黏著層140。在一些實施例中,介電層150自黏著層140沿著接點結構240延伸。 In some embodiments, the silicide structure 230 is sandwiched between the contact structure 240 and the semiconductor line 125. Each contact structure 240 is electrically connected to the semiconductor line 125 through the silicide structure 230. In some embodiments, the contact structure 240 directly contacts the spacer unit 185. In some embodiments, the contact structure 240 directly contacts the adhesive layer 140. In some embodiments, the dielectric layer 150 extends from the adhesive layer 140 along the contact structure 240.

在一些實施例中,接點結構240包含導電材料,比如鎢、銅、鋁、或另一合適的導電材料。在一些實施例中,導電材料的沉積方法可為原子層沉積製程、物理氣相沉積製程、化學氣相沉積製程、電鍍製程、無電電鍍製程、另一可行製程、 或上述之組合。在一些實施例中,沉積的導電材料超出溝槽220並覆蓋介電層210。接著可進行平坦化製程直到露出介電層210,即移除多餘的導電材料。如此一來,保留於溝槽220中的部份導電材料形成接點結構240。平坦化製程可包含化學機械研磨製程、研磨製程、蝕刻製程、另一可行製程、或上述之組合。 In some embodiments, the contact structure 240 comprises a conductive material, such as tungsten, copper, aluminum, or another suitable conductive material. In some embodiments, the method for depositing the conductive material may be an atomic layer deposition process, a physical vapor deposition process, a chemical vapor deposition process, an electroplating process, an electroless plating process, another feasible process, or a combination thereof. In some embodiments, the deposited conductive material extends beyond the trench 220 and covers the dielectric layer 210. Then, a planarization process may be performed until the dielectric layer 210 is exposed, that is, excess conductive material is removed. In this way, a portion of the conductive material remaining in the trench 220 forms the contact structure 240. The planarization process may include a chemical mechanical polishing process, a polishing process, an etching process, another feasible process, or a combination thereof.

如第1N圖所示的一些實施例,以閘極堆疊結構250置換閘極堆疊結構180。在一些實施例中,閘極堆疊結構180的移除方法可為濕蝕刻製程、乾蝕刻製程、另一可行製程、或上述之組合。如此一來,將挖空區域100A中的介電層210內的溝槽245。之後可形成包含閘極介電層260與金屬閘極270的閘極堆疊結構250於溝槽245中。閘極介電層260與金屬閘極270將進一步詳述於後。間隔物單元185與閘極堆疊結構250之側壁相接。閘極堆疊結構250可稱作金屬閘極堆疊結構。 As shown in FIG. 1N, the gate stack structure 250 is replaced with the gate stack structure 250. In some embodiments, the method for removing the gate stack structure 180 may be a wet etching process, a dry etching process, another feasible process, or a combination thereof. As a result, the trenches 245 in the dielectric layer 210 in the area 100A are hollowed out. A gate stack structure 250 including a gate dielectric layer 260 and a metal gate 270 may be formed in the trench 245 afterwards. The gate dielectric layer 260 and the metal gate 270 will be further detailed later. The spacer unit 185 is connected to a sidewall of the gate stack structure 250. The gate stack structure 250 may be referred to as a metal gate stack structure.

在一些實施例中,閘極堆疊結構250電性連接至半導體線125。在一些實施例中,閘極堆疊結構250與介電層150之間隔有黏著層140。在一些實施例中,閘極介電層260夾設於金屬閘極270與介電層210之間,以及夾設於金屬閘極270與黏著層140之間。在一些實施例中,閘極堆疊結構250以及黏著層140之間的界面,與介電層210以及接點結構240之間的界面實質上共平面,如第1N圖所示。 In some embodiments, the gate stack structure 250 is electrically connected to the semiconductor line 125. In some embodiments, an adhesion layer 140 is provided between the gate stack structure 250 and the dielectric layer 150. In some embodiments, the gate dielectric layer 260 is sandwiched between the metal gate 270 and the dielectric layer 210, and is sandwiched between the metal gate 270 and the adhesive layer 140. In some embodiments, the interface between the gate stack structure 250 and the adhesive layer 140 and the interface between the dielectric layer 210 and the contact structure 240 are substantially coplanar, as shown in FIG. 1N.

如第1N圖所示的一些實施例,自區域100A與區域100B之間的邊界,沿著X軸延伸於區域100B中的接點結構240、黏著層140、以及介電層150,如第1N圖所示。接點結構 240位於介電層150的相反兩側上,並與介電層150重疊。閘極堆疊結構250自黏著層140沿著X軸延伸於區域100A中。由於閘極堆疊結構250未延伸於區域100B中,區域100A中的閘極堆疊結構250不會與區域100B中的接點結構240重疊。 As shown in FIG. 1N, some embodiments extend from the boundary between the region 100A and the region 100B along the X-axis to the contact structure 240, the adhesive layer 140, and the dielectric layer 150 in the region 100B. As shown. The contact structure 240 is located on opposite sides of the dielectric layer 150 and overlaps the dielectric layer 150. The gate stack structure 250 extends from the adhesive layer 140 in the area 100A along the X axis. Since the gate stack structure 250 does not extend in the region 100B, the gate stack structure 250 in the region 100A does not overlap with the contact structure 240 in the region 100B.

在一些實施例中,閘極介電層260包含高介電常數介電層。高介電常數介電層之組成可為氧化鉿、氧化鋯、氧化鋁、氮氧化矽、氧化鉿-氧化鋁合金、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、另一合適的高介電常數材料、或上述之組合。在一些實施例中,閘極介電層260的沉積方法可為原子層沉積製程、化學氣相沉積製程、旋轉塗佈製程、另一可行製程、或上述之組合。在一些實施例中,可進行高溫回火步驟以降低或消除閘極介電層260中的缺陷。 In some embodiments, the gate dielectric layer 260 includes a high dielectric constant dielectric layer. The composition of the high-k dielectric layer can be hafnium oxide, zirconia, alumina, silicon oxynitride, hafnium oxide-aluminum oxide, hafnium oxide, hafnium oxide, hafnium oxide, tantalum oxide, titanium oxide, hafnium oxide Zirconium, another suitable high dielectric constant material, or a combination thereof. In some embodiments, the deposition method of the gate dielectric layer 260 may be an atomic layer deposition process, a chemical vapor deposition process, a spin coating process, another feasible process, or a combination thereof. In some embodiments, a high temperature tempering step may be performed to reduce or eliminate defects in the gate dielectric layer 260.

在一些實施例中,閘極介電層260包含界面層(未圖示),其與半導體線125相鄰。界面層可用以降低高介電常數材料層與半導體線125之間的應力。在一些實施例中,界面層之組成為氧化矽。在一些實施例中,界面層的形成方法可為原子層沉積製程、熱氧化製程、另一可行製程、或上述之組合。在一些其他實施例中,閘極介電層260不含界面層。在一些實施例中,閘極介電層260直接接觸半導體線125。 In some embodiments, the gate dielectric layer 260 includes an interface layer (not shown), which is adjacent to the semiconductor line 125. The interface layer can be used to reduce the stress between the high dielectric constant material layer and the semiconductor line 125. In some embodiments, the interface layer is composed of silicon oxide. In some embodiments, the method for forming the interface layer may be an atomic layer deposition process, a thermal oxidation process, another feasible process, or a combination thereof. In some other embodiments, the gate dielectric layer 260 does not include an interface layer. In some embodiments, the gate dielectric layer 260 directly contacts the semiconductor line 125.

閘極堆疊結構250的閘極270,可包含金屬閘極堆疊層於閘極介電層260上。在一些實施例中,金屬閘極270可包含一或多個功函數層與一或多個金屬填充層。舉例來說,一些實施例的金屬閘極270包含阻障層272、功函數層274、黏結層276、與金屬填充層278,如第1N圖所示。不同實施例可置換或 省略一些金屬閘極堆疊層。金屬閘極堆疊250的金屬閘極270可具有額外層狀物。 The gate 270 of the gate stacked structure 250 may include a metal gate stacked layer on the gate dielectric layer 260. In some embodiments, the metal gate 270 may include one or more work function layers and one or more metal filling layers. For example, the metal gate 270 of some embodiments includes a barrier layer 272, a work function layer 274, a bonding layer 276, and a metal filling layer 278, as shown in FIG. 1N. Different embodiments may replace or omit some metal gate stacks. The metal gate 270 of the metal gate stack 250 may have additional layers.

如第1N圖所示的一些實施例,阻障層272位於閘極介電層260與功函數層274之間。阻障層272可避免閘極介電層260與功函數層274之間的擴散。在一些實施例中,阻障層272包含氮化鈦、氮化鉭、另一合適材料、或上述之組合。 In some embodiments shown in FIG. 1N, the barrier layer 272 is located between the gate dielectric layer 260 and the work function layer 274. The barrier layer 272 can prevent diffusion between the gate dielectric layer 260 and the work function layer 274. In some embodiments, the barrier layer 272 includes titanium nitride, tantalum nitride, another suitable material, or a combination thereof.

功函數層274可提供電晶體所需的功函數,以提升裝置效能如改善其臨界電壓。在形成n型電晶體的實施例中,功函數層274可為n型金屬層,其可提供適用於裝置的功函數。功函數值可實質上等於或小於約4.5eV。n型金屬層可包含金屬、金屬碳化物、金屬氮化物、其他合適材料、或上述之組合。舉例來說,n型金屬層包含氮化鈦、鉭、氮化鉭、另一合適材料、或上述之組台。 The work function layer 274 can provide a work function required by the transistor to improve device performance, such as improving its threshold voltage. In an embodiment in which an n-type transistor is formed, the work function layer 274 may be an n-type metal layer, which may provide a work function suitable for a device. The work function value may be substantially equal to or less than about 4.5 eV. The n-type metal layer may include a metal, a metal carbide, a metal nitride, other suitable materials, or a combination thereof. For example, the n-type metal layer includes titanium nitride, tantalum, tantalum nitride, another suitable material, or a combination thereof.

另一方面,在形成p型電晶體的實施例中,功函數層274可為p型金屬層,其可提供適用於裝置的功函數。功函數值可實質上等於或大於約4.8eV。p型金屬層可包含金屬、金屬碳化物、金屬氮化物、其他合適材料、或上述之組合。舉例來說,p型金屬層包含氮化鉭、氮化鎢、鈦、氮化鈦、其他合適材料、或上述之組合。 On the other hand, in an embodiment in which a p-type transistor is formed, the work function layer 274 may be a p-type metal layer, which may provide a work function suitable for a device. The work function value may be substantially equal to or greater than about 4.8 eV. The p-type metal layer may include a metal, a metal carbide, a metal nitride, other suitable materials, or a combination thereof. For example, the p-type metal layer includes tantalum nitride, tungsten nitride, titanium, titanium nitride, other suitable materials, or a combination thereof.

本發明實施例可具有多種變化及/或調整。在一些其他實施例中,功函數層274包含鉿、鋯、鋁、金屬碳化物(比如碳化鉿、碳化鋯、碳化鈦、或碳化鋁)、釕、鈀、鉑、鈷、鎳、或上述之組合。可微調功函數層274的厚度及/或組成,以調整功函數等級。 The embodiments of the present invention may have various changes and / or adjustments. In some other embodiments, the work function layer 274 includes hafnium, zirconium, aluminum, metal carbides (such as hafnium carbide, zirconium carbide, titanium carbide, or aluminum carbide), ruthenium, palladium, platinum, cobalt, nickel, or the foregoing combination. The thickness and / or composition of the work function layer 274 may be fine-tuned to adjust the work function level.

如第1N圖所示的一些實施例,黏結層276位於功函數層274與金屬填充層278之間。黏結層276可增加功函數層274與金屬填充層278之間的黏結力。如此一來,可避免金屬填充層278剝離或分層。在一些實施例中,黏結層276包含氮化鉭、氮化鈦、另一合適材料、或上述之組合。 In some embodiments shown in FIG. 1N, the bonding layer 276 is located between the work function layer 274 and the metal filling layer 278. The bonding layer 276 can increase the bonding force between the work function layer 274 and the metal filling layer 278. In this way, peeling or delamination of the metal filling layer 278 can be avoided. In some embodiments, the bonding layer 276 includes tantalum nitride, titanium nitride, another suitable material, or a combination thereof.

金屬填充層278提供功函數層274與後續形成之導電通孔之間的電性連接。導電通孔耦接至金屬填充層278。在一些實施例中,金屬填充層278包含鋁、鎢、銅、金、鉑、鈷、另一合適的金屬材料、或上述之組合。 The metal filling layer 278 provides an electrical connection between the work function layer 274 and a conductive via formed later. The conductive via is coupled to the metal filling layer 278. In some embodiments, the metal filling layer 278 includes aluminum, tungsten, copper, gold, platinum, cobalt, another suitable metal material, or a combination thereof.

在一些實施例中,這些金屬閘極堆疊層(如阻障層272、功函數層274、黏結層276、與金屬填充層278)的沉積方法可為原子層沉積製程、物理氣相沉積製程、化學氣相沉積製程、電鍍製程、無電電鍍製程、另一可行製程、或上述之組合。沉積的閘極介電層260與沉積的金屬閘極堆疊層一起填滿溝槽245。之後可移除超出溝槽245的部份閘極介電層260與金屬閘極堆疊層(未圖示)。位於溝槽245中的金屬閘極堆疊層形成金屬閘極270。如此一來,保留於溝槽245中的閘極介電層260與金屬閘極270一起形成閘極堆疊結構250。可進行平坦化製程以移除超出溝槽245的部份閘極層260與金屬閘極堆疊層。平坦化製程可包含化學機械研磨製程、研磨製程、蝕刻製程、另一可行製程、或上述之組合。 In some embodiments, the deposition method of these metal gate stacked layers (such as the barrier layer 272, the work function layer 274, the adhesive layer 276, and the metal filling layer 278) may be an atomic layer deposition process, a physical vapor deposition process, Chemical vapor deposition process, electroplating process, electroless plating process, another feasible process, or a combination thereof. The deposited gate dielectric layer 260 fills the trench 245 together with the deposited metal gate stack. After that, a part of the gate dielectric layer 260 and the metal gate stacked layer (not shown) beyond the trench 245 can be removed. The metal gate stacked layer in the trench 245 forms a metal gate 270. In this way, the gate dielectric layer 260 remaining in the trench 245 forms a gate stack structure 250 together with the metal gate 270. A planarization process may be performed to remove a portion of the gate layer 260 and the metal gate stacked layer beyond the trench 245. The planarization process may include a chemical mechanical polishing process, a polishing process, an etching process, another feasible process, or a combination thereof.

如第1O圖所示的一些實施例,介電層280沉積於區域100A與區域100B中的介電層210上。介電層280覆蓋接點結構240與閘極堆疊結構250。介電層280可作為內連線結構的金 屬間介電層。 As shown in FIG. 10, a dielectric layer 280 is deposited on the dielectric layer 210 in the regions 100A and 100B. The dielectric layer 280 covers the contact structure 240 and the gate stack structure 250. The dielectric layer 280 may function as an intermetallic dielectric layer of an interconnect structure.

在一些實施例中,介電層280之組成可為氧化矽、氮氧化矽、硼矽酸鹽玻璃、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、氟化矽酸鹽玻璃、低介電常數材料、孔洞狀的介電材料、另一合適的介電材料、或上述之組合。介電層280的材料可擇以最小化附近的導電結構之間的尺寸、傳輸延遲、與串音。在一些實施例中,介電層280的沉積方法可為化學氣相沉積製程、旋轉塗佈製程、噴塗製程、原子層沉積製程、物理氣相沉積製程、另一可行製程、或上述之組合。 In some embodiments, the composition of the dielectric layer 280 may be silicon oxide, silicon oxynitride, borosilicate glass, phosphosilicate glass, borophosphosilicate glass, fluorinated silicate glass, low dielectric Constant material, hole-shaped dielectric material, another suitable dielectric material, or a combination thereof. The material of the dielectric layer 280 can be selected to minimize the size, transmission delay, and crosstalk between nearby conductive structures. In some embodiments, the method for depositing the dielectric layer 280 may be a chemical vapor deposition process, a spin coating process, a spray process, an atomic layer deposition process, a physical vapor deposition process, another feasible process, or a combination thereof.

如第1O圖所示的一些實施例,多個開口290形成於介電層280中。區域100A與區域100B中的開口290可分別露出接點結構240與閘極堆疊結構250。在一些實施例中,進行光微影與蝕刻製程以形成開口290。 As in some embodiments shown in FIG. 10, a plurality of openings 290 are formed in the dielectric layer 280. The openings 290 in the regions 100A and 100B may expose the contact structure 240 and the gate stack structure 250, respectively. In some embodiments, a photolithography and etching process is performed to form the opening 290.

之後如第1P圖所示,導電材料292沉積於介電層280上,以填入開口290。在一些實施例中,導電材料292包含銅、鋁、鎢、鈦、鎳、金、鉑、另一合適材料、或上述之組合。在一些實施例中,導電材料292的沉積方法可為化學氣相沉積製程、物理氣相沉積製程、電鍍製程、無電電鍍製程、另一可行製程、或上述之組合。 Thereafter, as shown in FIG. 1P, a conductive material 292 is deposited on the dielectric layer 280 to fill the opening 290. In some embodiments, the conductive material 292 comprises copper, aluminum, tungsten, titanium, nickel, gold, platinum, another suitable material, or a combination thereof. In some embodiments, the method for depositing the conductive material 292 may be a chemical vapor deposition process, a physical vapor deposition process, an electroplating process, an electroless plating process, another feasible process, or a combination thereof.

接著可進行平坦化製程以移除超出開口290的部份導電材料292。如此一來,保留於開口290中的部份導電材料292形成多個導電通孔294於介電層280中,如第1Q圖所示。導電通孔294穿過介電層280。一些導電通孔294電性連接至接點結構240。一些導電通孔294電性連接至閘極堆疊結構250。 A planarization process may then be performed to remove a portion of the conductive material 292 beyond the opening 290. As a result, a portion of the conductive material 292 remaining in the opening 290 forms a plurality of conductive vias 294 in the dielectric layer 280, as shown in FIG. 1Q. The conductive via 294 passes through the dielectric layer 280. Some conductive vias 294 are electrically connected to the contact structure 240. Some conductive vias 294 are electrically connected to the gate stack structure 250.

接著可形成一或多個介電層與導電結構於介電層280與導電通孔294上,以繼續形成內連線結構。導電結構可包含導電線路、導電通孔、及/或其他合適的導電結構。多種裝置單元如場效電晶體,可經由內連線結構彼此內連線,以形成積體電路裝置。 One or more dielectric layers and conductive structures may then be formed on the dielectric layer 280 and the conductive vias 294 to continue forming interconnect structures. The conductive structure may include conductive lines, conductive vias, and / or other suitable conductive structures. Various device units, such as field effect transistors, can be interconnected with each other via an interconnect structure to form an integrated circuit device.

本發明實施例可具有許多變化及/或調整。舉例來說,用以形成接點電極的溝槽不限於第1K圖所示的溝槽220。在一些其他實施例中,移除區域100A與100B中部份的介電層210。如此一來,溝槽220’形成於區域100B中的介電層210中,並延伸至區域100A中,如第2圖所示。在一些實施例中,溝槽220’露出半導體線125的多個表面。舉例來說,可移除位於半導體線125之間及半導體線125之頂部上的介電層210。如此一來,溝槽220’露出半導體線125的側表面、上表面、與下表面,如第2圖所示。 Embodiments of the invention may have many variations and / or adjustments. For example, the trenches used to form the contact electrodes are not limited to the trenches 220 shown in FIG. 1K. In some other embodiments, a portion of the dielectric layer 210 in the regions 100A and 100B is removed. As such, the trench 220 'is formed in the dielectric layer 210 in the region 100B and extends into the region 100A, as shown in FIG. 2. In some embodiments, the trench 220 'exposes multiple surfaces of the semiconductor line 125. For example, the dielectric layer 210 between the semiconductor lines 125 and on top of the semiconductor lines 125 may be removed. In this way, the trench 220 'exposes the side surface, the upper surface, and the lower surface of the semiconductor line 125, as shown in FIG.

第3A與3B圖係一些實施例中,半導體裝置結構的剖視圖。在一些實施例中,第3A圖係半導體裝置結構沿著第1Q圖中線段I-I’的剖視圖。在一些實施例中,第3B圖係半導體裝置結構沿著第1Q圖中線段II-II’的剖視圖。如第3A與3B圖所示的一些實施例,區域100A中的每一半導體線125包含通道區125A與源極/汲極區125B。 3A and 3B are cross-sectional views of a semiconductor device structure in some embodiments. In some embodiments, FIG. 3A is a cross-sectional view of the semiconductor device structure along line I-I 'in FIG. 1Q. In some embodiments, FIG. 3B is a cross-sectional view of the semiconductor device structure along line II-II 'in FIG. 1Q. As shown in FIGS. 3A and 3B, each semiconductor line 125 in the region 100A includes a channel region 125A and a source / drain region 125B.

如第3A圖所示的一些實施例,區域100A中的閘極堆疊結構250包覆部份的通道區125A。如第3A圖所示的一些實施例,區域100B中的黏著層140在X-Z平面中,係夾設於區域100A中的通道區125A與區域100B中的介電層150之間。 As shown in FIG. 3A, the gate stack structure 250 in the region 100A covers a portion of the channel region 125A. As shown in FIG. 3A, the adhesive layer 140 in the region 100B is sandwiched between the channel region 125A in the region 100A and the dielectric layer 150 in the region 100B in the X-Z plane.

在一些實施例中,閘極堆疊結構250圍繞部份的通道區125A。在一些實施例中,閘極堆疊結構250覆蓋通道區125A的多個表面,而黏著層140與介電層150覆蓋通道區125A的一個表面。以第3A圖為例,黏著層140覆蓋通道區125A的一個表面S1,而閘極堆疊結構250覆蓋通道區125A的三個表面S2、S3、與S4。如此一來,在X-Z平面中的黏著層140截斷閘極堆疊結構250與通道區125A之間的界面。在一些實施例中,X-Z平面中的閘極堆疊結構250之一者、黏著層140、與介電層150一起圍繞通道區125A。如第3A圖所示。 In some embodiments, the gate stack structure 250 surrounds a portion of the channel region 125A. In some embodiments, the gate stack structure 250 covers multiple surfaces of the channel region 125A, and the adhesive layer 140 and the dielectric layer 150 cover one surface of the channel region 125A. Taking FIG. 3A as an example, the adhesive layer 140 covers one surface S 1 of the channel region 125A, and the gate stack structure 250 covers three surfaces S 2 , S 3 , and S 4 of the channel region 125A. As such, the adhesive layer 140 in the XZ plane cuts off the interface between the gate stack structure 250 and the channel region 125A. In some embodiments, one of the gate stack structures 250 in the XZ plane, the adhesive layer 140, and the dielectric layer 150 surround the channel region 125A. As shown in Figure 3A.

在一些實施例中,區域100A中的介電層210包覆源極/汲極區125B,如第3B圖所示。在一些實施例中,X-Z平面中的矽化物結構230之一者,夾設於區域100A中的源極/汲極區125B與區域100B中的接點結構240之間,如第3B圖所示。 In some embodiments, the dielectric layer 210 in the region 100A covers the source / drain region 125B, as shown in FIG. 3B. In some embodiments, one of the silicide structures 230 in the XZ plane is sandwiched between the source / drain region 125B in the region 100A and the contact structure 240 in the region 100B, as shown in FIG. 3B .

在一些實施例中,接點電極包含矽化物結構230與接點結構240,其與源極/汲極區125B相接但未圍繞源極/汲極區125B,如第3B圖所示。在一些實施例中,矽化物結構230與接點結構240覆蓋源極/汲極區125B的一個表面,而介電層210覆蓋源極/汲極區125B的多個表面。以第3B圖為例,介電層210覆蓋源極/汲極區125B的三個表面S6、S7、與S8,而未覆蓋源極/汲極區125B的表面S5。在一些實施例中,X-Z平面中的介電層210、矽化物結構230、與接點結構240一起圍繞源極/汲極區125B,如第3B圖所示。 In some embodiments, the contact electrode includes a silicide structure 230 and a contact structure 240, which are in contact with the source / drain region 125B but do not surround the source / drain region 125B, as shown in FIG. 3B. In some embodiments, the silicide structure 230 and the contact structure 240 cover one surface of the source / drain region 125B, and the dielectric layer 210 covers multiple surfaces of the source / drain region 125B. Taking FIG. 3B as an example, the dielectric layer 210 covers three surfaces S 6 , S 7 , and S 8 of the source / drain region 125B, but does not cover the surface S 5 of the source / drain region 125B. In some embodiments, the dielectric layer 210, the silicide structure 230, and the contact structure 240 surround the source / drain region 125B in the XZ plane, as shown in FIG. 3B.

第4圖係一些實施例中,半導體裝置結構的透視圖。第4圖所示的結構400A與第1Q、3A、與3B圖所示的結構相 同。在第4圖中,以虛線標示結構400A的一些結構,並省略阻障層272、功函數層274、黏結層276、與金屬填充層278。結構400A可稱作電晶體結構。 FIG. 4 is a perspective view of a semiconductor device structure in some embodiments. The structure 400A shown in Fig. 4 is the same as the structures shown in Figs. 1Q, 3A, and 3B. In FIG. 4, some structures of the structure 400A are indicated by dashed lines, and the barrier layer 272, the work function layer 274, the adhesive layer 276, and the metal filling layer 278 are omitted. The structure 400A may be referred to as a transistor structure.

如第4圖所示的一些實施例,閘極堆疊結構250與接點結構240自半導體線125沿著X軸朝相反方向延伸。在一些實施例中,閘極堆疊結構250沿著方向X1延伸於區域100A中,且不橫越區域100A與區域100B之間的邊界。在一些實施例中,接點結構240沿著方向X2延伸於區域100B中,且不橫越區域100A與區域100B之間的邊界。方向X1與方向X2相反。如此一來,閘極堆疊結構250與接點結構240實質上平行於X軸,且彼此未橫向地重疊。在一些實施例中,閘極堆疊結構250與接點結構240交錯。 As shown in some embodiments in FIG. 4, the gate stack structure 250 and the contact structure 240 extend from the semiconductor line 125 along the X axis in opposite directions. In some embodiments, the gate stack structure 250 extends in the region 100A along the direction X 1 and does not cross the boundary between the region 100A and the region 100B. In some embodiments, the contact structure 240 extends in the area 100B along the direction X 2 and does not cross the boundary between the area 100A and the area 100B. The direction X 1 is opposite to the direction X 2 . In this way, the gate stack structure 250 and the contact structure 240 are substantially parallel to the X axis and do not overlap each other laterally. In some embodiments, the gate stack structure 250 is interleaved with the contact structure 240.

在一些實施例中,閘極堆疊結構250圍繞部份的半導體線125。舉例來說,閘極堆疊結構250環繞半導體線125的三個表面。在一些實施例中,接點結構240覆蓋半導體線125的源極/汲極區125B。在一些實施例中,接點結構240覆蓋的半導體線125表面,其與閘極堆疊結構250覆蓋的半導體線125表面不共平面。在一些實施例中,接點結構240覆蓋的半導體線125表面,與閘極堆疊結構250覆蓋的半導體線125表面反向設置。 In some embodiments, the gate stack structure 250 surrounds a portion of the semiconductor lines 125. For example, the gate stack structure 250 surrounds three surfaces of the semiconductor line 125. In some embodiments, the contact structure 240 covers the source / drain region 125B of the semiconductor line 125. In some embodiments, the surface of the semiconductor line 125 covered by the contact structure 240 is not coplanar with the surface of the semiconductor line 125 covered by the gate stack structure 250. In some embodiments, the surface of the semiconductor line 125 covered by the contact structure 240 is opposite to the surface of the semiconductor line 125 covered by the gate stack structure 250.

本發明實施例具有許多變化及/或調整。第5圖係一些實施例中,半導體裝置結構的透視圖。第5圖係一些實施例中,半導體裝置結構的透視圖。第5圖所示的結構400B與第6圖所示的結構400C中,以虛線標示一些結構以利了解。在一些實施例中,第1A至1Q、3A、與3B圖所示的半導體裝置結構其 材料及/或形成方法,亦可用於第5與6圖所示的實施例,因此不重複說明。結構400B與400C與第4圖所示的結構400A類似。 The embodiment of the present invention has many variations and / or adjustments. FIG. 5 is a perspective view of a semiconductor device structure in some embodiments. FIG. 5 is a perspective view of a semiconductor device structure in some embodiments. In the structure 400B shown in FIG. 5 and the structure 400C shown in FIG. 6, some structures are indicated by dashed lines to facilitate understanding. In some embodiments, the materials and / or formation methods of the semiconductor device structures shown in FIGS. 1A to 1Q, 3A, and 3B can also be used in the embodiments shown in FIGS. 5 and 6, and therefore the description is not repeated. The structures 400B and 400C are similar to the structure 400A shown in FIG. 4.

如第5圖所示的一些實施例,延伸於區域100B中的接點結構240橫越區域100A與區域100B之間的邊界。舉例來說,接點結構240圍繞部份的半導體線125。在一些實施例中,接點結構240圍繞半導體線125的三個表面。如此一來,閘極堆疊結構250與接點結構240沿著X軸延伸並彼此平行,且橫向地彼此少量重疊。在一些實施例中,接點結構240未覆蓋的半導體線125表面,與閘極堆疊結構250未覆蓋的半導體線125表面反向設置且未共平面。 As in some embodiments shown in FIG. 5, the contact structure 240 extending in the area 100B traverses the boundary between the area 100A and the area 100B. For example, the contact structure 240 surrounds a portion of the semiconductor line 125. In some embodiments, the contact structure 240 surrounds three surfaces of the semiconductor line 125. In this way, the gate stack structure 250 and the contact structure 240 extend along the X axis and are parallel to each other, and overlap each other in a small amount laterally. In some embodiments, the surface of the semiconductor line 125 not covered by the contact structure 240 is opposite to the surface of the semiconductor line 125 not covered by the gate stack structure 250 and is not coplanar.

在一些實施例中,矽化物結構230延伸橫越區域100A與區域100B之間的邊界,且圍繞部份的半導體線125。在一些實施例中,矽化物結構230與半導體線125的源極/汲極區125B為不連續或不連接。 In some embodiments, the silicide structure 230 extends across the boundary between the region 100A and the region 100B and surrounds a portion of the semiconductor line 125. In some embodiments, the silicide structure 230 is discontinuous or disconnected from the source / drain region 125B of the semiconductor line 125.

如第6圖所示的一些實施例中,接點結構240延伸橫越區域100A與區域100B之間的邊界,且連續地圍繞半導體線125。在一些實施例中,接點結構240並未沿著閘極堆疊結構250延伸。如此一來,閘極堆疊結構250與接點結構240沿著X軸延伸且彼此實質上平行,並橫向地彼此少量重疊。 In some embodiments shown in FIG. 6, the contact structure 240 extends across the boundary between the region 100A and the region 100B and continuously surrounds the semiconductor line 125. In some embodiments, the contact structure 240 does not extend along the gate stack structure 250. In this way, the gate stack structure 250 and the contact structure 240 extend along the X axis and are substantially parallel to each other, and overlap each other in a small amount laterally.

在一些實施例中,矽化物結構230延伸橫越區域100A與區域100B之間的邊界,並連續地圍繞半導體線125。在一些實施例中,矽化物結構230與半導體線125的源極/汲極區125B之間為連續界面。 In some embodiments, the silicide structure 230 extends across the boundary between the region 100A and the region 100B and continuously surrounds the semiconductor line 125. In some embodiments, the silicide structure 230 and the source / drain region 125B of the semiconductor line 125 are continuous interfaces.

在第5與6圖所示的實施例中,自區域100B延伸至 區域100A中的部份接點結構240,與區域100A中的閘極堆疊結構250橫向地重疊。如此一來,閘極堆疊結構250與接點結構240之間的寄生電容可大幅降低。 In the embodiment shown in FIGS. 5 and 6, a portion of the contact structure 240 extending from the region 100B to the region 100A overlaps the gate stack structure 250 in the region 100A laterally. In this way, the parasitic capacitance between the gate stack structure 250 and the contact structure 240 can be greatly reduced.

另一方面,在第4圖所示的實施例中,閘極堆疊結構250與接點結構並未橫向地彼此重疊。如此一來,可大幅消除區域100A與區域100B中閘極堆疊結構250與接點結構240之間的寄生電容。綜上所述,可抑制半導體裝置結構中閘極至接點的寄生電容。如此一來,可降低半導體裝置結構所需的功率,甚至可進一步改善半導體裝置結構的操作速度。 On the other hand, in the embodiment shown in FIG. 4, the gate stack structure 250 and the contact structure do not overlap each other laterally. In this way, the parasitic capacitance between the gate stack structure 250 and the contact structure 240 in the regions 100A and 100B can be substantially eliminated. In summary, the parasitic capacitance from the gate to the contact in the semiconductor device structure can be suppressed. In this way, the power required by the semiconductor device structure can be reduced, and the operating speed of the semiconductor device structure can be further improved.

在一些實施例中,半導體裝置結構包含多個單元的陣列,且單元含有一或多個電晶體結構。每一電晶體結構包含通道區、源極/汲極區、閘極堆疊結構、與接點電極。第7圖係一些實施例中,半導體裝置結構的透視圖。為了簡化及清楚說明,第7圖以單元之一者(如單元300)為例。單元300的邊界以虛線標示,以利了解其結構。 In some embodiments, the semiconductor device structure includes an array of a plurality of cells, and the cells include one or more transistor structures. Each transistor structure includes a channel region, a source / drain region, a gate stack structure, and a contact electrode. FIG. 7 is a perspective view of a semiconductor device structure in some embodiments. In order to simplify and explain clearly, FIG. 7 uses one of the units (such as unit 300) as an example. The boundary of the unit 300 is marked with a dashed line to facilitate understanding of its structure.

如第7圖所示的一些實施例,單元300中的多個半導體線125與125’沿著X軸配置成線。在一些實施例中,閘極堆疊結構250沿著Y軸配置成線。在一些實施例中,多個閘極結構252與252’位於半導體線125與125’之間,且位於閘極堆疊結構250之線的兩側上。 As in some embodiments shown in FIG. 7, the plurality of semiconductor lines 125 and 125 'in the cell 300 are arranged in a line along the X axis. In some embodiments, the gate stack structure 250 is configured in a line along the Y-axis. In some embodiments, the plurality of gate structures 252 and 252 'are located between the semiconductor lines 125 and 125' and on both sides of the line of the gate stack structure 250.

每一閘極堆疊結構250圍繞部份的半導體線125與125’。閘極堆疊結構250、252與252’未覆蓋每一半導體線125的表面S1與每一半導體線125’的表面S1’。在一些實施例中,半導體線125的表面S1與半導體線125’的表面S1’對向設置且彼此 遠離,如第7圖所示。 Each gate stack structure 250 surrounds a portion of the semiconductor lines 125 and 125 '. Gate stack structure 250, 252 and 252 'of each line of the semiconductor surface S 1 125 and 125 of each semiconductor lines uncovered' surfaces S 1 '. In some embodiments, the surface S 1 of the semiconductor line 125 and the surface S 1 ′ of the semiconductor line 125 ′ are disposed opposite to each other and away from each other, as shown in FIG. 7.

在一些實施例中,多個接點結構240與240’沿著Y軸配置成線。每一接點結構240覆蓋半導體線125,但未圍繞半導體線125。每一接點結構240’覆蓋半導體線125’,但未圍繞半導體線125’。然而本發明實施例不限於此。接點結構240與240’可分別圍繞半導體線125與125’。 In some embodiments, the plurality of contact structures 240 and 240 'are arranged in a line along the Y axis. Each contact structure 240 covers the semiconductor line 125 but does not surround the semiconductor line 125. Each contact structure 240 'covers the semiconductor line 125', but does not surround the semiconductor line 125 '. However, the embodiment of the present invention is not limited thereto. The contact structures 240 and 240 'may surround the semiconductor lines 125 and 125', respectively.

在一些實施例中,接點結構240與240’與閘極堆疊結構250、252、與252’交錯。在一些實施例中,閘極堆疊結構250、252、與252’沿著X軸延伸並實質上平行於接點結構240與240’,但未與接點結構240與240’重疊。在一些實施例中,接點結構240沿著X軸中的方向X1延伸,即朝著遠離閘極堆疊結構250、252、與252’及半導體線125的方向延伸,如第7圖所示。接點結構240’沿著X軸中的方向X2延伸,即朝著遠離閘極堆疊結構250、252、與252’及半導體線125’的方向延伸。方向X2與方向X1相反。如此一來,接點結構240未覆蓋半導體線125的表面S7,接點結構240’未覆蓋半導體線125’的表面S7’,且表面S7面對表面S7’。 In some embodiments, the contact structures 240 and 240 'are staggered with the gate stack structures 250, 252, and 252'. In some embodiments, the gate stack structures 250, 252, and 252 'extend along the X axis and are substantially parallel to the contact structures 240 and 240', but do not overlap with the contact structures 240 and 240 '. In some embodiments, the contact structure 240 extends along the direction X 1 in the X axis, that is, the contact structure 240 extends away from the gate stack structures 250, 252, and 252 ′ and the semiconductor line 125, as shown in FIG. 7. . The contact structure 240 ′ extends along a direction X 2 in the X axis, that is, a direction away from the gate stack structures 250, 252, and 252 ′ and the semiconductor line 125 ′. The direction X 2 is opposite to the direction X 1 . As such, the contact structure 240 does not cover the surface S 7 of the semiconductor line 125, the contact structure 240 ′ does not cover the surface S 7 ′ of the semiconductor line 125 ′, and the surface S 7 faces the surface S 7 ′.

在一些實施例中,接點結構240與240’之間隔有距離D1。半導體線125與125’之間隔有距離D2。如第7圖所示的一些實施例,距離D1大於距離D2。在一些實施例中,距離D1與延伸於半導體線125與125’之間的閘極堆疊結構250之一者的長度實質上相同。本發明實施例不限於此。在一些實施例中,距離D1小於或實質上等於距離D2In some embodiments, the contact structures 240 and 240 'are separated by a distance D 1 . There is a distance D 2 between the semiconductor lines 125 and 125 ′. As in some embodiments shown in FIG. 7, the distance D 1 is greater than the distance D 2 . In some embodiments, the distance D1 is substantially the same as the length of one of the gate stack structures 250 extending between the semiconductor lines 125 and 125 '. The embodiments of the present invention are not limited thereto. In some embodiments, the distance D 1 is less than or substantially equal to the distance D 2 .

在一些實施例中,沒有接點結構延伸於閘極堆疊 結構250之間。如此一來,可增加閘極堆疊結構250的尺寸以符合需求。舉例來說,可沿著Y軸定義閘極堆疊結構250的寬度。由於閘極堆疊結構250的寬度增加,因此閘極堆疊結構250可覆蓋半導體125的更多區域。如此一來,可提供較寬通道區(如第3A圖所示的通道區125A)。 In some embodiments, no contact structure extends between the gate stack structures 250. In this way, the size of the gate stack structure 250 can be increased to meet requirements. For example, the width of the gate stack structure 250 may be defined along the Y-axis. Since the width of the gate stack structure 250 is increased, the gate stack structure 250 may cover more areas of the semiconductor 125. In this way, a wider channel region can be provided (such as the channel region 125A shown in FIG. 3A).

在一些實施例中,沒有閘極堆疊結構250延伸於兩個接點結構240或240’之間。如此一來,可增加接點結構240或240’的尺寸以符合需求。舉例來說,可沿著Y軸定義接點結構240或240’的寬度。由於接點結構240或240’的寬度增加,溝槽(如第1K圖所示的溝槽220)可具有較低深寬比。上述溝槽可產生空間於介電層210中,之後可用以形成接點結構240或240’。綜上所述,較易形成接點結構240或240’。 In some embodiments, no gate stack structure 250 extends between two contact structures 240 or 240 '. In this way, the size of the contact structure 240 or 240 'can be increased to meet requirements. For example, the width of the contact structure 240 or 240 'may be defined along the Y-axis. As the width of the contact structure 240 or 240 'increases, the trench (such as the trench 220 shown in FIG. 1K) may have a lower aspect ratio. The above-mentioned trenches can create spaces in the dielectric layer 210 and can be used later to form the contact structures 240 or 240 '. In summary, it is easier to form the contact structure 240 or 240 '.

在其他實施例中,可增加閘極堆疊結構250、接點結構240與240’、以及半導體線125與125’的數目以符合需求。舉例來說,可增加閘極堆疊結構250、接點結構240與240’、以及半導體線125與125’的數目,以形成更多電晶體於半導體裝置結構中。 In other embodiments, the number of gate stack structures 250, contact structures 240 and 240 ', and semiconductor lines 125 and 125' can be increased to meet requirements. For example, the number of gate stack structures 250, contact structures 240 and 240 ', and semiconductor lines 125 and 125' can be increased to form more transistors in the semiconductor device structure.

在一些實施例中,多個n型場效電晶體或p型場效電晶體設置以形成於單元300中。以第7圖為例,單元300包含多個第4圖所示的結構400A。然而本發明實施例不限於此。在一些其他實施例中,單元300包含多個第5圖所示的結構400B,多個第6圖所示的結構400C、或其他合適的電晶體結構。第1A至1Q圖所示的半導體裝置結構其材料及/或形成方法,亦可用於第7圖所示的實施例,因此不重複說明。半導體裝置結構的 一些結構並未圖示於第7圖中,以利理解此結構。 In some embodiments, a plurality of n-type field effect transistors or p-type field effect transistors are disposed to be formed in the cell 300. Taking FIG. 7 as an example, the unit 300 includes a plurality of structures 400A shown in FIG. 4. However, the embodiment of the present invention is not limited thereto. In some other embodiments, the unit 300 includes a plurality of structures 400B shown in FIG. 5, a plurality of structures 400C shown in FIG. 6, or other suitable transistor structures. Materials and / or formation methods of the semiconductor device structure shown in FIGS. 1A to 1Q can also be used in the embodiment shown in FIG. 7, and therefore description thereof will not be repeated. Some structures of the semiconductor device structure are not shown in FIG. 7 to facilitate understanding of the structure.

第7圖顯示多個結構400A。一般而言,每一結構400A包含一個半導體線125或125’、一個閘極堆疊結構250、以及兩個接點結構240或240’。然而在一些實施例中,第7圖之左側上的兩個結構400A共用半導體線125的相同源極/汲極區,以及相同的接點結構240。綜上所述,三個接點結構240(而非四個接點結構240)位於第7圖的左側上。第7圖左側上的兩個結構400A彼此部份重疊。 FIG. 7 shows a plurality of structures 400A. Generally, each structure 400A includes a semiconductor line 125 or 125 ', a gate stack structure 250, and two contact structures 240 or 240'. However, in some embodiments, the two structures 400A on the left side of FIG. 7 share the same source / drain region of the semiconductor line 125 and the same contact structure 240. In summary, three contact structures 240 (rather than four contact structures 240) are located on the left side of FIG. 7. The two structures 400A on the left side of FIG. 7 partially overlap each other.

同樣地在一些實施例中,第7圖右側上的兩個結構400A共用半導體線125’的相同源極/汲極區,以及相同的接點結構240’。綜上所述,三個接點結構240’(而非四個接點結構240’)位於第7圖之右側上。第7圖右側上的兩個結構400A彼此部份重疊。 Also in some embodiments, the two structures 400A on the right side of FIG. 7 share the same source / drain region of the semiconductor line 125 ', and the same contact structure 240'. In summary, three contact structures 240 '(instead of four contact structures 240') are located on the right side of FIG. The two structures 400A on the right side of FIG. 7 partially overlap each other.

如第7圖所示的一些實施例,四個結構400A與四個閘極堆疊結構252與252’形成單元300。單元300中的閘極堆疊結構252與252’(以粗體標示)分別物理地與電性地連接至半導體線125與125’。閘極堆疊結構252與252’彼此分開。分開的閘極堆疊結構252與252’可用以定義單元300的邊界(以虛線標示)。 As in some embodiments shown in FIG. 7, four structures 400A and four gate stacked structures 252 and 252 'form a unit 300. The gate stack structures 252 and 252 '(indicated in bold) in the unit 300 are physically and electrically connected to the semiconductor lines 125 and 125', respectively. The gate stack structures 252 and 252 'are separated from each other. The separate gate stack structures 252 and 252 'can be used to define the boundary of the cell 300 (indicated by dashed lines).

雖然第7圖顯示單元300含有四個電晶體的結構400A,本發明實施例並不限於此。在一些其他實施例中,半導體裝置結構包含的單元可具有少於四個或多於四個電晶體結構。 Although FIG. 7 shows a structure 400A in which the unit 300 includes four transistors, the embodiment of the present invention is not limited thereto. In some other embodiments, the semiconductor device structure may include cells having less than four or more than four transistor structures.

第8題係一些實施例中,半導體裝置結構的透視 圖。如第8圖所示,單元300、310、與320組成1×3的陣列。單元300、310、與320的邊界以虛線標示,以利理解此結構。在一些實施例中,多個互補式場效電晶體(包含n型與p型場效電晶體)設置以形成於單元300、310、與320中。在一些其他實施例中,只有n型場效電晶體或p型場效電晶體設置以形成於單元300、310、與320中。 Question 8 is a perspective view of the structure of a semiconductor device in some embodiments. As shown in FIG. 8, the cells 300, 310, and 320 form a 1 × 3 array. The boundaries of the units 300, 310, and 320 are marked with dashed lines to facilitate understanding of the structure. In some embodiments, a plurality of complementary field effect transistors (including n-type and p-type field effect transistors) are provided to be formed in the cells 300, 310, and 320. In some other embodiments, only n-type field effect transistors or p-type field effect transistors are provided to be formed in cells 300, 310, and 320.

單元310與320的設置與前述單元300的設置類似或實質上相同,因此不重複說明。如第8圖所示的一些實施例,單元300、310、與320沿著X軸配置。單元310位於單元300與320之間。在一些實施例中,單元300中的接點結構240’之一者,與單元310中的接點結構240之一者彼此相連(以粗體線標示於第8圖中)。單元310中的接點結構240’之一者,與單元320中的接點結構240之一者彼此相連(以粗體線標示於第8圖中)。然而本發明實施例不限於此。在一些其他實施例中,單元310中的接點結構240’與單元320中的接點結構240分開。 The settings of the units 310 and 320 are similar to or substantially the same as the settings of the aforementioned unit 300, and thus the description is not repeated. As in some embodiments shown in FIG. 8, the units 300, 310, and 320 are arranged along the X axis. Unit 310 is located between units 300 and 320. In some embodiments, one of the contact structures 240 'in the unit 300 and one of the contact structures 240 in the unit 310 are connected to each other (indicated by bold lines in FIG. 8). One of the contact structures 240 'in the unit 310 and one of the contact structures 240 in the unit 320 are connected to each other (indicated by a bold line in FIG. 8). However, the embodiment of the present invention is not limited thereto. In some other embodiments, the contact structure 240 'in the unit 310 is separate from the contact structure 240 in the unit 320.

如第8圖所示的一些實施例,單元310中的閘極堆疊結構250並未延伸至單元300與320中。如此一來,單元310中的閘極堆疊結構250,與單元300及320中的閘極堆疊結構250分開。如第8圖所示的一些實施例,單元300中的閘極堆疊結構250與單元310中的閘極堆疊結構250之間隔有距離D3。一些實施例中的多個接點結構240與240’,配置於單元300中的半導體線125’與單元310中的半導體線125之間。單元300中的接點結構240’與單元310中的接點結構240之間隔有距離D4,如第8圖所示。在一些實施例中,在一些實施例中,距離D3大於距離D4。 在一些實施例中,距離D3與單元300中的半導體線125’以及單元310中的半導體線125之間的距離實質上相同。 As shown in some embodiments in FIG. 8, the gate stack structure 250 in the cell 310 does not extend into the cells 300 and 320. In this way, the gate stack structure 250 in the cells 310 is separated from the gate stack structure 250 in the cells 300 and 320. As shown in some embodiments in FIG. 8, the gate stack structure 250 in the unit 300 and the gate stack structure 250 in the unit 310 are separated by a distance D 3 . The plurality of contact structures 240 and 240 ′ in some embodiments are disposed between the semiconductor line 125 ′ in the cell 300 and the semiconductor line 125 in the cell 310. The distance between the contact structure 240 ′ in the unit 300 and the contact structure 240 in the unit 310 is D 4 , as shown in FIG. 8. In some embodiments, in some embodiments, the distance D 3 is greater than the distance D 4 . In some embodiments, the distance D 3 is substantially the same as the distance between the semiconductor line 125 ′ in the cell 300 and the semiconductor line 125 in the cell 310.

雖然第8圖顯示單元的1×3陣列,但本發明不限於此。在一些其他實施例中,半導體裝置結構可包含任何合適的單元陣列。舉例來說,一些其他實施例中不具有單元320。如此一來,半導體裝置結構包含單元300與310的1×2陣列。在一些其他實施例中,第四單元可形成於單元320之外側,以形成單元的1×4陣列。第四單元可與單元300、310、與320實質上相同。第四單元中的接點結構可與單元320中的接點結構240’相連或不相連。 Although FIG. 8 shows a 1 × 3 array of cells, the present invention is not limited thereto. In some other embodiments, the semiconductor device structure may include any suitable array of cells. For example, unit 320 is not provided in some other embodiments. In this way, the semiconductor device structure includes a 1 × 2 array of cells 300 and 310. In some other embodiments, the fourth cell may be formed outside the cell 320 to form a 1 × 4 array of cells. The fourth unit may be substantially the same as the units 300, 310, and 320. The contact structure in the fourth unit may or may not be connected to the contact structure 240 'in the unit 320.

本發明實施例可具有許多變化及/或調整。舉例來說,雖然第8圖顯示一列的單元300、310、與320,但本發明其他實施例可包含單元的多列。第9圖係一些實施例中,半導體裝置結構的透視圖。以第9圖為例,單元300、301、310、與311形成2×2陣列。在一些實施例中,單元301以及311的設置,分別與單元300以及單元310的設置類似或實質上相同。如此一來,單元301與311的設置已說明於第7與8圖的實施例中,因此不重複說明。 Embodiments of the invention may have many variations and / or adjustments. For example, although FIG. 8 shows a column of cells 300, 310, and 320, other embodiments of the present invention may include multiple columns of cells. FIG. 9 is a perspective view of a semiconductor device structure in some embodiments. Taking FIG. 9 as an example, the cells 300, 301, 310, and 311 form a 2 × 2 array. In some embodiments, the settings of the units 301 and 311 are similar to or substantially the same as the settings of the units 300 and 310, respectively. In this way, the settings of the units 301 and 311 have been described in the embodiments of FIGS. 7 and 8, and therefore will not be described repeatedly.

如第9圖所示的一些實施例,單元300與310沿著X軸排列成列,且單元301與311沿著X軸排列成另一列。在一些實施例中,共同的半導體線125位於單元300與301中。更特別的是,單元300與301中的半導體線125連接至閘極堆疊結構252(以粗體標示於第9圖之左側),且閘極堆疊結構252覆蓋半導體線125。單元300與301共用相同的半導體線125。 In some embodiments shown in FIG. 9, the units 300 and 310 are arranged in a row along the X axis, and the units 301 and 311 are arranged in another row along the X axis. In some embodiments, a common semiconductor line 125 is located in the cells 300 and 301. More specifically, the semiconductor lines 125 in the cells 300 and 301 are connected to the gate stack structure 252 (labeled in bold on the left side of FIG. 9), and the gate stack structure 252 covers the semiconductor lines 125. The cells 300 and 301 share the same semiconductor line 125.

同樣地,單元300與301共用相同的半導體線215’。單元300與301中的半導體線125’連接至閘極堆疊結構252’(以粗體標示於第9圖之左側),且閘極堆疊結構252’覆蓋半導體線125’。在一些實施例中,單元310以及311之間的連接,與單元300以及301之間的連接類似或實質上相同。如此一來,單元310與311之間的連接已說明如上,因此不重複說明。 Similarly, the cells 300 and 301 share the same semiconductor line 215 '. The semiconductor lines 125 'in the cells 300 and 301 are connected to the gate stack structure 252' (labeled in bold on the left side of FIG. 9), and the gate stack structure 252 'covers the semiconductor line 125'. In some embodiments, the connection between the units 310 and 311 is similar or substantially the same as the connection between the units 300 and 301. In this way, the connection between the units 310 and 311 has been described as above, so the description is not repeated.

在一些實施例中,兩個閘極堆疊結構252與252’(以粗體標示於第9圖之左側)彼此分開。分開的閘極堆疊結構252與252’沿著單元310與311之間的邊界延伸。上述邊界以虛線標示於第9圖中。分開的閘極堆疊結構252與252’可定義單元300與301之間的邊界。同樣地,兩個閘極堆疊結構252與252’(以粗體標示於第9圖之右側)彼此分開。分開的閘極堆疊結構252與252’沿著單元310與311之間的邊界延伸。分開的閘極堆疊結構252與252’可定義單元310與311之間的邊界。 In some embodiments, the two gate stack structures 252 and 252 '(shown in bold on the left side of FIG. 9) are separated from each other. The separate gate stack structures 252 and 252 'extend along the boundary between the cells 310 and 311. The above boundary is indicated by a dotted line in FIG. 9. The separate gate stack structures 252 and 252 'may define a boundary between the cells 300 and 301. Similarly, the two gate stack structures 252 and 252 '(shown in bold on the right side of FIG. 9) are separated from each other. The separate gate stack structures 252 and 252 'extend along the boundary between the cells 310 and 311. The separate gate stack structures 252 and 252 'may define a boundary between the cells 310 and 311.

本發明實施例可具有許多變化及/或調整。舉例來說,雖然第1A至1Q、2、3A、3B、與4至9圖顯示的半導體裝置結構具有奈米線與金屬閘極堆疊,但本發明實施例不限於此。本發明一些其他實施例包含的半導體裝置結構具有奈米線與多晶矽閘極堆疊,或另一合適的半導體裝置結構。 Embodiments of the invention may have many variations and / or adjustments. For example, although the semiconductor device structures shown in FIGS. 1A to 1Q, 2, 3A, 3B, and 4 to 9 have nanowires and metal gate stacks, the embodiments of the present invention are not limited thereto. Some other embodiments of the present invention include semiconductor device structures having nanowires and polycrystalline silicon gate stacks, or another suitable semiconductor device structure.

本發明實施例形成具有奈米線的半導體裝置結構。半導體裝置結構包含電性連接至奈米線的閘極堆疊結構與接點電極。閘極堆疊結構圍繞部份奈米線。接點電極與閘極堆疊結構自奈米線朝相反方向延伸,因此可減少或實質上消除閘極堆疊結構與接點電極的重疊區域。如此一來,可緩解閘極堆 疊結構與接點電極之間的寄生電容。此外,可大幅降低半導體裝置結構中閘極至接點的寄生電容。因此可降低半導體裝置結構所需的功率,並增進半導體裝置結構的效能。本發明實施例可應用至小尺寸的低功率裝置。 Embodiments of the present invention form a semiconductor device structure having a nanowire. The semiconductor device structure includes a gate stack structure and a contact electrode electrically connected to the nanowire. The gate stack structure surrounds a portion of the nanowire. The contact electrode and gate electrode stack structure extends in the opposite direction from the nanowire, so the overlapping area between the gate electrode stack structure and the contact electrode electrode can be reduced or substantially eliminated. In this way, the parasitic capacitance between the gate stack structure and the contact electrodes can be alleviated. In addition, the gate-to-contact parasitic capacitance in the semiconductor device structure can be greatly reduced. Therefore, the power required by the semiconductor device structure can be reduced, and the efficiency of the semiconductor device structure can be improved. The embodiments of the present invention can be applied to small-sized low-power devices.

在一些實施例中,提供半導體裝置結構。半導體裝置結構包括第一介電層。半導體裝置結構亦包含閘極堆疊結構於第一介電層中。半導體裝置結構更包含半導體線,且閘極堆疊結構圍繞部份的半導體線。此外,半導體裝置結構包含接點電極於第一介電層中,且接點電極電性連接至半導體線。接點電極與閘極堆疊結構自半導體線朝相反方向延伸。 In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a first dielectric layer. The semiconductor device structure also includes a gate stack structure in the first dielectric layer. The semiconductor device structure further includes semiconductor lines, and the gate stack structure surrounds a portion of the semiconductor lines. In addition, the semiconductor device structure includes a contact electrode in the first dielectric layer, and the contact electrode is electrically connected to the semiconductor line. The contact electrode and the gate stack structure extend from the semiconductor line in opposite directions.

在一些實施例中,上述半導體裝置結構更包括黏著層,其與閘極堆疊結構與半導體線相接;以及第二介電層,自黏著層沿著接點電極延伸。 In some embodiments, the semiconductor device structure further includes an adhesive layer, which is connected to the gate stack structure and the semiconductor line; and a second dielectric layer, the self-adhesive layer extends along the contact electrode.

在一些實施例中,上述半導體裝置結構的閘極堆疊結構以及黏著層之間的界面,與第一介電層以及接點電極之間的界面實質上共平面。 In some embodiments, the interface between the gate stack structure and the adhesive layer of the semiconductor device structure is substantially coplanar with the interface between the first dielectric layer and the contact electrode.

在一些實施例中,上述半導體裝置結構的黏著層延伸於第二介電層與接點電極之間,且黏著層亦與接點電極相接。 In some embodiments, the adhesive layer of the semiconductor device structure extends between the second dielectric layer and the contact electrode, and the adhesive layer is also connected to the contact electrode.

在一些實施例中,上述半導體裝置結構更包含間隔物單元於第一介電層中,其中間隔物單元覆蓋閘極堆疊結構的側壁,並與接點電極相接。 In some embodiments, the semiconductor device structure further includes a spacer unit in the first dielectric layer, wherein the spacer unit covers a sidewall of the gate stack structure and is connected to the contact electrode.

在一些實施例中,上述半導體裝置結構的接點電極圍繞部份的半導體線。 In some embodiments, a portion of the semiconductor line is surrounded by the contact electrodes of the semiconductor device structure.

在一些實施例中,上述半導體裝置結構的閘極堆疊結構實質上平行於接點電極,且不與接點電極重疊。 In some embodiments, the gate stack structure of the semiconductor device structure is substantially parallel to the contact electrodes and does not overlap the contact electrodes.

在一些實施例中,提供半導體裝置結構。半導體裝置結構包括第一半導體線。半導體裝置結構亦包括第二半導體線。半導體裝置結構亦包含閘極堆疊結構延伸於第一半導體線與第二半導體線之間。閘極堆疊結構圍繞部份的第一半導體線與第二半導體線。此外,半導體裝置結構包含第一接點電極,其電性連接至第一半導體線。半導體裝置結構亦包含第二接點電極,其電性連接至第二半導體線。第一接點電極與第二接點電極朝相反方向延伸以遠離彼此。 In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a first semiconductor line. The semiconductor device structure also includes a second semiconductor line. The semiconductor device structure also includes a gate stack structure extending between the first semiconductor line and the second semiconductor line. The first semiconductor line and the second semiconductor line of the gate stack structure surround a part. In addition, the semiconductor device structure includes a first contact electrode, which is electrically connected to the first semiconductor line. The semiconductor device structure also includes a second contact electrode, which is electrically connected to the second semiconductor line. The first contact electrode and the second contact electrode extend in opposite directions away from each other.

在一些實施例中,上述半導體裝置結構的閘極堆疊結構與第一接點電極與第二接點電極交錯。 In some embodiments, the gate stack structure of the semiconductor device structure is intersected with the first contact electrode and the second contact electrode.

在一些實施例中,上述半導體裝置結構的第一接點電極與第二接點電極之間的距離,大於第一半導體線與第二半導體線之間的距離。 In some embodiments, the distance between the first contact electrode and the second contact electrode of the semiconductor device structure is greater than the distance between the first semiconductor line and the second semiconductor line.

在一些實施例中,上述半導體裝置結構更包括第三半導體線;第四半導體線,其中第三半導體線位於第四半導體線與第二半導體線之間;第二閘極堆疊結構,延伸於第三半導體線與第四半導體線之間;以及第三接點電極,自第三半導體線朝第二半導體線延伸,其中閘極堆疊結構與第二閘極堆疊結構之間的距離,大於第二接點電極與第三接點電極之間的距離。 In some embodiments, the above-mentioned semiconductor device structure further includes a third semiconductor line; a fourth semiconductor line, wherein the third semiconductor line is located between the fourth semiconductor line and the second semiconductor line; and a second gate stack structure extends from the first semiconductor line Between the third semiconductor line and the fourth semiconductor line; and a third contact electrode extending from the third semiconductor line toward the second semiconductor line, wherein the distance between the gate stack structure and the second gate stack structure is greater than the second The distance between the contact electrode and the third contact electrode.

在一些實施例中,上述半導體裝置結構的閘極堆疊結構以及第二閘極堆疊結構之間的距離,與第二半導體線以 及第三半導體線之間的距離實質上相同。 In some embodiments, the distance between the gate stack structure of the semiconductor device structure and the second gate stack structure is substantially the same as the distance between the second semiconductor line and the third semiconductor line.

在一些實施例中,提供半導體裝置結構的形成方法。方法包括形成堆疊層,其包括交替沉積的第一介電層與半導體層。方法亦包括移除部份的堆疊層以形成第一溝槽。方法亦包括將第二介電層填入第一溝槽。此外,方法包括移除第一介電層,與圖案化半導體層以形成半導體線。半導體線貼合至第二介電層。方法亦包括形成第三介電層,其包含第二溝槽;以及形成閘極堆疊結構於第二溝槽中。閘極堆疊結構圍繞部份的半導體線。方法亦包括形成接點電極以電性連接至半導體線。 In some embodiments, a method of forming a semiconductor device structure is provided. The method includes forming a stacked layer including a first dielectric layer and a semiconductor layer that are alternately deposited. The method also includes removing a portion of the stacked layers to form a first trench. The method also includes filling a second dielectric layer into the first trench. In addition, the method includes removing the first dielectric layer and patterning the semiconductor layer to form a semiconductor line. The semiconductor line is bonded to the second dielectric layer. The method also includes forming a third dielectric layer including a second trench; and forming a gate stack structure in the second trench. The gate stack structure surrounds a portion of the semiconductor lines. The method also includes forming a contact electrode to be electrically connected to the semiconductor line.

在一些實施例中,上述方法更包括在形成第二介電層之前,先形成黏著層於第一溝槽中,其中半導體線經由黏著層貼合至第二介電層。 In some embodiments, the method further includes forming an adhesive layer in the first trench before forming the second dielectric layer, wherein the semiconductor line is bonded to the second dielectric layer through the adhesive layer.

在一些實施例中,上述方法更包括蝕刻第三介電層以形成第二溝槽,其中在蝕刻第三介電層的步驟中,第三介電層的蝕刻速率大於第二介電層的蝕刻速率;以及在形成閘極堆疊結構之後,移除第三介電層。 In some embodiments, the method further includes etching the third dielectric layer to form a second trench, wherein in the step of etching the third dielectric layer, the etching rate of the third dielectric layer is greater than that of the second dielectric layer. Etch rate; and after forming the gate stack structure, removing the third dielectric layer.

在一些實施例中,上述方法更包括在形成閘極堆疊結構之後,移除第三介電層;形成第四介電層,其中閘極堆疊結構、半導體線、與第二介電層位於第四介電層中;以及蝕刻第四介電層以形成第三溝槽露出半導體線,其中在蝕刻第四介電層時,第四介電層的蝕刻速率大於第二介電層的蝕刻速率。 In some embodiments, the method further includes removing the third dielectric layer after forming the gate stack structure; forming a fourth dielectric layer, wherein the gate stack structure, the semiconductor line, and the second dielectric layer are located at the first Four dielectric layers; and etching the fourth dielectric layer to form a third trench to expose the semiconductor lines, wherein when the fourth dielectric layer is etched, the etching rate of the fourth dielectric layer is greater than the etching rate of the second dielectric layer .

在一些實施例中,上述方法更包括在蝕刻第四介 電層後,在半導體線上進行磊晶成長製程。 In some embodiments, the method further includes performing an epitaxial growth process on the semiconductor line after etching the fourth dielectric layer.

在一些實施例中,上述方法更包括在蝕刻第四介電層之後,回火半導體線。 In some embodiments, the method further includes tempering the semiconductor line after etching the fourth dielectric layer.

在一些實施例中,上述方法圖案化半導體以形成半導體線之步驟晚於閘極堆疊結構的形成步驟。 In some embodiments, the method of patterning a semiconductor to form a semiconductor line is later than the step of forming a gate stack structure.

在一些實施例中,上述方法更包括形成間隔物單元於閘極堆疊結構的側壁上,其中在形成間隔物單元時,半導體線貼合至第二介電層。 In some embodiments, the method further includes forming a spacer unit on a sidewall of the gate stack structure, wherein the semiconductor line is adhered to the second dielectric layer when the spacer unit is formed.

上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之申請專利範圍的精神與範疇的前提下進行改變、替換、或更動。 The features of the above-mentioned embodiments are beneficial to those skilled in the art to understand the present invention. Those of ordinary skill in the art should understand that the present invention can be used as a basis for designing and changing other processes and structures to achieve the same purpose and / or the same advantages of the above embodiments. Those with ordinary knowledge in the technical field should also understand that these equivalent substitutions do not depart from the spirit and scope of the present invention, and can be changed, replaced, or changed without departing from the spirit and scope of the patent scope of the present invention. .

Claims (1)

一種半導體裝置結構,包括:一第一介電層;一閘極堆疊結構,位於該第一介電層中;一半導體線,且該閘極堆疊結構圍繞部份的該半導體線;以及一接點電極,位於該第一介電層中並電性連接至該半導體線,其中該接點電極與該閘極堆疊結構自該半導體線朝相反方向延伸。     A semiconductor device structure includes: a first dielectric layer; a gate stack structure located in the first dielectric layer; a semiconductor line and a portion of the semiconductor line surrounding the gate stack structure; and a connection A point electrode is located in the first dielectric layer and is electrically connected to the semiconductor line. The contact electrode and the gate stack structure extend from the semiconductor line in opposite directions.    
TW106119613A 2016-11-28 2017-06-13 Semiconductor device structure TW201830579A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662426964P 2016-11-28 2016-11-28
US62/426,964 2016-11-28
US15/471,318 2017-03-28
US15/471,318 US10516047B2 (en) 2016-11-28 2017-03-28 Structure and formation method of semiconductor device structure

Publications (1)

Publication Number Publication Date
TW201830579A true TW201830579A (en) 2018-08-16

Family

ID=62190419

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106119613A TW201830579A (en) 2016-11-28 2017-06-13 Semiconductor device structure

Country Status (3)

Country Link
US (1) US10516047B2 (en)
CN (1) CN108122972A (en)
TW (1) TW201830579A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3089343B1 (en) * 2018-11-29 2021-10-08 Commissariat Energie Atomique PROCESS FOR MAKING A TRANSISTOR FET

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
JP5465958B2 (en) * 2009-09-01 2014-04-09 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US8580624B2 (en) * 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
US9385069B2 (en) * 2013-03-07 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gate contact structure for FinFET
KR102089682B1 (en) * 2013-07-15 2020-03-16 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9373544B2 (en) * 2014-03-13 2016-06-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9780199B2 (en) * 2015-09-23 2017-10-03 United Microelectronics Corp. Method for forming semiconductor device

Also Published As

Publication number Publication date
US10516047B2 (en) 2019-12-24
CN108122972A (en) 2018-06-05
US20180151729A1 (en) 2018-05-31

Similar Documents

Publication Publication Date Title
TWI707459B (en) Method for forming a 3-dimensional memory device
TWI701813B (en) 3 dimension memory device
US10734400B1 (en) Three-dimensional memory device including bit lines between memory elements and an underlying peripheral circuit and methods of making the same
US9245800B2 (en) Method of manufacturing a semiconductor device
US11114459B2 (en) Three-dimensional memory device containing width-modulated connection strips and methods of forming the same
US11139237B2 (en) Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same
KR101547386B1 (en) Decoupling finfet capacitors
KR102102731B1 (en) Semiconductor device and method of manufacturing
TW202025462A (en) 3d semiconductor memory device with junction and the method of manufacturing the same
CN113488483B (en) Three-dimensional memory device and method of manufacturing the same
TWI557850B (en) Memory device and method of fabricating the same
KR102500943B1 (en) Semiconductor device and method of fabricating thereof
TW202145490A (en) Via
TW201830579A (en) Semiconductor device structure
KR101925685B1 (en) Semiconductor device and manufacturing method thereof
CN115995426A (en) Method for forming interconnect structure
US6776622B2 (en) Conductive contact structure and process for producing the same
TWI773086B (en) Method for forming three-dimensional memory device
US20210366787A1 (en) Metal connections and routing for advanced 3d layout designs
US10347526B1 (en) Semiconductor structure and method for forming the same
KR20230159337A (en) Semiconductor device and method of fabricating semiconductor device
TW202145312A (en) Contact structures for reducing electrical shorts and methods of forming the same
TW202141691A (en) Interconnect structure and method of manufacturing thereof