TW201819283A - Graphene nanoribbon interconnects and interconnect liners - Google Patents

Graphene nanoribbon interconnects and interconnect liners Download PDF

Info

Publication number
TW201819283A
TW201819283A TW106128460A TW106128460A TW201819283A TW 201819283 A TW201819283 A TW 201819283A TW 106128460 A TW106128460 A TW 106128460A TW 106128460 A TW106128460 A TW 106128460A TW 201819283 A TW201819283 A TW 201819283A
Authority
TW
Taiwan
Prior art keywords
interconnect
graphite
layer
metal
nanoribbon
Prior art date
Application number
TW106128460A
Other languages
Chinese (zh)
Other versions
TWI751187B (en
Inventor
阿蘭薩蘇 梅斯特雷卡洛
詹斯密特 喬拉
啟文 林
羅曼 考迪洛
潔西卡 托羅斯
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201819283A publication Critical patent/TW201819283A/en
Application granted granted Critical
Publication of TWI751187B publication Critical patent/TWI751187B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53276Conductive materials containing carbon, e.g. fullerenes

Abstract

Graphitic nanoribbon interconnects are described. The graphitic nanoribbon interconnects are fabricated by forming a graphitic layer (such as graphene) on a metal feature growth catalyst. The metal feature growth catalyst is selectively removed, leaving a graphitic nanoribbon remaining as the interconnect. Graphitic nanoribbon interconnects have a thickness of from 0.3 nanometers (nm) to 2 nm and a height of at least 40 nm. The conductive graphitic nanoribbon interconnect overcomes the need to include conventionally composed interconnect liners. Furthermore, the reduced feature size of the graphitic nanoribbon interconnect compared to conventional liners enables greater interconnect density and semiconductor device density.

Description

石墨烯奈米帶互連體和互連體襯墊 Graphene nanoribbon interconnects and interconnect spacers

本發明係有關於石墨烯奈米帶互連體及互連體襯墊。 The present invention relates to graphene nanoribbon interconnects and interconnect spacers.

在製造積體電路中,互連體可使用銅金屬鑲嵌製程形成在半導體基板上。此種製程典型地開始於溝槽及/或通孔被蝕刻至絕緣體層中、障壁材料被沉積在溝槽中以及接著銅金屬被沉積在障壁材料上以形成互連體。當裝置尺寸持續減小,各種互連體部件變得越來越窄、越來越緊密,導致了許多不小的問題。 In manufacturing integrated circuits, interconnects can be formed on the semiconductor substrate using a copper damascene process. Such a process typically begins with trenches and/or vias being etched into the insulator layer, barrier material deposited in the trenches, and then copper metal deposited on the barrier material to form interconnects. As device sizes continue to decrease, various interconnect components become narrower and tighter, resulting in many problems.

100‧‧‧積體電路 100‧‧‧Integrated circuit

102‧‧‧裝置層 102‧‧‧device layer

104‧‧‧層間介電質(ILD)層 104‧‧‧Interlayer dielectric (ILD) layer

106‧‧‧互連體結構 106‧‧‧Interconnect structure

112‧‧‧金屬部件 112‧‧‧Metal parts

116‧‧‧石墨障壁層 116‧‧‧Graphite barrier layer

120‧‧‧第一ILD層 120‧‧‧First ILD layer

X1‧‧‧互連體厚度 X 1 ‧‧‧Interconnect thickness

Y1‧‧‧鉭基障壁層厚度 Y 1 ‧‧‧ Thickness of tantalum-based barrier layer

Y2‧‧‧石墨烯障壁層厚度 Y 2 ‧‧‧Graphene barrier layer thickness

200‧‧‧方法 200‧‧‧Method

204‧‧‧步驟 204‧‧‧Step

208‧‧‧步驟 208‧‧‧Step

212‧‧‧步驟 212‧‧‧Step

216‧‧‧步驟 216‧‧‧Step

220‧‧‧步驟 220‧‧‧Step

224‧‧‧步驟 224‧‧‧Step

304‧‧‧基底ILD層 304‧‧‧Base ILD layer

308‧‧‧毯式金屬層 308‧‧‧ blanket metal layer

312‧‧‧金屬部件 312‧‧‧Metal parts

316‧‧‧石墨層 316‧‧‧Graphite layer

320‧‧‧第一ILD材料層 320‧‧‧First ILD material layer

324‧‧‧蝕刻停止障壁 324‧‧‧Etching stop barrier

328‧‧‧第一互連體結構 328‧‧‧The first interconnect structure

α‧‧‧厚度 α‧‧‧Thickness

β‧‧‧部件寬度尺寸 β‧‧‧Part width dimension

χ‧‧‧部件高度尺寸 χ‧‧‧Part height dimension

ε‧‧‧厚度尺寸 ε‧‧‧Thickness

Φ‧‧‧高度 Φ‧‧‧Height

400‧‧‧方法 400‧‧‧Method

402‧‧‧步驟 402‧‧‧Step

404‧‧‧步驟 404‧‧‧Step

408‧‧‧步驟 408‧‧‧Step

412‧‧‧步驟 412‧‧‧Step

416‧‧‧步驟 416‧‧‧Step

504‧‧‧第二ILD層 504‧‧‧Second ILD layer

508‧‧‧障壁層 508‧‧‧ Barrier layer

512‧‧‧第二金屬部件 512‧‧‧Second metal parts

516‧‧‧第二互連體結構 516‧‧‧Second interconnect structure

600‧‧‧方法 600‧‧‧Method

602‧‧‧步驟 602‧‧‧Step

604‧‧‧步驟 604‧‧‧Step

608‧‧‧步驟 608‧‧‧Step

612‧‧‧步驟 612‧‧‧Step

616‧‧‧步驟 616‧‧‧Step

620‧‧‧步驟 620‧‧‧Step

624‧‧‧步驟 624‧‧‧Step

628‧‧‧步驟 628‧‧‧Step

704‧‧‧第二ILD層 704‧‧‧Second ILD layer

706‧‧‧第二蝕刻停止障壁 706‧‧‧Second etching stop barrier

708‧‧‧障壁層 708‧‧‧ Barrier layer

712‧‧‧毯式金屬層 712‧‧‧ blanket metal layer

714‧‧‧第二金屬部件 714‧‧‧Second metal parts

720‧‧‧石墨障壁層 720‧‧‧Graphite barrier layer

722‧‧‧第二互連體結構 722‧‧‧Second interconnection structure

724‧‧‧第三層ILD 724‧‧‧third layer ILD

800‧‧‧方法 800‧‧‧Method

804‧‧‧步驟 804‧‧‧Step

808‧‧‧步驟 808‧‧‧Step

812‧‧‧步驟 812‧‧‧Step

816‧‧‧步驟 816‧‧‧Step

822‧‧‧步驟 822‧‧‧Step

820‧‧‧步驟 820‧‧‧Step

824‧‧‧步驟 824‧‧‧Step

828‧‧‧步驟 828‧‧‧Step

904‧‧‧第二毯式金屬層 904‧‧‧Second blanket metal layer

912‧‧‧第二金屬部件 912‧‧‧Second metal parts

916‧‧‧石墨層 916‧‧‧Graphite layer

918‧‧‧堆疊通孔 918‧‧‧Stacked through holes

920‧‧‧第二ILD層 920‧‧‧Second ILD layer

924‧‧‧第二蝕刻停止障壁 924‧‧‧Second etching stop barrier

1000‧‧‧方法 1000‧‧‧Method

1002‧‧‧步驟 1002‧‧‧Step

1004‧‧‧步驟 1004‧‧‧Step

1008‧‧‧步驟 1008‧‧‧Step

1012‧‧‧步驟 1012‧‧‧Step

1016‧‧‧步驟 1016‧‧‧Step

1020‧‧‧步驟 1020‧‧‧Step

1024‧‧‧步驟 1024‧‧‧Step

1028‧‧‧步驟 1028‧‧‧Step

1104‧‧‧空腔 1104‧‧‧ Cavity

1108‧‧‧介電質材料 1108‧‧‧ Dielectric material

1112‧‧‧蝕刻停止障壁 1112‧‧‧Etching stop barrier

1116‧‧‧ILD層 1116‧‧‧ILD layer

1118‧‧‧障壁層 1118‧‧‧ barrier layer

1120‧‧‧金屬層 1120‧‧‧Metal layer

1124‧‧‧石墨烯奈米帶互連體 1124‧‧‧Graphene Nanobelt Interconnect

1200‧‧‧方法 1200‧‧‧Method

1204‧‧‧步驟 1204‧‧‧Step

1208‧‧‧步驟 1208‧‧‧Step

1212‧‧‧步驟 1212‧‧‧Step

1213‧‧‧步驟 1213‧‧‧Step

1216‧‧‧步驟 1216‧‧‧Step

1217‧‧‧步驟 1217‧‧‧Step

1220‧‧‧步驟 1220‧‧‧Step

1222‧‧‧步驟 1222‧‧‧Step

1224‧‧‧步驟 1224‧‧‧Step

1228‧‧‧步驟 1228‧‧‧Step

1232‧‧‧步驟 1232‧‧‧Step

1300‧‧‧基底ILD層 1300‧‧‧Base ILD layer

1304‧‧‧暫時性ILD層 1304‧‧‧Temporary ILD layer

1308‧‧‧暫時性襯墊 1308‧‧‧Temporary pad

1312‧‧‧第一金屬部件 1312‧‧‧First metal parts

1314‧‧‧第一金屬部件 1314‧‧‧First metal parts

1316‧‧‧第一互連體結構 1316‧‧‧The first interconnect structure

1318‧‧‧石墨障壁 1318‧‧‧Graphite barrier

1320‧‧‧第二ILD層 1320‧‧‧Second ILD layer

1322‧‧‧空氣間隙 1322‧‧‧Air gap

1404‧‧‧毯式金屬層 1404‧‧‧ blanket metal layer

1412‧‧‧第一金屬部件 1412‧‧‧The first metal part

1416‧‧‧石墨層 1416‧‧‧Graphite layer

1420‧‧‧第二ILD層 1420‧‧‧Second ILD layer

1500‧‧‧計算系統 1500‧‧‧computing system

1502‧‧‧主機板 1502‧‧‧Motherboard

1504‧‧‧處理器 1504‧‧‧ processor

1506‧‧‧通訊晶片 1506‧‧‧Communication chip

圖1A依據本揭露的實施方式示出包含石墨障壁層的實施例積體電路結構。 FIG. 1A shows an example integrated circuit structure including a graphite barrier layer according to an embodiment of the present disclosure.

圖1B概略地示出實施例互連體之橫向橫截面,以及相對於互連體的總寬度的鉭基障壁層的厚度。 FIG. 1B schematically shows the lateral cross-section of the interconnect body of the embodiment, and the thickness of the tantalum-based barrier layer relative to the total width of the interconnect body.

圖1C依據本揭露的實施方式概略地示出實施例互連體之橫向橫截面,以及相對於互連體的總厚度的石墨障壁層的厚度。 FIG. 1C schematically illustrates the lateral cross-section of the interconnect body of the example and the thickness of the graphite barrier layer relative to the total thickness of the interconnect body according to the embodiment of the present disclosure.

圖2為依據本揭露的實施方式之製造使用具有石墨障壁層之互連體的積體電路的實施例方法的流程圖。 FIG. 2 is a flowchart of an example method of manufacturing an integrated circuit using an interconnect having a graphite barrier layer according to an embodiment of the present disclosure.

圖3A-3E為依據本揭露的實施方式之一系列示出根據圖2中所顯示之方法製造的石墨障壁層之形成的概略積體電路結構的橫截面側視圖。 3A-3E are a series of cross-sectional side views showing a schematic integrated circuit structure of a graphite barrier layer manufactured according to the method shown in FIG. 2 according to a series of embodiments of the present disclosure.

圖4為依據本揭露的實施方式示出用於製造額外的互連體以及與石墨襯墊互連體電性通訊的金屬層級的實施例方法之方法流程圖。 FIG. 4 is a method flow diagram illustrating an example method for manufacturing additional interconnects and metal levels for electrical communication with graphite liner interconnects according to an embodiment of the present disclosure.

圖5A-5C為依據本揭露的實施方式之一系列示出根據圖4中所顯示之方法製造之與石墨襯墊互連體電性通訊之額外的互連體層之形成的概略積體電路結構的橫截面側視圖。 5A-5C are a series of schematic integrated circuit structures illustrating the formation of an additional interconnect layer electrically communicating with a graphite pad interconnect manufactured according to the method shown in FIG. 4 according to one embodiment of the present disclosure Cross-sectional side view.

圖6為依據本揭露的實施方式示出用於製造部分石墨襯墊並且在低層級與石墨襯墊互連體電性通訊之額外的互連體的實施例方法之方法流程圖。 FIG. 6 is a method flow diagram illustrating an example method for manufacturing an additional interconnector that is used to fabricate a portion of the graphite pad and electrically communicate with the graphite pad interconnect at a low level according to an embodiment of the present disclosure.

圖7A-7D為依據本揭露之實施方式之一系列顯示根據圖6中所顯示之方法製造之部分石墨襯墊並且在低層級與石墨襯墊互連體電性通訊之額外的互連體之形成的概略積體電路結構的橫截面側視圖。 7A-7D are a series of additional interconnects according to one embodiment of the present disclosure showing a portion of the graphite liner manufactured according to the method shown in FIG. 6 and electrically communicating with the graphite liner interconnect at the lower level A cross-sectional side view of the formed rough integrated circuit structure.

圖8為依據本揭露的實施方式示出用於製造部分石墨襯墊並且在低層級與石墨襯墊互連體電性通訊之額 外的互連體的實施例方法之方法流程圖。 FIG. 8 is a method flow diagram illustrating an example method for manufacturing an interconnection that is part of a graphite liner and that electrically communicates with the graphite liner interconnection at a low level in accordance with an embodiment of the present disclosure.

圖9A-9C為依據本揭露的實施方式之一系列顯示根據圖8中所顯示之方法製造之部分石墨襯墊並且在低層級與石墨襯墊互連體電性通訊之額外的互連體之形成的概略積體電路結構的橫截面側視圖。 9A-9C are a series of additional interconnects according to one embodiment of the present disclosure showing a portion of the graphite liner manufactured according to the method shown in FIG. 8 and electrically communicating with the graphite liner interconnect at the lower level A cross-sectional side view of the formed rough integrated circuit structure.

圖10為依據本揭露的實施方式示出用於製造石墨奈米帶互連體之實施例方法的方法流程圖。 10 is a method flow diagram illustrating an example method for manufacturing a graphite nanoribbon interconnect according to an embodiment of the present disclosure.

圖11A-11D為依據本揭露的實施方式之一系列顯示根據圖10中所顯示之方法製造的石墨奈米帶之形成的概略積體電路結構的橫截面側視圖。 11A-11D are a series of cross-sectional side views showing a schematic integrated circuit structure of a graphite nanobelt manufactured according to a method shown in FIG. 10 according to one embodiment of the present disclosure.

圖12為依據本揭露的實施方式示出用於製造包含空氣間隙作為層間介電質結構之元件之石墨襯墊互連體的實施例方法之方法流程圖。 FIG. 12 is a method flowchart illustrating an example method for manufacturing a graphite liner interconnect that includes an air gap as an element of an interlayer dielectric structure according to an embodiment of the present disclosure.

圖13A-13E為依據本揭露的實施方式之一系列顯示包含空氣間隙作為根據圖12中所顯示之方法製造的層間介電質結構之元件的石墨障壁層之形成的概略積體電路結構的橫截面側視圖。 13A-13E are a series of schematic integrated circuit structures showing the formation of a graphite barrier layer including an air gap as an element of an interlayer dielectric structure manufactured according to the method shown in FIG. 12 according to one embodiment of the present disclosure Cross-sectional side view.

圖14A-14D為依據本揭露的實施方式之一系列顯示包含空氣間隙作為根據圖12中所顯示之替代方法製造的層間介電質結構之元件的石墨障壁層之形成的概略積體電路結構的橫截面側視圖。 14A-14D are a series of schematic integrated circuit structures showing the formation of a graphite barrier layer including an air gap as an element of an interlayer dielectric structure manufactured according to the alternative method shown in FIG. 12 according to an embodiment of the present disclosure Cross-sectional side view.

圖15示出依據本揭露的實施方式組態的行動計算系統。 FIG. 15 shows a mobile computing system configured according to an embodiment of the present disclosure.

可以理解到,圖式不一定按比例繪製或旨在 將本揭露限制於所示的特定組態。例如,儘管一些圖一般指示直線、直角和平滑表面,結構之實際實施方案可具有不完美的直線和直角,並且一些部件可具有表面形貌或以其它方式不平滑,有鑒於現實世界對使用的處理設備和技術的限制。簡而言之,提供圖式僅僅是為了示出實施例結構。 It is understood that the drawings are not necessarily drawn to scale or are intended to limit the disclosure to the specific configuration shown. For example, although some drawings generally indicate straight lines, right angles, and smooth surfaces, actual implementations of the structure may have imperfect straight lines and right angles, and some components may have surface topography or otherwise be non-smooth, given the real world Processing equipment and technology limitations. In short, the drawings are provided only to show the structure of the embodiment.

【發明內容】與【實施方式】 [Summary of the Invention] and [Implementation Mode]

揭露了用於形成包含石墨障壁層之積體電路結構的技術。石墨障壁層之實施例包含但不限制於石墨烯單層以及1至5個石墨烯單層的群組。於本文中之某些實施例中,用語「石墨烯」和「石墨(graphitic)」和「石墨(graphite)」為了方便而可互換地使用而不損失廣度。相反,所有這些用語都是指以結晶單層片組織的碳的同素異形體。 A technique for forming an integrated circuit structure including a graphite barrier layer is disclosed. Examples of the graphite barrier layer include but are not limited to graphene monolayers and groups of 1 to 5 graphene monolayers. In some embodiments herein, the terms "graphene" and "graphitic" and "graphite" are used interchangeably for convenience and without loss of breadth. Instead, all these terms refer to allotropes of carbon organized in crystalline monolayers.

這些石墨障壁層有助於減少或消除積體電路中從一個互連體的金屬部件(feature)至相鄰金屬部件的金屬之擴散。在某些實施方式中,石墨障壁層可在介電質和金屬互連體之間的位置處合成晶載,例如藉由使用先前沉積的金屬互連體結構作為用於石墨材料的成長基板(例如,石墨烯、石墨、或其它碳同素異形體、或組成作為一或多個結晶單層的含碳化合物)。這樣一來,根據某些實施方式,可以在互連體之金屬部分上原位製造均勻且極薄的石墨障壁層。然後將介電質材料沉積在石墨烯塗覆的金 屬互連體周圍。於某些實施例中,移除石墨襯墊的互連體之金屬部分,留下石墨奈米帶作為互連體。如本文中所使用,石墨奈米帶為任何使用為導電互連體部件的奈米等級石墨結構或部件,實質上沒有其它導電塊狀互連體材料。應注意到也許會有先前移除的導電材料塊狀互連體材料之少量殘餘留物或痕跡,但主要導電互連體部件材料為石墨。 These graphite barrier layers help reduce or eliminate the diffusion of metal from the metal features of one interconnect to adjacent metal features in the integrated circuit. In some embodiments, the graphite barrier layer may synthesize a crystal carrier at a position between the dielectric and the metal interconnect, for example, by using a previously deposited metal interconnect structure as a growth substrate for graphite materials ( For example, graphene, graphite, or other carbon allotropes, or carbon-containing compounds composed as one or more crystalline monolayers). In this way, according to certain embodiments, a uniform and extremely thin graphite barrier layer can be fabricated in situ on the metal portion of the interconnect. A dielectric material is then deposited around the graphene-coated metal interconnect. In some embodiments, the metal portion of the graphite liner interconnect is removed, leaving the graphite nanoribbon as the interconnect. As used herein, a graphite nanoribbon is any nano-grade graphite structure or component used as a conductive interconnect component, with virtually no other conductive bulk interconnect material. It should be noted that there may be a small amount of residual residues or traces of the previously removed conductive material bulk interconnect material, but the main conductive interconnect component material is graphite.

所揭露的技術可以提供比用於形成與互連體相關聯的障壁層(也稱為「襯墊」)的習知沉積技術更好的各種優點。例如,所揭露的方法和材料可以允許產生石墨單層(或其它合適的厚度),使得其圍繞互連體結構之金屬並且實質上與互連體之金屬部分共形。於某些實施例中,石墨障壁層具有厚度範圍0.3奈米(nm)至2奈米。這個厚度比典型的擴散障壁(例如,氮化鉭)之厚度(其範圍為5奈米至10奈米厚)小許多。更薄的石墨障壁層有效地改善金屬互連體的導電性,因為與具有較厚襯墊(例如,鉭基襯墊)的典型互連體相比,可以沉積比例上較多的導電金屬作為互連體的金屬部分,且因此金屬部分的面積更小。再者,習知障壁層通常與石墨層相比(特別是石墨烯),為相對較差之電導體。這個改善的障壁的導電性也改善了整體上互連體的導電性。最終,石墨障壁層和互連體之金屬之間的介面比金屬和習知障壁層之間的介面產生較少的表面散射。相比於習知的襯墊互連體,這下表面散射部件亦趨向於增加本揭露之互連體的導電性。根據本發明,許多配置 和變化將是顯而易見的。 The disclosed technique may provide various advantages over conventional deposition techniques used to form barrier layers (also referred to as "pads") associated with interconnects. For example, the disclosed methods and materials may allow the creation of a single layer of graphite (or other suitable thickness) so that it surrounds the metal of the interconnect structure and is substantially conformal with the metal portion of the interconnect. In some embodiments, the graphite barrier layer has a thickness ranging from 0.3 nanometer (nm) to 2 nanometers. This thickness is much smaller than the thickness of a typical diffusion barrier (eg, tantalum nitride) (which ranges from 5 nm to 10 nm thick). A thinner graphite barrier layer effectively improves the electrical conductivity of metal interconnects, because a larger proportion of conductive metal can be deposited as a typical interconnect with thicker liners (eg, tantalum-based liners) The metal part of the interconnect, and therefore the area of the metal part is smaller. Furthermore, the conventional barrier layer is generally a relatively poor electrical conductor compared to the graphite layer (especially graphene). The conductivity of this improved barrier also improves the conductivity of the interconnect as a whole. Ultimately, the interface between the graphite barrier layer and the metal of the interconnect produces less surface scattering than the interface between the metal and the conventional barrier layer. Compared to conventional pad interconnects, this lower surface scattering component also tends to increase the electrical conductivity of the disclosed interconnects. According to the present invention, many configurations and changes will be apparent.

總體概述 General overview

障壁材料被沉積在積體電路之非導電(例如,介電質)和導電(例如,銅金屬)部件之間的層中。障壁材料可以防止互連體之金屬部件部分擴散或以其它方式遷移至介電質材料中。於某些情況下,藉由使這些互連體之間的介電質材料更加導電,特別是當這些互連體之間的距離很小時,來自互連體的金屬擴散甚至可能在相鄰設置的互連體之間造成短路。然而,縮小使用習知沉積技術沉積的習知障壁材料的尺寸是困難的。其結果,隨著半導體裝置及其互連體結構的尺寸隨著連續技術世代而逐漸減小,習知(及導電不良)的障壁材料佔據互連體橫截面面積的逐漸增大的部分。因為比例上較少的金屬可以沉積在互連體之障壁層部分內側,這增加了互連體的電阻。此外,隨著尺寸縮小,沉積在互連體之內的金屬更可能包含空隙或其他缺陷,特別是對於高高寬比通孔及互連體部件。當金屬部分的尺寸縮小時,這些缺陷可能對互連體的導電性產生更大的負面影響。 The barrier material is deposited in a layer between the non-conductive (eg, dielectric) and conductive (eg, copper metal) components of the integrated circuit. The barrier material can prevent the metal parts of the interconnect from diffusing or otherwise migrating into the dielectric material. In some cases, by making the dielectric material between these interconnects more conductive, especially when the distance between these interconnects is small, the metal diffusion from the interconnects may even be placed adjacent to each other Caused a short circuit between the interconnections. However, it is difficult to reduce the size of conventional barrier materials deposited using conventional deposition techniques. As a result, as the size of semiconductor devices and their interconnect structures gradually decreases with successive generations of technology, conventional (and poorly conductive) barrier materials occupy an increasing portion of the cross-sectional area of the interconnect. Because a smaller proportion of metal can be deposited inside the barrier layer portion of the interconnect, this increases the resistance of the interconnect. In addition, as the size shrinks, the metal deposited within the interconnect is more likely to contain voids or other defects, especially for high aspect ratio vias and interconnect components. As the size of the metal part shrinks, these defects may have a greater negative impact on the conductivity of the interconnect.

根據本公開將理解,用石墨障壁層替代習知的障壁材料,且特別是石墨烯(原子薄的且導電的碳同素異形體)障壁層可以增加互連體的有效導電率。這個優點藉由減少由導電較少的襯墊佔據的互連體的比例來實現,同時增加由更導電的金屬核心佔據的互連體的橫截面面積 的比例。石墨障壁層還提供介電質和互連體結構之金屬之間的有效擴散障壁,因此減少相鄰導電結構之間短路的可能性。然而,有數個與形成奈米或次奈米厚度之石墨障壁相關的挑戰。例如,轉移成長在分離基板上的石墨烯或石墨材料至具有形貌(例如,溝槽)的積體電路晶片不是小事或以其他方式不容易實現的。再者,石墨材料不容易在層間介電質材料上形成。這使得使用習知襯墊沉積技術的石墨烯沉積在金屬鑲嵌或雙金屬鑲嵌製程中是有挑戰性的。 It will be understood from the present disclosure that replacing conventional barrier materials with graphite barrier layers, and particularly graphene (atomically thin and conductive carbon allotropes) barrier layers, can increase the effective conductivity of the interconnect. This advantage is achieved by reducing the proportion of interconnects occupied by less conductive pads, while increasing the proportion of cross-sectional area of interconnects occupied by more conductive metal cores. The graphite barrier layer also provides an effective diffusion barrier between the dielectric and the metal of the interconnect structure, thus reducing the possibility of short circuits between adjacent conductive structures. However, there are several challenges related to the formation of nano- or sub-nanometer graphite barriers. For example, transferring graphene or graphite material grown on a separate substrate to an integrated circuit wafer having a morphology (eg, trench) is not trivial or otherwise difficult to achieve. Furthermore, graphite materials are not easily formed on interlayer dielectric materials. This makes graphene deposition using conventional liner deposition techniques challenging in damascene or dual damascene processes.

因此,依據本揭露的實施方式,提供了用於形成用於互連體結構的石墨障壁層(或者稱為「石墨襯墊」)的技術。於一具體實施方式中,互連體的金屬部分(本文中稱為「金屬部件」)使用減去蝕刻製程形成。然後這個金屬部件用作其上成長石墨障壁層的催化劑。在另一具體實施方式中,使用金屬鑲嵌製程形成金屬部件。然後藉由移除其中沉積金屬的層間介電質以露出金屬部件。因此,露出的金屬部件隨後可以用作其上成長石墨障壁層的催化劑。在某些實施方式中,後續沉積的層間介電質被用來在互連體部分周圍形成空氣間隙,因此改善介電質層的絕緣(並且減少與之相關的電容效應)。於另一實施方式中,在金屬部件上成長石墨障壁層後,金屬部件被移除。剩餘導電石墨結構(本文一般稱為奈米帶,由於其奈米等級尺寸)然後用作互連體。注意,對奈米帶的提述並非暗示特定形狀。相反,奈米帶可以有效地是任何形狀,並且通常符合其所襯墊的互連體區域的形狀或該形狀的一部 分。例如,奈米帶可為環形或箱形、或單個壁或此種形狀的部分。 Therefore, according to the embodiments of the present disclosure, a technique for forming a graphite barrier layer (or “graphite liner”) for an interconnect structure is provided. In a specific embodiment, the metal portion of the interconnect (referred to herein as a "metal component") is formed using a subtractive etching process. This metal part is then used as a catalyst for growing a graphite barrier layer thereon. In another embodiment, a damascene process is used to form the metal component. Then the metal parts are exposed by removing the interlayer dielectric in which the metal is deposited. Therefore, the exposed metal part can then be used as a catalyst for growing a graphite barrier layer thereon. In some embodiments, the subsequently deposited interlayer dielectric is used to form an air gap around the interconnect portion, thus improving the insulation of the dielectric layer (and reducing the capacitive effects associated with it). In another embodiment, after the graphite barrier layer is grown on the metal component, the metal component is removed. The remaining conductive graphite structure (generally referred to herein as a nanoribbon, due to its nanoscale size) is then used as an interconnect. Note that the reference to the nanobelt does not imply a specific shape. In contrast, a nanoribbon can effectively be of any shape, and generally conforms to the shape of the interconnection area it is lined with or a portion of that shape. For example, the nanobelt can be ring-shaped or box-shaped, or a single wall or part of such a shape.

所揭露之形成石墨障壁層的技術可提供各種優點。例如,所揭露的技術可以能夠產生具有小於5奈米或小於2奈米之厚度、或在次奈米之厚度的範圍的石墨障壁層(例如,小於1、小於0.75、小於0.5奈米之厚度、或單層)。在一具體實施例中,石墨障壁層為石墨烯單層。在其它實施例中,石墨障壁層為兩至五個石墨烯單層的群組。額外地,石墨障壁層可實質上與互連體的金屬部件一致,在若干實施方式中提供在金屬-石墨烯界面處產生較少表面散射的介面。其它優點包含相比於具有習知障壁層的互連體有更高的互連體導電性、互連體之間的增進(更低)電容、更小的最小尺寸,其可以匹配具有較小部件尺寸的連續技術世代,以及積體電路之內更高的裝置密度。根據本發明,許多變化和配置將是顯而易見的。 The disclosed technique of forming a graphite barrier layer can provide various advantages. For example, the disclosed technology may be able to produce a graphite barrier layer having a thickness of less than 5 nanometers or less than 2 nanometers, or in the range of sub-nanometer thickness (eg, less than 1, less than 0.75, less than 0.5 nanometer thickness) , Or single layer). In a specific embodiment, the graphite barrier layer is a single layer of graphene. In other embodiments, the graphite barrier layer is a group of two to five graphene monolayers. Additionally, the graphite barrier layer may be substantially consistent with the metal components of the interconnect, and in several embodiments provide an interface that produces less surface scattering at the metal-graphene interface. Other advantages include higher interconnect conductivity than interconnects with conventional barrier layers, increased (lower) capacitance between interconnects, smaller minimum size, which can be matched with smaller Continuous technology generation of component sizes and higher device density within integrated circuits. According to the present invention, many variations and configurations will be apparent.

石墨障壁層 Graphite barrier layer

圖1A依據本揭露的實施方式示出具有石墨障壁層(例如石墨烯)之互連體的積體電路100的一實施例。應注意到圖1A(以及圖1B和1C)為了說明的目的簡化,並且實際互連體結構通常包含相應於導電線和通孔兩者的結構。 FIG. 1A shows an example of an integrated circuit 100 having an interconnection of a graphite barrier layer (such as graphene) according to an embodiment of the present disclosure. It should be noted that FIG. 1A (and FIGS. 1B and 1C) are simplified for illustrative purposes, and the actual interconnect structure generally includes structures corresponding to both conductive lines and vias.

如可以看出,積體電路100包含半導體裝置層102(為了清楚描繪而從後面的圖中省略)、選擇性的基底 層間介電質(ILD)層104以及互連體結構106,互連體結構106包含其中具有複數個金屬部件112的第一ILD層120,每個金屬部件112具有石墨障壁層116。雖然於此實施例中僅顯示為一個互連體結構106,但其它實施方式可包含任何數目之以堆疊組態(例如,金屬層M0-M9)的此種結構。此外,其它實施方式可不包含選擇性基底ILD層104,諸如其中含有石墨烯的互連體結構106直接地設置在裝置層102上的情形中,並且以此種方式提供功能性積體電路。 As can be seen, the integrated circuit 100 includes a semiconductor device layer 102 (omitted from the figure below for clarity), a selective interlayer dielectric (ILD) layer 104 and an interconnect structure 106, an interconnect The structure 106 includes a first ILD layer 120 having a plurality of metal parts 112 therein, each metal part 112 having a graphite barrier layer 116. Although only one interconnect structure 106 is shown in this embodiment, other embodiments may include any number of such structures in a stacked configuration (eg, metal layers M0-M9). In addition, other embodiments may not include the selective base ILD layer 104, such as in the case where the graphene-containing interconnect structure 106 is directly disposed on the device layer 102, and functional integrated circuits are provided in this manner.

可被形成在裝置層102中的半導體裝置的實施例包含但不限制於平面式場效電晶體(FET)以及非平面式FET(例如,鰭片式FET或奈米線FET)、電容器(例如,嵌入式DRAM(eDRAM)電容器)、DRAM單元和SRAM單元等。如將理解的,在裝置層102中施行的實際裝置將取決於積體電路100的目標應用和功能,以及本揭露不旨在限於任何特定應用或功能電路。相反的,本文提供的技術可以與任何數目的裝置層102組態使用。這些通常製造在半導體基板(例如,單晶矽晶圓)上及/或在半導體基板之內的裝置與至少一互連體106電性通訊。互連體結構106透過選擇性連接的通孔和導電線之網路將裝置層102的半導體裝置連接到積體電路之內的其他地方的其他半導體裝置或連接到在積體電路100的上層或下層的接觸。對於每個互連體結構106之連續層,通常更多數目的半導體裝置102可以連接在一起。最終,半導體裝置透過一系列互連體結構106被放置成與輸入及/或輸出電性通訊,使得可以在積體 電路100處接收及/或從積體電路100發送指令及/或資料。在本文的其他圖中,未示出半導體裝置層102。 Examples of semiconductor devices that can be formed in the device layer 102 include, but are not limited to, planar field effect transistors (FETs) and non-planar FETs (eg, finned FETs or nanowire FETs), capacitors (eg, Embedded DRAM (eDRAM) capacitor), DRAM cell and SRAM cell, etc. As will be understood, the actual device implemented in the device layer 102 will depend on the target application and function of the integrated circuit 100, and the present disclosure is not intended to be limited to any particular application or functional circuit. Conversely, the technology provided herein can be used with any number of device layer 102 configurations. These devices, which are usually fabricated on a semiconductor substrate (eg, a single crystal silicon wafer) and/or within the semiconductor substrate, are in electrical communication with at least one interconnect 106. The interconnect structure 106 connects the semiconductor device of the device layer 102 to other semiconductor devices elsewhere in the integrated circuit or to the upper layer of the integrated circuit 100 through a network of selectively connected vias and conductive lines Lower level contact. For each successive layer of interconnect structure 106, generally a greater number of semiconductor devices 102 can be connected together. Finally, the semiconductor device is placed in electrical communication with the input and/or output through a series of interconnect structures 106, so that commands and/or data can be received at and/or sent from the integrated circuit 100. In other figures herein, the semiconductor device layer 102 is not shown.

選擇性基底ILD層104係(在顯示的實施例中)保形地設置在半導體裝置層102上方,從而保護半導體裝置層102免於與積體電路100之內的其它導電部件的意外電性接觸以及用於製造積體電路100的後續處理。此外,基底ILD層104還可以用作其中形成互連體結構106並且選擇性地將一或多個互連體結構106與半導體裝置層102連接的表面。當包含時,ILD 104可以是例如二氧化矽或氮化矽或一些其它合適的絕緣體材料或鈍化材料。可以根據需要設定層的厚度,以向下伏裝置層102提供所需的絕緣及/或保護。 The selective base ILD layer 104 (in the illustrated embodiment) is conformally disposed above the semiconductor device layer 102, thereby protecting the semiconductor device layer 102 from accidental electrical contact with other conductive components within the integrated circuit 100 And the subsequent processing for manufacturing the integrated circuit 100. In addition, the base ILD layer 104 can also be used as a surface in which the interconnect structure 106 is formed and one or more interconnect structures 106 are selectively connected to the semiconductor device layer 102. When included, the ILD 104 may be, for example, silicon dioxide or silicon nitride or some other suitable insulator material or passivation material. The thickness of the layer can be set as needed to provide the required insulation and/or protection to the underlying device layer 102.

在所示的實施例實施方式中,包含在互連體結構106中的每個金屬部件112的側表面與石墨障壁層116接觸。金屬部件112和石墨障壁層116一起形成導電互連體部件。如上所述,障壁層通常用於防止金屬從金屬部件112擴散到相鄰的絕緣體材料中,從而防止積體電路100中的短路及/或以其他方式防止整體上互連體及/或積體電路100的電性效能的降低。雖然傳統的障壁層通常是鉭基的,但是本文所述的障壁包含石墨烯材料,諸如石墨烯,其可以更薄及/或更導電。 In the illustrated embodiment implementation, the side surface of each metal component 112 included in the interconnect structure 106 is in contact with the graphite barrier layer 116. Together, the metal component 112 and the graphite barrier layer 116 form a conductive interconnect component. As described above, the barrier layer is generally used to prevent the diffusion of metal from the metal component 112 into the adjacent insulator material, thereby preventing short circuits in the integrated circuit 100 and/or otherwise preventing the interconnection and/or integrated body as a whole The electrical performance of the circuit 100 is reduced. Although traditional barrier layers are generally tantalum-based, the barriers described herein contain graphene materials, such as graphene, which can be thinner and/or more conductive.

圖1B和1C概略地示出互連體部件的橫向橫截面,於實施例中示出了習知和石墨障壁層相對於互連體部件之總寬度的相對厚度。圖1B概略地示出相對於整體互連 體部件厚度之鉭基障壁層的相對厚度。圖1C概略地示出相對於整體互連體部件厚度之石墨障壁層的厚度。在檢視這些圖(以及如文中所述)為顯而易見的是,與石墨障壁層相比,鉭基障壁層較厚,並且佔據互連體部件的比例更大的橫截面面積。例如,一些互連體部件可以具有25奈米至30奈米的總寬度X1(且在一些具體示例中,目標總寬度X1為27奈米)。如圖1B中所示,習知(例如,鉭基)障壁層可具有襯墊厚度Y1為5奈米至10奈米,因此佔據整體互連體部件寬度10奈米至20奈米。這與具有襯墊厚度Y2為例如0.3奈米至1.5奈米的石墨障壁層相比較(如圖1C所示),因此僅佔據整體互連體厚度0.6奈米至3奈米。石墨烯襯墊互連體中這種比例上較大量的金屬部分地能夠部分地改善石墨烯襯墊互連體的導電性並提高積體電路裝置的效能。 FIGS. 1B and 1C schematically show the transverse cross-section of the interconnection member, and in the embodiment show the relative thickness of the conventional and graphite barrier layer with respect to the total width of the interconnection member. FIG. 1B schematically shows the relative thickness of the tantalum-based barrier layer relative to the thickness of the overall interconnect component. Figure 1C schematically shows the thickness of the graphite barrier layer relative to the thickness of the overall interconnect component. Upon reviewing these figures (and as described herein), it is apparent that the tantalum-based barrier layer is thicker than the graphite barrier layer, and occupies a larger cross-sectional area of the interconnect component. For example, some interconnect components may have a total width X 1 of 25 nm to 30 nm (and in some specific examples, the target total width X 1 is 27 nm). As shown in FIG. 1B, a conventional (eg, tantalum-based) barrier layer may have a liner thickness Y 1 of 5 nanometers to 10 nanometers, thus occupying an overall interconnect component width of 10 nanometers to 20 nanometers. This is compared to a graphite barrier layer having a pad thickness Y 2 of, for example, 0.3 nm to 1.5 nm (as shown in FIG. 1C), and therefore only occupies the overall interconnect thickness of 0.6 nm to 3 nm. Such a relatively large amount of metal in the graphene liner interconnection can partially improve the conductivity of the graphene liner interconnection and improve the efficiency of the integrated circuit device.

方法和架構 Method and architecture

圖2依據本揭露的實施方式示出用於製造包含石墨障壁層的積體電路互連體的方法200。方法200的敘述伴隨著相應於實施例互連體結構的概略橫截面的並行敘述。這些橫截面描繪在圖3A至3E中。 FIG. 2 shows a method 200 for manufacturing an integrated circuit interconnect including a graphite barrier layer according to an embodiment of the present disclosure. The description of the method 200 is accompanied by a parallel description corresponding to the rough cross section of the interconnect structure of the embodiment. These cross-sections are depicted in Figures 3A to 3E.

如於此實施例方案中可看出,方法200包含形成204基底ILD層304在例如半導體基板或裝置層或其它ILD層上。圖2和3A-3E之上下文中敘述的實施方式先假定基底ILD層304之形成204,雖然其它下面敘述的實施例並不需要先形成基底ILD層(或者完全如先前關於圖1A所解釋 的那樣)。再者,將理解到這裡敘述的互連體的實施例直接或間接地連接到半導體裝置和接觸,不管該連接是否在圖中示出。可以進行連接的裝置可以是被動的(例如,電容器、電感器、電阻器)或主動的(例如,電晶體、二極體、放大器、記憶體胞)。 As can be seen in this embodiment, the method 200 includes forming 204 a base ILD layer 304 on, for example, a semiconductor substrate or device layer or other ILD layer. The embodiments described in the context of FIGS. 2 and 3A-3E assume the formation of the base ILD layer 304 204, although other embodiments described below do not require the base ILD layer to be formed first (or exactly as previously explained with respect to FIG. 1A ). Furthermore, it will be understood that the embodiments of the interconnect described herein are directly or indirectly connected to the semiconductor device and contacts, regardless of whether the connection is shown in the figure. Devices that can be connected can be passive (eg, capacitors, inductors, resistors) or active (eg, transistors, diodes, amplifiers, memory cells).

在一實施例實施方式中,基底ILD層304絕緣下伏裝置層,並且可進一步包含穿過絕緣體材料的一或多個互連體部件,以便將裝置層之裝置電性耦接至上面的互連體結構及/或接點。可被用於基底ILD層304之實施例絕緣體材料包含例如氮化物(例如,Si3N4)、氧化物(例如,SiO2、Al2O3)、氮氧化物(例如,SiOxNy)、碳化物(例如,SiC)、碳氧化物、聚合物、矽烷、矽氧烷或其它合適的絕緣體材料。在某些實施方式中,取決於應用,基底ILD層304以超低k絕緣體材料、低k介電質材料或高k介電質材料施行。實施例低k和超低k介電質材料包含:多孔二氧化矽、碳摻雜氧化物(CDO)、諸如過氟化環丁烷或聚四氟乙烯的有機聚合物、氟矽酸鹽玻璃(FSG)以及諸如半矽氧烷、矽氧烷或有機矽酸鹽玻璃的有機矽酸鹽。高k介電質材料的實施例包含例如氧化鉿、氧化矽鉿、氧化鑭、氧化鋁鑭、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、鋇鍶鈦氧化物、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、鉛鈧鉭氧化物和鈮酸鉛鋅。 In an example embodiment, the base ILD layer 304 insulates the underlying device layer, and may further include one or more interconnect components through the insulator material to electrically couple the device of the device layer to the above mutual Siamese structure and/or contacts. Examples of insulator materials that can be used for the base ILD layer 304 include, for example, nitride (eg, Si 3 N 4 ), oxide (eg, SiO 2 , Al 2 O 3 ), oxynitride (eg, SiO x N y ), carbides (eg, SiC), carbon oxides, polymers, silanes, siloxanes, or other suitable insulator materials. In some embodiments, depending on the application, the base ILD layer 304 is implemented as an ultra-low-k insulator material, a low-k dielectric material, or a high-k dielectric material. Examples Low-k and ultra-low-k dielectric materials include: porous silicon dioxide, carbon-doped oxide (CDO), organic polymers such as perfluorinated cyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG) and organosilicates such as hemisiloxane, siloxane or organosilicate glass. Examples of high-k dielectric materials include, for example, hafnium oxide, silicon hafnium oxide, lanthanum oxide, aluminum lanthanum oxide, zirconia, zirconia silicon, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium oxide Titanium, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.

用於形成204基底ILD層304之技術可以為任何廣範圍的合適沉積技術,包含但不必要限制於:物理氣相 沉積(PVD);化學氣相沉積(CVD);旋轉塗佈/旋轉塗佈沉積(SOD);及/或任何前面所提的組合。其它合適的組態、材料、沉積技術及/或用於基底ILD層304的厚度將取決於給定的應用並且參考本揭露將顯而易見。 The technique used to form 204 the base ILD layer 304 can be any wide range of suitable deposition techniques, including but not necessarily limited to: physical vapor deposition (PVD); chemical vapor deposition (CVD); spin coating/spin coating Deposition (SOD); and/or any combination mentioned above. Other suitable configurations, materials, deposition techniques, and/or thicknesses for the base ILD layer 304 will depend on the given application and will be apparent with reference to the present disclosure.

雖然某些文中所述之實施方式可使用金屬鑲嵌製程(這通常是指「單金屬鑲嵌」和「雙金屬鑲嵌」技術兩者)以在ILD層中蝕刻溝槽,其接著以金屬填充,但方法200代替地使用減去金屬蝕刻製程。因此,如圖2中進一步所示並且進一步參考圖3A,方法200繼續藉由在基底ILD層304上形成208毯式金屬層308。這個毯式金屬層308將接著被減去地蝕刻以形成金屬部件。這些金屬部件可以用作其上成長石墨烯層的催化劑,如下文將更詳細地敘述。 Although some of the embodiments described herein may use damascene processes (this usually refers to both "single damascene" and "dual damascene" technologies) to etch trenches in the ILD layer, which are then filled with metal, but Method 200 uses a subtractive metal etching process instead. Therefore, as further shown in FIG. 2 and with further reference to FIG. 3A, the method 200 continues by forming 208 a blanket metal layer 308 on the base ILD layer 304. This blanket metal layer 308 will then be subtractively etched to form a metal part. These metal parts can be used as catalysts for growing graphene layers thereon, as described in more detail below.

用於形成208毯式金屬層308的實施例沉積技術包含但不限制於物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)。毯式金屬層的厚度(α)可以為例如大於其上將沉積石墨烯障壁層的金屬部件之最終尺寸,因為毯式金屬層308可經受可以減小厚度的各種蝕刻。於實施例中,尺寸α可以為從10奈米至500奈米、從10奈米至100奈米、從10奈米至50奈米、從40奈米至60奈米。如將理解的,這些實施例範圍僅僅是說明性的,因為α可以根據所製造的互連體的類型(例如,通孔或導電線)以及其他因素,諸如製造的積體電路之內的金屬層級、技術的尺寸限制條件等因素,而有很大的差別。 Example deposition techniques for forming 208 the blanket metal layer 308 include, but are not limited to physical vapor deposition (PVD), chemical vapor deposition (CVD), and atomic layer deposition (ALD). The thickness (α) of the blanket metal layer may be, for example, greater than the final size of the metal part on which the graphene barrier layer will be deposited, because the blanket metal layer 308 can withstand various etchings that can reduce the thickness. In an embodiment, the size α may be from 10 nm to 500 nm, from 10 nm to 100 nm, from 10 nm to 50 nm, from 40 nm to 60 nm. As will be understood, the scope of these embodiments is merely illustrative, as α can be based on the type of interconnect being fabricated (eg, vias or conductive lines) and other factors, such as the metal within the fabricated integrated circuit Factors such as level and size constraints of the technology are quite different.

用來形成208毯式金屬層308以及可被減去地蝕刻並且用作為在方法200之後續階段中石墨烯成長催化劑的實施例金屬包含例如銅、鋁、鎢和鉭等等。實際考慮通常可以要求可接受使用的最不便宜的金屬。 Example metals used to form 208 the blanket metal layer 308 and which can be subtractively etched and used as a graphene growth catalyst in subsequent stages of the method 200 include, for example, copper, aluminum, tungsten, and tantalum. Practical considerations can often require the least expensive metal acceptable for use.

在形成208之後,毯式金屬層308被蝕刻212以從毯式金屬層308形成金屬部件312,如圖3B所示。亦即,材料從毯式金屬層308被選擇性地移除。在蝕刻之後剩餘的毯式金屬層308的部分在本文中通常稱為金屬部件。在圖2和3A-3E的上下文中,沉積在基底ILD層304的頂部上以及在第一ILD層之內的金屬部件被敘述為第一金屬部件312。第一金屬部件312由藉由毯式金屬層308之其它部分之移除所形成的溝槽分離。於某些實施例中,這些第一金屬部件312將形成裝置和另一金屬層或金屬接點之間、或金屬層之間、或金屬接點之間的互連體的一部分。 After forming 208, blanket metal layer 308 is etched 212 to form metal feature 312 from blanket metal layer 308, as shown in FIG. 3B. That is, the material is selectively removed from the blanket metal layer 308. The portion of the blanket metal layer 308 remaining after etching is generally referred to herein as a metal component. In the context of FIGS. 2 and 3A-3E, the metal feature deposited on top of the base ILD layer 304 and within the first ILD layer is described as the first metal feature 312. The first metal part 312 is separated by a trench formed by the removal of other parts of the blanket metal layer 308. In some embodiments, these first metal features 312 will form part of the interconnect between the device and another metal layer or metal contact, or between metal layers, or metal contacts.

在形成時,每個第一金屬部件312包含露出的頂表面和一或多個露出的側表面,如圖3B所示。如將理解的,每個第一金屬部件312的底表面(相對於頂表面)保持與ILD層304及/或形成在層304之內的導電互連體部件接觸,其中導電互連體部件有效地允許適當的電性連接到下伏裝置層。在圖3B-3E所示之實施方式中,第一金屬部件312之底表面不是用襯墊、石墨烯或其他方式製造的。 When formed, each first metal component 312 includes an exposed top surface and one or more exposed side surfaces, as shown in FIG. 3B. As will be understood, the bottom surface (relative to the top surface) of each first metal feature 312 remains in contact with the ILD layer 304 and/or the conductive interconnect features formed within the layer 304, where the conductive interconnect features are effective Ground allows proper electrical connection to the underlying device layer. In the embodiment shown in FIGS. 3B-3E, the bottom surface of the first metal member 312 is not manufactured with a gasket, graphene, or other means.

在某些實施方式中,減去蝕刻212包含選擇性地應用遮罩於毯式金屬層308,因此保護相應於第一金屬部件312的毯式金屬層308之部分不被蝕刻。一旦應用遮 罩,方向性(各向異性)蝕刻212可被用來移除不被遮罩保護之毯式金屬層308的部分。使用各向異性蝕刻來保持第一金屬部件312的部件寬度尺寸β(在圖3B中表示)從底表面至頂表面近似均勻(例如,5奈米或更小、或2奈米或更小、或1奈米或更小的變化)。各向異性蝕刻包含例如乾式蝕刻,諸如使用臭氧、離子化氬等等的反應離子蝕刻(RIE)。也可以使用其它蝕刻製程(例如,濕式或等向性),如果給定電路可接受,則其可以導致更多的錐形側壁。在更一般的意義上,其上具有石墨襯墊之互連體部件的側壁和頂部可以具有任何形狀或輪廓(例如,s形或其它波浪形、以在下部更寬以及在頂部更窄的錐形、在一側正交並且在另一側呈錐形、凸頂部、凹頂部、凹側壁,僅舉幾個實施例)。部件的形狀可以變化很大,並且任何此種形狀可以被保形地塗佈或者以其它方式具有設置在其上的石墨襯墊。 In some embodiments, subtracting the etch 212 includes selectively applying a mask to the blanket metal layer 308, thus protecting portions of the blanket metal layer 308 corresponding to the first metal component 312 from being etched. Once the mask is applied, a directional (anisotropic) etch 212 can be used to remove portions of the blanket metal layer 308 that are not protected by the mask. Anisotropic etching is used to keep the part width dimension β (represented in FIG. 3B) of the first metal part 312 from the bottom surface to the top surface approximately uniform (for example, 5 nm or less, or 2 nm or less, Or 1 nanometer or less). Anisotropic etching includes, for example, dry etching, such as reactive ion etching (RIE) using ozone, ionized argon, and the like. Other etching processes (eg, wet or isotropic) can also be used, which can result in more tapered sidewalls if a given circuit is acceptable. In a more general sense, the sidewalls and top of the interconnect member with graphite pads thereon can have any shape or profile (eg, s-shaped or other wavy, to make the cone wider at the lower part and narrower at the top Shape, orthogonal on one side and tapered, convex top, concave top, concave side wall on the other side, to name a few examples). The shape of the component can vary widely, and any such shape can be conformally coated or otherwise have a graphite liner disposed thereon.

第一金屬部件312之尺寸β可包含例如但不限制於從10奈米至50奈米、從5奈米至100奈米、從20奈米至30奈米以及從50奈米至100奈米的範圍。第一金屬部件312之部件高度尺寸χ可以包含但不限制於上面指示為尺寸α或稍微更小的範圍。尺寸χ之實施例值包含但不限制於從10奈米至100奈米、從10奈米至50奈米、從40奈米至60奈米以及從50奈米至100奈米的範圍。類似於尺寸α,尺寸β和χ的尺寸為被製造的互連體類型之一或多個類型、在積體電路之內被製造金屬層級、技術之設計規則、用來形成第一 金屬部件312之蝕刻等等的函數。 The size β of the first metal component 312 may include, for example but not limited to, from 10 nm to 50 nm, from 5 nm to 100 nm, from 20 nm to 30 nm, and from 50 nm to 100 nm Scope. The component height dimension χ of the first metal component 312 may include but is not limited to the range indicated above as the dimension α or slightly smaller. Example values of the size χ include but are not limited to the range from 10 nm to 100 nm, from 10 nm to 50 nm, from 40 nm to 60 nm, and from 50 nm to 100 nm. Similar to the size α, the sizes β and χ are one or more types of interconnect types being manufactured, metal levels are manufactured within the integrated circuit, design rules of the technology, used to form the first metal component 312 Of etching etc.

如上所述,用於互連體(例如Cu、W、Ta等)的金屬可以擴散穿過ILD材料,因此潛在地將電路短路在一起,並且損害積體電路的整體功能。為了防止這個擴散,障壁層典型地用來封裝(整體或部分)互連體的金屬部件。在金屬鑲嵌製程中,其中溝槽被蝕刻至ILD層內並且接著以金屬填充,襯墊通常在沉積金屬部件之前沉積。如圖1B概略地顯示以及上面的敘述,習知襯墊(通常為鉭或氮化鉭)佔據溝槽之橫截面面積的三分之一或更多,留下其中沉積用於給定互連體部件的金屬的窄通道。這個窄通道可能難以以金屬均勻地填充,因此進一步降低互連體的電性效能。 As mentioned above, the metal used for interconnects (eg, Cu, W, Ta, etc.) can diffuse through the ILD material, thereby potentially shorting the circuits together and impairing the overall function of the integrated circuit. To prevent this diffusion, the barrier layer is typically used to encapsulate (in whole or in part) the metal parts of the interconnect. In the damascene process, where trenches are etched into the ILD layer and then filled with metal, pads are usually deposited before depositing metal features. As shown diagrammatically in FIG. 1B and the above description, the conventional liner (usually tantalum or tantalum nitride) occupies one third or more of the cross-sectional area of the trench, leaving it deposited for a given interconnect Narrow channel for body parts. This narrow channel may be difficult to fill uniformly with metal, thus further reducing the electrical performance of the interconnect.

為了克服這個挑戰以及其它挑戰,石墨層316(諸如,石墨烯)被保形地形成216在第一金屬部件312之露出的頂或側表面,如圖3C中所示。在這上下文中,保形意味著石墨烯層以相對均勻的方式(在+/-0.2奈米至1奈米之內為了期望的效能層級而言可以忽略不計的變化)設置在下伏部件之表面上方,包含任何下面的部件之形貌。如圖所示,在這實施方式中石墨烯不被沉積在第一金屬部件312之底表面上,因為底表面與基底ILD 304(或更可能的是電路的一些下伏導電部件)接觸。第一金屬部件312作為促進石墨烯沉積的催化劑。這是有益的,因為石墨烯和其它石墨材料可能難以沉積在通常用於ILD之材料組成的表面上。 To overcome this and other challenges, a graphite layer 316 (such as graphene) is conformally formed 216 on the exposed top or side surface of the first metal component 312, as shown in FIG. 3C. In this context, conformal means that the graphene layer is arranged on the surface of the underlying component in a relatively uniform manner (negligible changes within +/- 0.2 nm to 1 nm for the desired performance level) Above, contains the appearance of any below parts. As shown, graphene is not deposited on the bottom surface of the first metal component 312 in this embodiment because the bottom surface is in contact with the substrate ILD 304 (or more likely some underlying conductive components of the circuit). The first metal member 312 serves as a catalyst that promotes the deposition of graphene. This is beneficial because graphene and other graphite materials may be difficult to deposit on surfaces composed of materials commonly used in ILD.

在某些實施方式中,石墨層316之厚度尺寸ε可為大約0.3奈米至大約1.5奈米的範圍(在正常測量準確度和精確度限值之內),其相應於1石墨烯單層至約5石墨烯單層的範圍。圖3C中所示之整體高度尺寸Φ大約是χ和ε的總和。石墨烯障壁層的益處包含互連體的減小的電阻(穿過互連體的導電路徑)和增加的短路容限(導電部件之間)以及其它本文所示的益處。在實施方式中,石墨層的高度Φ與厚度ε的高寬比可以為從26:1至133:1、從40:1至200:1、或甚至高於200:1。 In some embodiments, the thickness dimension ε of the graphite layer 316 may range from about 0.3 nm to about 1.5 nm (within normal measurement accuracy and precision limits), which corresponds to 1 graphene monolayer Up to about 5 graphene monolayers. The overall height dimension Φ shown in FIG. 3C is approximately the sum of χ and ε. The benefits of the graphene barrier layer include reduced resistance of the interconnect (the conductive path through the interconnect) and increased short circuit tolerance (between conductive features) and other benefits shown herein. In an embodiment, the aspect ratio of the height Φ and the thickness ε of the graphite layer may be from 26:1 to 133:1, from 40:1 to 200:1, or even higher than 200:1.

在第一金屬部件312上形成216石墨烯層316的實施例方法(以及如將理解任何其它類似的金屬部件)包含使用碳化氫前驅物(諸如己烷、甲烷、乙烯、乙炔等)其在電漿或熱增強式化學氣相沉積製程中分解。於一實施例中,碳的氣體源(例如,甲烷)與氫混合,且接著藉由加熱混合氣體於800℃至950℃之間而熱分解。也可使用壓力增強式化學氣相沉積,其與上述方法相比將分解碳的氣體源之沉積溫度降低至700℃至850℃之間。然後將銅金屬部件以0.5托和50托之間的壓力(用於低壓CVD沉積)或高達大氣壓並以0.5標準立方厘米每分鐘(sccm)至10sccm的流速露出於加熱的氣體混合物。對於在石墨烯沉積之前金屬部件可能被氧化的情況,基板可以藉由加熱(例如,對於銅高達1000℃)以及將金屬露出於氫氣30分鐘至60分鐘之間而減少。這是將石墨烯沉積在銅金屬部件上之一組條件的一個實施例。應當理解到可以使用氣體、熱輪廓、壓力、流速 和其它參數的其它組合來將石墨烯沉積在給定的金屬部件上。另外的實施例可以在Mattevi的「A Review of Chemical Vapor Deposition of Graphene on Copper」中找到,其出版在Journal of Materials Chemistry,第21卷,3324-3334頁(2011)中。 An example method of forming 216 a graphene layer 316 on a first metal component 312 (and any other similar metal components as will be understood) involves the use of hydrocarbon precursors (such as hexane, methane, ethylene, acetylene, etc.) Decomposition during slurry or heat enhanced chemical vapor deposition process. In one embodiment, a gas source of carbon (eg, methane) is mixed with hydrogen, and then thermally decomposed by heating the mixed gas between 800°C and 950°C. Pressure enhanced chemical vapor deposition can also be used, which reduces the deposition temperature of the carbon decomposition gas source to between 700°C and 850°C compared to the above method. The copper metal part is then exposed to the heated gas mixture at a pressure between 0.5 Torr and 50 Torr (for low pressure CVD deposition) or up to atmospheric pressure and at a flow rate of 0.5 standard cubic centimeters per minute (sccm) to 10 sccm. For the case where metal parts may be oxidized before graphene deposition, the substrate may be reduced by heating (for example, up to 1000°C for copper) and exposing the metal to hydrogen for 30 to 60 minutes. This is an example of a set of conditions for depositing graphene on copper metal parts. It should be understood that other combinations of gas, thermal profile, pressure, flow rate, and other parameters can be used to deposit graphene on a given metal part. Additional examples can be found in "A Review of Chemical Vapor Deposition of Graphene on Copper" by Mattevi, which is published in Journal of Materials Chemistry, Volume 21, pages 3324-3334 (2011).

如圖3D所示,第一ILD材料層320被沉積220在其相應的石墨烯層316之內第一金屬部件312之間,並且接著被平坦化。用來沉積220第一ILD層320的方法可以為例如已經在圖3A之上下文中敘述的任何方法。用於沉積220第一ILD層320之方法還包含用於填充高高寬比溝槽(例如,具有高與寬的高寬比為2:1或更高)之技術,像那些圖3C中所示之在塗覆第一金屬部件312之石墨烯316之間的。這些後續技術包含應用反應(可選地在施加熱的時刻)以形成ILD之一或多個化學前驅物之旋轉塗佈/旋塗沉積(SOD)。一旦第一ILD層320已經沉積220,其被平坦化及/或拋光220以形成適合於後續製造和處理之均勻平坦表面。平坦化及/或拋光技術包含化學機械平坦化(CMP)製程或所需的其它適當的拋光/平坦化製程,使得另一層可以形成在已經在圖3D中顯示之層的頂部。這形成包含數個導電互連體部件的第一互連體結構328。如本文所使用的導電互連體部件統稱為第一金屬部件312及其相應的石墨烯障壁層316。 As shown in FIG. 3D, a first ILD material layer 320 is deposited 220 between its first metal features 312 within its corresponding graphene layer 316, and then planarized. The method used to deposit 220 the first ILD layer 320 may be, for example, any method that has been described in the context of FIG. 3A. The method for depositing 220 the first ILD layer 320 also includes techniques for filling high-aspect-ratio trenches (eg, having a height-to-width aspect ratio of 2:1 or higher), like those shown in FIG. 3C It is shown between the graphene 316 coating the first metal part 312. These subsequent techniques include spin coating/spin coating deposition (SOD) of applying a reaction (optionally at the time when heat is applied) to form one or more chemical precursors of the ILD. Once the first ILD layer 320 has been deposited 220, it is planarized and/or polished 220 to form a uniform flat surface suitable for subsequent manufacturing and processing. The planarization and/or polishing techniques include a chemical mechanical planarization (CMP) process or other suitable polishing/planarization processes as needed so that another layer can be formed on top of the layer already shown in FIG. 3D. This forms a first interconnect structure 328 that contains several conductive interconnect components. The conductive interconnect components as used herein are collectively referred to as the first metal component 312 and its corresponding graphene barrier layer 316.

如圖3D的實施例實施方式中所示,移除相應於第一金屬部件312之頂表面的石墨烯層316的部分。雖然 不希望受理論束縛,但是已經觀察到,石墨烯的電性片電阻在平行於單層碳原子組織之平面(即,平行於石墨烯片的主表面)的方向上極低。石墨烯片的片電阻在垂直於已組織單層碳原子的平面的方向上較高。在沉積石墨層316期間,單層被組織成平行於其上形成有單層的金屬部件312的表面。因此,在第一金屬部件312之測表面上之單層的碳原子平行於那些側表面。這在平行於第一金屬部件312之側表面的方向上提供低的片電阻。對於相似理由,在第一金屬部件312之頂表面上的石墨烯單層平行於第一金屬部件312之頂表面。在第一金屬部件312之頂部上的石墨烯單層比在第一金屬部件312之側表面上的石墨烯單層提供較高的片電阻,因為在頂表面上的單層是垂直於可能通過第一金屬部件之電子流取向。為此原由,在某些實施方式中,第一金屬部件312的頂表面上的一或多石墨烯層被移除,從而將第一金屬部件312的側面上的低片電阻石墨烯層露出於隨後形成的第二互連體。 As shown in the example embodiment of FIG. 3D, a portion of the graphene layer 316 corresponding to the top surface of the first metal part 312 is removed. Although not wishing to be bound by theory, it has been observed that the electrical sheet resistance of graphene is extremely low in a direction parallel to the plane of the monolayer carbon atom structure (ie, parallel to the main surface of the graphene sheet). The sheet resistance of graphene sheets is higher in the direction perpendicular to the plane of the organized single-layer carbon atoms. During the deposition of the graphite layer 316, the monolayer is organized parallel to the surface of the metal member 312 on which the monolayer is formed. Therefore, a single layer of carbon atoms on the measurement surface of the first metal part 312 is parallel to those side surfaces. This provides low sheet resistance in a direction parallel to the side surface of the first metal member 312. For similar reasons, the single layer of graphene on the top surface of the first metal part 312 is parallel to the top surface of the first metal part 312. The graphene monolayer on top of the first metal part 312 provides a higher sheet resistance than the graphene monolayer on the side surface of the first metal part 312 because the monolayer on the top surface is perpendicular to the possible pass The electron flow orientation of the first metal part. For this reason, in some embodiments, one or more graphene layers on the top surface of the first metal component 312 are removed, thereby exposing the low sheet resistance graphene layer on the side of the first metal component 312 to The second interconnect formed later.

於某些實施例中,在第一ILD層320的平坦化220頂表面、石墨烯障壁層316和第一金屬部件312之頂部上沉積224蝕刻停止障壁324。這個組態之實施例實施方式顯示於圖3E中。蝕刻停止障壁324通常是不受用於蝕刻連續ILD層之蝕刻的影響或與ILD相比具有非常慢的蝕刻速率的材料。因此,蝕刻停止障壁保護下伏部件免受在蝕刻停止障壁之上的部件上執行的處理。蝕刻停止障壁324的實施例包含氧化鋁(Al2O3)、氧化鋯(ZrO2)、氮化矽等。使 用先前在基底ILD層304和ILD 320的上下文中敘述的任何沉積和平坦化技術來沉積和平坦化蝕刻停止障壁324。 In some embodiments, an etch stop barrier 324 is deposited 224 on top of the planarization 220 top surface of the first ILD layer 320, the graphene barrier layer 316, and the first metal feature 312. An example implementation of this configuration is shown in Figure 3E. The etch stop barrier 324 is generally a material that is not affected by the etch used to etch the continuous ILD layer or has a very slow etch rate compared to the ILD. Therefore, the etch stop barrier protects the underlying components from the processing performed on the components above the etch stop barrier. Examples of the etch stop barrier 324 include aluminum oxide (Al 2 O 3 ), zirconium oxide (ZrO 2 ), silicon nitride, and the like. The etch stop barrier 324 is deposited and planarized using any deposition and planarization techniques previously described in the context of the base ILD layer 304 and ILD 320.

在另一實施例實施方式中,根據金屬鑲嵌製程製造並且具有習知襯墊的互連體結構被放置成與根據方法200製造的石墨烯襯墊的互連體部件組態之第一互連體結構328電性通訊。根據一此種實施例實施方式,圖4示出用於製造諸如結構的實施例方法400,以及圖5A-5C概略地示出在根據方法400之製造的各種階段之實施例結構的橫截面。在相同晶粒或積體電路上混合石墨烯基互連體結構(諸如328)與習知互連體結構可能是合適的,例如在其中相對較擁擠或密集的互連體結構或層與本文中提供的石墨烯基互連體部件施行的情況下,並且堆疊在其上的下一互連體結構或層以相對較低密度被施行具有習知互連體部件的情況下。於其他實施方式中,在給定的電路或晶粒中的所有的互連體結構可與石墨烯基互連體部件施行。根據本揭露許多此種其它實施方式和變化將是顯而易見的。 In another embodiment, an interconnect structure fabricated according to a damascene process and having a conventional pad is placed as a first interconnect with a graphene liner interconnect component configured according to method 200 Body structure 328 electrical communication. According to one such example embodiment, FIG. 4 shows an example method 400 for manufacturing such a structure, and FIGS. 5A-5C schematically show cross-sections of example structures at various stages of manufacturing according to the method 400. It may be suitable to mix graphene-based interconnect structures (such as 328) and conventional interconnect structures on the same die or integrated circuit. For example, relatively crowded or dense interconnect structures or layers in In the case where the graphene-based interconnect component provided in is implemented, and the next interconnect structure or layer stacked thereon is implemented at a relatively low density with the conventional interconnect component. In other embodiments, all interconnect structures in a given circuit or die can be implemented with graphene-based interconnect components. Many such other embodiments and variations will be apparent from this disclosure.

應理解到於某些實施例中,襯墊(無論鉭基襯墊或石墨襯墊)可被設置在毯式金屬層308和基底ILD層304之間。雖然在圖3A-3E所示的實施例中省略了這選擇性襯墊,但是在執行方法200時,包含此種可選襯墊的實施方式將包含在基底ILD層304和第一互連體結構328之間的襯墊的一部分。 It should be understood that in some embodiments, a liner (whether a tantalum-based liner or a graphite liner) may be disposed between the blanket metal layer 308 and the base ILD layer 304. Although the selective liner is omitted in the embodiment shown in FIGS. 3A-3E, when the method 200 is performed, an embodiment including such an optional liner will be included in the base ILD layer 304 and the first interconnect A portion of the pad between structures 328.

如圖4所示,並且同時參考圖5A-5C,方法400開始於執行402方法200。因此,參考圖2和3提供的先前討 論和各種置換和實施方式在這裡同樣適用。方法400繼續在圖3E所示的結構的蝕刻停止障壁324上沉積404第二ILD層504。接著,根據金屬鑲嵌製造方法,溝槽(圖5B所示)被蝕刻408至第二ILD層504中並且穿過蝕刻停止障壁324。穿過蝕刻停止障壁324的蝕刻404露出第一互連體結構328的互連體部件的頂表面。用於蝕刻404第二ILD層504和蝕刻停止障壁324之技術包含乾式及/或濕式蝕刻(諸如RIE、氫氧化鉀(KOH)及/或氫氟酸(HF))配製成移除ILD層504的絕緣體材料以及移除用來形成蝕刻停止障壁324的材料。如將理解的,數個合適的蝕刻方案是可行的。分別在412和416,障壁層508(諸如,前面敘述之習知鉭基障壁層)和第二金屬部件512(顯示於圖5C)接著形成溝槽中。如於此實施例情形中可看出,顯示的第二金屬部件512包含通孔部分和線部分。障壁層508和第二金屬部件512集體地形成直接與第一互連體結構328之導電互連體部件接觸之第二互連體結構516的導電互連體部件。 As shown in FIG. 4, and referring to FIGS. 5A-5C at the same time, the method 400 begins by performing 402 the method 200. Therefore, the previous discussion and various permutations and implementations provided with reference to Figures 2 and 3 apply here as well. The method 400 continues to deposit 404 a second ILD layer 504 on the etch stop barrier 324 of the structure shown in FIG. 3E. Next, according to the damascene manufacturing method, the trench (shown in FIG. 5B) is etched 408 into the second ILD layer 504 and passes through the etch stop barrier 324. The etch 404 through the etch stop barrier 324 exposes the top surface of the interconnect component of the first interconnect structure 328. Techniques for etching 404 the second ILD layer 504 and the etch stop barrier 324 include dry and/or wet etching (such as RIE, potassium hydroxide (KOH), and/or hydrofluoric acid (HF)) formulated to remove the ILD The insulator material of layer 504 and the material used to form etch stop barrier 324 are removed. As will be understood, several suitable etching schemes are feasible. At 412 and 416, a barrier layer 508 (such as the conventional tantalum-based barrier layer described above) and a second metal component 512 (shown in FIG. 5C) are then formed in the trench. As can be seen in the case of this embodiment, the second metal part 512 shown includes a through hole portion and a line portion. The barrier layer 508 and the second metal part 512 collectively form the conductive interconnect part of the second interconnect structure 516 directly in contact with the conductive interconnect part of the first interconnect structure 328.

根據實施方式,用於製造互連體結構的另一實施例方法600出現在圖6中。這個方法結合鉭基襯墊和石墨襯墊兩者的使用,各用於第二互連體結構之不同部分。圖7A-7D根據實施方式顯示在製造之各種階段中結構之概略橫截面。如可以看出,由實施例方法600製造的裝置之實施例包含與具有習知障壁層之第一部分(相應於通孔部分)以及具有石墨襯墊之第二部分(相應於連接至通孔的金屬線)的導電互連體部件組態之第二互連體結構。 According to an embodiment, another example method 600 for manufacturing an interconnect structure appears in FIG. 6. This method combines the use of both tantalum-based liners and graphite liners, each for a different part of the second interconnect structure. 7A-7D show schematic cross-sections of structures in various stages of manufacturing according to embodiments. As can be seen, an embodiment of the device manufactured by the embodiment method 600 includes a first portion with a conventional barrier layer (corresponding to the through-hole portion) and a second portion with a graphite liner (corresponding to the connection to the through-hole The second interconnect structure configured by the conductive interconnect component of the metal wire).

實施例方法600包含首先執行602方法200(或均等的方法)以產生圖3E中概略地示出之結構。因此,參考圖2和3提供的先前討論和各種置換和實施方式在這裡同樣適用。第二ILD層704(如圖7A所示)形成604在蝕刻停止障壁324的頂部上。第二蝕刻停止障壁706可被形成在第二ILD層704上。第二ILD層704和第二蝕刻停止障壁706被蝕刻608,以形成互連體溝槽。這個互連體溝槽被標度和組態以在單個金屬鑲嵌製程中形成通孔(相對於如圖5B所示的一般在雙金屬鑲嵌製程溝槽中形成的通孔和金屬線)。這個蝕刻608還藉由蝕刻穿過蝕刻停止障壁324露出第一互連體結構328之下伏導電互連體部件的頂表面。障壁層708(例如,鉭基障壁層)被保形地沉積612至溝槽中。毯式金屬層712接著使用任何上面圖2和圖3A之上下文中所敘述之技術沉積616在通孔溝槽中以及在第二ILD層704上方。 The embodiment method 600 includes first performing 602 method 200 (or an equivalent method) to produce the structure shown schematically in FIG. 3E. Therefore, the previous discussion and various permutations and implementations provided with reference to FIGS. 2 and 3 apply here as well. A second ILD layer 704 (shown in FIG. 7A) is formed 604 on top of the etch stop barrier 324. The second etch stop barrier 706 may be formed on the second ILD layer 704. The second ILD layer 704 and the second etch stop barrier 706 are etched 608 to form an interconnect trench. This interconnect trench is scaled and configured to form vias in a single damascene process (relative to the vias and metal lines typically formed in dual damascene process trenches as shown in FIG. 5B). This etch 608 also exposes the top surface of the underlying conductive interconnect component under the first interconnect structure 328 by etching through the etch stop barrier 324. A barrier layer 708 (eg, a tantalum-based barrier layer) is conformally deposited 612 into the trench. The blanket metal layer 712 is then deposited 616 in the via trench and above the second ILD layer 704 using any of the techniques described above in the context of FIGS. 2 and 3A.

如上圖2和圖3B之上下文中所敘述,蝕刻620毯式金屬層。執行蝕刻620以從與互連體部件之通孔部分整合的毯式金屬層712形成互連體部件之線部分。藉由蝕刻620露出的金屬表面也用作其上形成624石墨障壁層720的催化劑。通孔部分和襯墊部分形成第二金屬部件714。第二金屬部件714和石墨障壁層720和障壁層708集體地形成直接與第一互連體結構328之導電互連體部件接觸之第二互連體結構722的導電互連體部件。沉積628第三層ILD 724以在蝕刻的金屬部件之間填充溝槽。實施例最終結構之圖解呈現於圖7D。 As described above in the context of Figures 2 and 3B, the blanket metal layer is etched 620. The etching 620 is performed to form the wire part of the interconnect body part from the blanket metal layer 712 integrated with the through hole part of the interconnect body part. The metal surface exposed by etching 620 also serves as a catalyst for forming 624 the graphite barrier layer 720 thereon. The through hole portion and the pad portion form the second metal part 714. The second metal component 714 and the graphite barrier layer 720 and the barrier layer 708 collectively form the conductive interconnect component of the second interconnect structure 722 directly in contact with the conductive interconnect component of the first interconnect structure 328. A third layer of ILD 724 is deposited 628 to fill the trench between the etched metal features. An illustration of the final structure of the embodiment is presented in FIG. 7D.

類似於方法600,可以重複方法200的部分或全部元素以產生互連體堆疊。在圖8中示出了用於重複一些元件以產生包含穿過兩個或更多個ILD層之通孔互連體的堆疊通孔組態的一實施例方法800,同時參考示出相應的橫截面示意圖的圖9A-9C。方法800包含執行804方法200。因此,參考圖2和3提供的先前討論和各種置換和實施方式,在這裡同樣適用。方法800繼續藉由移除蝕刻停止障壁324的一部分而露出808包含在第一互連體結構328中的導電互連體部件的頂部。形成812第二毯式金屬層904,使得金屬與第一互連體結構328之露出的導電互連體部件的頂表面接觸並與其整合。然後蝕刻816第二毯式金屬層904以產生第二金屬部件912,在這種情況下是與下伏第一金屬部件312整合的通孔。可以使用任何合適的金屬蝕刻方案。接著使用如前面所敘述的製程,在第二金屬部件912之頂表面和側表面上形成820石墨層916。如將會理解的那樣,第二金屬部件912以及其相應的石墨層916連同下伏第一金屬部件312以及其相應的石墨層316集體地形成堆疊通孔918。注意,在這實施例實施方式中,石墨層916被顯示為與石墨層316完全對準。於其他實施方式中,注意兩個石墨層916和316可以至少有些彼此偏移,以便在從一個層轉變到另一個層時提供一個台階或錐形。在822形成第二ILD層920在圍繞第二互連體918處,然後可以將其平坦化。沉積824第二蝕刻停止障壁924在第二ILD層920的平坦化表面上。該製程可接著選擇性地重覆828,以在積 體裝置之後續層級中產生額外的互連體。這些互連體可以是另一通孔部分或線路部分。 Similar to method 600, some or all of the elements of method 200 can be repeated to create an interconnect stack. An embodiment method 800 for repeating some elements to produce a stacked via configuration including via interconnects through two or more ILD layers is shown in FIG. 8, while referring to the corresponding Figures 9A-9C of schematic cross-sections. Method 800 includes performing 804 method 200. Therefore, the previous discussion and various permutations and implementations provided with reference to FIGS. 2 and 3 apply here as well. The method 800 continues to expose 808 the top of the conductive interconnect features included in the first interconnect structure 328 by removing a portion of the etch stop barrier 324. A second blanket metal layer 904 is formed 812 such that the metal contacts and integrates with the top surface of the exposed conductive interconnect component of the first interconnect structure 328. The second blanket metal layer 904 is then etched 816 to produce a second metal feature 912, in this case a via integrated with the underlying first metal feature 312. Any suitable metal etching scheme can be used. Next, using a process as described above, a graphite layer 916 is formed 820 on the top surface and side surfaces of the second metal component 912. As will be understood, the second metal part 912 and its corresponding graphite layer 916 together with the underlying first metal part 312 and its corresponding graphite layer 316 collectively form a stacked through hole 918. Note that in this example implementation, the graphite layer 916 is shown fully aligned with the graphite layer 316. In other embodiments, note that the two graphite layers 916 and 316 may be at least somewhat offset from each other to provide a step or cone when transitioning from one layer to another. A second ILD layer 920 is formed at 822 around the second interconnect 918, which can then be planarized. A second etch stop barrier 924 is deposited 824 on the planarized surface of the second ILD layer 920. This process can then be selectively repeated 828 to create additional interconnects in subsequent levels of the integrated device. These interconnections may be another through hole portion or a wiring portion.

石墨奈米帶互連體架構 Graphite Nanobelt Interconnect Architecture

另一實施例方法1000(圖10中所示)敘述可被用作為沒有相應金屬部件之互連體的石墨奈米帶(奈米等級石墨互連體部件)的製造。相反,方法1000僅使用第一金屬部件312作為形成石墨層的催化劑。在形成石墨層之後,移除金屬以及用介電質材料置換(整體或部分)。相應於方法1000的一些階段的實施例結構圖解顯示於圖11A-11D。這些實施方式的益處包含那些上面指示的,並且還包含減少互連體的尺寸以及相應地增加每個單位面積內互連體的密度。例如,根據某些實施方式石墨烯奈米帶互連體具有橫截面寬度從0.3奈米至2奈米厚,而習知互連體的橫截面寬度遠遠超過5奈米,例如大於10奈米、或從20奈米至30奈米。因此,較小的互連體尺寸可用於支持比使用習知互連體可實現的更多數量的電路和更緊密間隔的電路。 Another embodiment method 1000 (shown in FIG. 10) describes the manufacture of graphite nanoribbons (nano-grade graphite interconnection parts) that can be used as interconnections without corresponding metal parts. In contrast, the method 1000 uses only the first metal part 312 as a catalyst for forming the graphite layer. After forming the graphite layer, the metal is removed and replaced with a dielectric material (whole or part). A structural diagram of an embodiment corresponding to some stages of method 1000 is shown in FIGS. 11A-11D. The benefits of these embodiments include those indicated above, and also include reducing the size of interconnects and correspondingly increasing the density of interconnects per unit area. For example, according to some embodiments, graphene nanoribbon interconnects have a cross-sectional width from 0.3 nanometers to 2 nanometers thick, while the cross-sectional widths of conventional interconnectors far exceed 5 nanometers, such as greater than 10 nanometers Rice, or from 20 nanometers to 30 nanometers. Therefore, a smaller interconnect size can be used to support a greater number of circuits and more closely spaced circuits than can be achieved using conventional interconnects.

實施例方法1000包含執行1002實施例方法200(或均等方法)以產生圖3D中概略地示出的結構。因此,參考圖2和3提供的先前討論和各種置換和實施方式在這裡同樣適用。如圖11A所示(並且等同圖3D),露出第一互連體結構328之導電互連體部件之頂表面。如圖11B所示,第一金屬部件312接著被移除1004以形成由石墨障壁 層316和下伏基底ILD層304限定的空腔1104。第一金屬部件312可被移除,例如使用方向性蝕刻,諸如上面那些選擇性地移除金屬部件而沒有移除ILD 320材料之圖2和3B之上下文敘述中的一或多個。替代地,或此外,遮罩可被用來保護ILD 320和石墨烯障壁層316之一些部分而免受金屬蝕刻。 The embodiment method 1000 includes performing 1002 the embodiment method 200 (or equivalent method) to produce the structure shown diagrammatically in FIG. 3D. Therefore, the previous discussion and various permutations and implementations provided with reference to FIGS. 2 and 3 apply here as well. As shown in FIG. 11A (and equivalent to FIG. 3D), the top surface of the conductive interconnect component of the first interconnect structure 328 is exposed. As shown in FIG. 11B, the first metal component 312 is then removed 1004 to form a cavity 1104 defined by the graphite barrier layer 316 and the underlying substrate ILD layer 304. The first metal component 312 can be removed, for example, using directional etching, such as those above to selectively remove the metal component without removing one or more of the ILD 320 materials in the context of FIGS. 2 and 3B. Alternatively, or in addition, a mask may be used to protect portions of the ILD 320 and the graphene barrier layer 316 from metal etching.

如圖11C所示,諸如ILD(其可以與用於基底ILD 304、第一ILD層320相同或不同於那些材料中的一或兩者)的介電質材料1108接著選擇性地形成1008在空腔1104中。用於形成介電質材料1108在空腔1104中的技術包含任何上面呈現的ILD沉積技術。這些技術包含但不限制於那些用於沉積至較高的高寬比空腔的沉積,諸如旋轉塗佈/旋塗沉積(SOD)。在一些實施方式中,可以使用不適於沉積成較高的高寬比空腔的其它沉積技術。這是因為介電質材料1108沒有必需要沒有缺陷,並且可包含空隙或不損害作為互連體的石墨奈米帶之功能的其它缺陷。於另一其他實施方式中,空腔1104可以保持沒有ILD,從而在石墨層之間產生空氣間隙(其優點如下所述)。 As shown in FIG. 11C, a dielectric material 1108 such as an ILD (which may be the same as or different from one or both of those used for the base ILD 304, the first ILD layer 320) is then selectively formed 1008 in the air Cavity 1104. The techniques used to form the dielectric material 1108 in the cavity 1104 include any of the ILD deposition techniques presented above. These techniques include, but are not limited to, those used for deposition to higher aspect ratio cavities, such as spin coating/spin coating deposition (SOD). In some embodiments, other deposition techniques that are not suitable for deposition into higher aspect ratio cavities may be used. This is because the dielectric material 1108 does not necessarily need to be free of defects, and may contain voids or other defects that do not impair the function of the graphite nanoribbons as interconnects. In yet other embodiments, the cavity 1104 may remain free of ILD, thereby creating an air gap between the graphite layers (the advantages are described below).

當石墨烯障壁層316置放於與半導體裝置或接點(未示出)及/或另一電性傳導互連體(例如,積體電路之內的另一金屬層級)電性通訊時,每個石墨烯障壁層316可用作石墨奈米帶互連體。下面敘述與另一個電性互連體的製造和連接,如圖11D所示。 When the graphene barrier layer 316 is placed in electrical communication with a semiconductor device or contact (not shown) and/or another electrically conductive interconnect (for example, another metal level within an integrated circuit), Each graphene barrier layer 316 may serve as a graphite nanoribbon interconnect. The following describes the manufacture and connection to another electrical interconnect, as shown in FIG. 11D.

介電質材料1108和石墨烯障壁層316之露出的 頂表面被平坦化1012。在這平坦化表面上沉積1016蝕刻停止障壁1112。在蝕刻停止障壁1112上沉積1020 ILD層1116。蝕刻停止障壁1112和ILD層1116都可以根據任何合適的沉積技術沉積,例如上述那些。 The exposed top surfaces of the dielectric material 1108 and the graphene barrier layer 316 are planarized 1012. An etch stop barrier 1112 is deposited 1016 on this planarized surface. An ILD layer 1116 is deposited 1020 on the etch stop barrier 1112. Both the etch stop barrier 1112 and the ILD layer 1116 can be deposited according to any suitable deposition technique, such as those described above.

根據金屬鑲嵌處理技術,在ILD層1116中蝕刻1024溝槽,使得蝕刻停止障壁1112也被部分地移除,以露出下伏石墨烯奈米帶互連體部件之頂表面(在本文提供的其它實施方式中用作為互連體襯墊)。障壁層1118(例如,氧化鋁、氧化鋯或氮化矽)以及金屬層1120被沉積1028在溝槽中並且在ILD層1116上方。在溝槽中的金屬層1120的一部分直接與石墨烯奈米帶接觸,因此形成石墨烯(或更一般的石墨)奈米帶互連體1124。這結構示出於圖11D中。 According to the damascene processing technique, 1024 trenches are etched in the ILD layer 1116, so that the etch stop barrier 1112 is also partially removed to expose the top surface of the underlying graphene nanoribbon interconnect component (others provided herein) Used as an interconnect pad in the embodiment). A barrier layer 1118 (eg, alumina, zirconia, or silicon nitride) and a metal layer 1120 are deposited 1028 in the trench and above the ILD layer 1116. A portion of the metal layer 1120 in the trench directly contacts the graphene nanoribbons, thus forming graphene (or more general graphite) nanoribbon interconnects 1124. This structure is shown in FIG. 11D.

如圖11D所示,它是之前設置在第一金屬部件312之側表面上、而不是頂表面上的石墨層,其被用作石墨烯奈米帶互連體。亦即,如上面圖3D之上下文中所解釋,圖11D中所示之石墨烯層(例如,一或多個單層)為平行於側表面取向。這使用具有與電流流動方向平行之較低片電阻的石墨烯單層作為互連體。然而,於另一未示出的實施方式中,相應於第一金屬部件之頂表面的一些或全部的石墨烯層316可以被保持以增加用於與另一互連體進行接觸的區域。這可以藉由例如調整保護遮罩的尺寸或以其它方式使用選擇性施加的蝕刻來實現,以在移除(例如,蝕刻或拋光)所示結構的其它部分時避免移除頂表面石墨烯層的一部分。 As shown in FIG. 11D, it is a graphite layer previously provided on the side surface of the first metal member 312 instead of the top surface, which is used as a graphene nanoribbon interconnector. That is, as explained above in the context of FIG. 3D, the graphene layer (eg, one or more monolayers) shown in FIG. 11D is oriented parallel to the side surface. This uses a single layer of graphene with a lower sheet resistance parallel to the direction of current flow as the interconnect. However, in another embodiment not shown, some or all of the graphene layer 316 corresponding to the top surface of the first metal component may be maintained to increase the area for making contact with another interconnect. This can be achieved by, for example, adjusting the size of the protective mask or otherwise using selectively applied etching to avoid removing the top surface graphene layer when removing (eg, etching or polishing) other parts of the structure shown a part of.

於其他實施方式中,應當理解,介電質材料1108及/或第一ILD層320可被配置為包含「空氣間隙」。空氣間隙的製造和益處敘述在下方圖12和13A-13E之上下文中。下方所敘述之技術在圖8和9A-9C的上下文中顯示和敘述的實施方式的應用將是顯而易見的。 In other embodiments, it should be understood that the dielectric material 1108 and/or the first ILD layer 320 may be configured to include an "air gap." The manufacture and benefits of air gaps are described in the context of Figures 12 and 13A-13E below. The application of the technology described below to the embodiments shown and described in the context of FIGS. 8 and 9A-9C will be apparent.

雖然上面圖11D之敘述中描述了用於將金屬層1120連接至石墨烯奈米帶互連體1124的金屬鑲嵌製程,但金屬層1120還可以透過蝕刻製程製造,如上圖2之上下文所述。亦即,石墨烯奈米帶互連體1123之頂表面被露出,毯式金屬層被沉積在障壁蝕刻停止1112和石墨烯奈米帶互連體之露出的頂表面上。接著從毯式金屬層蝕刻金屬部件,其可接著襯有鉭襯墊或石墨襯墊(如文中所述的那樣)。無論如何,所得到的結構可以被封裝在ILD材料中。 Although the damascene process for connecting the metal layer 1120 to the graphene nanoribbon interconnect 1124 is described in the description of FIG. 11D above, the metal layer 1120 can also be manufactured through an etching process, as described in the context of FIG. 2 above. That is, the top surface of the graphene nanoribbon interconnect 1123 is exposed, and the blanket metal layer is deposited on the exposed top surface of the barrier etch stop 1112 and the graphene nanoribbon interconnect. The metal parts are then etched from the blanket metal layer, which can then be lined with tantalum or graphite liners (as described herein). In any case, the resulting structure can be encapsulated in ILD material.

應能理解到儘管各自獨立地敘述了前述方法,但是可以組合以產生具有圖3E、5C、7D、9C、11D、13E和14D中所示的一或多種結構的組合之積體電路裝置。例如,石墨奈米帶互連體1124可以與上面敘述的其它實施方式組合,使得鉭基障壁層設置在石墨奈米帶1124和第二互連體之間、石墨障壁層設置在石墨奈米帶1124和第二互連體之間、或第二互連體之金屬部件與石墨奈米帶互連體1124直接接觸。這些中的每一者又可以被製造成在一或多個絕緣體層之內包含空氣間隙(下面更詳細地敘述)。再者,前述各個實施例可以製造成在第二互連體的金屬部件的側表面上包含石墨障壁層。文中所述之實施方式的各 種其它組合也是可能的。 It should be understood that although the aforementioned methods are described independently, they can be combined to produce a combined integrated circuit device having one or more of the structures shown in FIGS. 3E, 5C, 7D, 9C, 11D, 13E, and 14D. For example, the graphite nanoribbon interconnect 1124 may be combined with other embodiments described above, such that the tantalum-based barrier layer is disposed between the graphite nanoribbon 1124 and the second interconnect, and the graphite barrier layer is disposed on the graphite nanoribbon Between 1124 and the second interconnector, or the metal part of the second interconnector is in direct contact with the graphite nanoribbon interconnection 1124. Each of these can in turn be fabricated to contain air gaps within one or more insulator layers (described in more detail below). Furthermore, the foregoing various embodiments may be manufactured to include a graphite barrier layer on the side surface of the metal part of the second interconnector. Various other combinations of the embodiments described herein are also possible.

具有空氣間隙介電質的石墨障壁 Graphite barrier with air gap dielectric

本揭露之又一實施方式可被製造以包含空氣間隙。空氣間隙是介電質層內的體積,並且由不含介電質材料的介電質層限定。除了上述已經討論的石墨障壁的導電性和電容改善之外,在介電質層中包含空氣間隙的益處包括降低積體電路的電容。 Yet another embodiment of the present disclosure can be manufactured to include air gaps. The air gap is the volume within the dielectric layer, and is defined by the dielectric layer that contains no dielectric material. In addition to the improved conductivity and capacitance of the graphite barriers already discussed above, the benefits of including air gaps in the dielectric layer include lowering the capacitance of the integrated circuit.

用於製造包含空氣間隙之實施方式的實施例方法1200在圖12中示出。同時參考圖13A-13E和14A-14D也在下面圖12之敘述中指示。 An example method 1200 for manufacturing an embodiment including an air gap is shown in FIG. 12. 13A-13E and 14A-14D are also indicated in the description of FIG. 12 below.

如上圖2和3A之上下文所述,方法1200包含形成1204基底ILD層1300。回想一下,基底ILD層1300是選擇性的,並且如果包含的話,還可以進一步包含導電部件以促進與任何給定的互連體層或結構期望的電性連接體。然後使用兩種技術之一,在基底ILD層上形成1208第一金屬部件。一種技術是金屬鑲嵌製程工藝,另一種技術是在圖2的上下文中敘述的蝕刻製程。 As described above in the context of FIGS. 2 and 3A, method 1200 includes forming 1204 a base ILD layer 1300. Recall that the base ILD layer 1300 is selective, and if included, may further include conductive features to facilitate the desired electrical connection to any given interconnect layer or structure. Then one of two techniques is used to form 1208 a first metal feature on the base ILD layer. One technique is the damascene process, and the other technique is the etching process described in the context of FIG. 2.

如圖13A所示,使用金屬鑲嵌製程之第一金屬部件的形成1208由在基底ILD層1300上形成1212暫時性ILD層1304開始。接著在暫時性ILD層1304中蝕刻1216溝槽。如圖13B所示,形成1220暫時性障壁層1308在諸如鉭基障壁的溝槽之內。其後是在不被暫時性襯墊1308佔據之溝槽的部分之內金屬1312的形成1222。如圖13C所示,然 後使用選擇性蝕刻(例如,以比其它露出的材料顯著更快的速率移除暫時性ILD 1304所構成的蝕刻)移除1224暫時性ILD層1304,該選擇性蝕刻諸如臭氧或離子化氬RIE。暫時性ILD層1304之實施例包含上面對於其它ILD敘述的組成。雖然圖13B至13E顯示了暫時性ILD層1304的完全移除,但是不一定是這種情況。於某些實施例中,例如,暫時性ILD層1304的一部分可以保留在基底ILD 1300上,直到金屬1312之高度的大約1/3。然而,為了便於說明,圖式和敘述假設暫時性ILD層1304的移除。 As shown in FIG. 13A, the formation 1208 of the first metal component using the damascene process begins by forming 1212 the temporary ILD layer 1304 on the base ILD layer 1300. Next, a 1216 trench is etched in the temporary ILD layer 1304. As shown in FIG. 13B, a temporary barrier layer 1308 is formed 1220 within a trench such as a tantalum-based barrier. This is followed by the formation 1222 of the metal 1312 within the portion of the trench that is not occupied by the temporary liner 1308. As shown in FIG. 13C, a selective etch (eg, an etch consisting of removing the temporary ILD 1304 at a significantly faster rate than other exposed materials) is then used to remove 1224 the temporary ILD layer 1304, such as Ozone or ionized argon RIE. An embodiment of the temporary ILD layer 1304 includes the composition described above for other ILDs. Although FIGS. 13B to 13E show the complete removal of the temporary ILD layer 1304, this is not necessarily the case. In some embodiments, for example, a portion of the temporary ILD layer 1304 may remain on the substrate ILD 1300 until approximately 1/3 of the height of the metal 1312. However, for ease of explanation, the drawings and narrative assume a temporary removal of the ILD layer 1304.

無論如何,一些或全部暫時性ILD層1304的移除露出一些或全部的第一金屬部件1312。如前面敘述的金屬鑲嵌製程的結果,其在金屬沉積之前沉積暫時性襯墊在溝槽之露出的表面上,第一金屬部件1312包含設置在金屬1312和基底ILD 1300之間的襯墊1308的一部分,如圖13C所示。應當理解到與此相似的製程可以應用於本文所述的任何實施方式,使得第一或第二互連體結構(例如,328、512、722)可以包含在互連體結構和下伏層之間的襯墊,包含作為雙金屬鑲嵌製程的一部分製造之鉭基襯墊。 Regardless, the removal of some or all of the temporary ILD layer 1304 exposes some or all of the first metal component 1312. As a result of the damascene process previously described, which deposits a temporary liner on the exposed surface of the trench before metal deposition, the first metal part 1312 includes the liner 1308 disposed between the metal 1312 and the substrate ILD 1300 Part, as shown in Figure 13C. It should be understood that processes similar to this can be applied to any of the embodiments described herein so that the first or second interconnect structure (eg, 328, 512, 722) can be included between the interconnect structure and the underlying layer The liner includes a tantalum-based liner manufactured as part of the dual damascene process.

如圖13D所示,石墨障壁(例如,石墨烯)1318在露出的第一金屬部件1312以及剩餘的暫時性襯墊1308上方保形地形成1228,其一起形成第一互連體結構1316。用於在金屬催化劑(諸如,第一金屬部件1312)上形成1228石墨烯的技術已在前文敘述。 As shown in FIG. 13D, a graphite barrier (eg, graphene) 1318 is conformally formed 1228 over the exposed first metal component 1312 and the remaining temporary liner 1308, which together form the first interconnect structure 1316. Techniques for forming 1228 graphene on a metal catalyst (such as the first metal component 1312) have been described above.

如圖13E所示,使用任何合適的沉積製程(諸 如(例如)CVD、PCVD或PECVD)在第一互連體1316上方形成第二ILD層1320。使用氣相沉積技術(像是與包含可流動的液相前驅物的SOD的技術相反)形成第二ILD層1320有利於在第一互連體結構1316之間的第二ILD層1320之內形成空氣間隙1322。因為氣相前驅物分子在最靠近前驅物之來源的第一互連體1316的表面(即第一互連體1316的頂表面)和靠近頂表面的側表面成核所以空氣間隙1322被建立。一旦成核,第二ILD層1320成長得比尚未成核的第二ILD材料微晶的那些表面更快。因此,第二ILD層1320最終在一或多個第一互連體1316的頂表面附近形成連續的障壁,從而防止第一互連體之間的進一步沉積。這導致在圖13E中所顯示之空隙或空氣間隙1322。空氣間隙之尺寸可以變化,但是在一些情況下在最寬的部分處於約1奈米至5奈米的範圍內,儘管也可以施行更大的空氣間隙。在更一般的意義上,空氣間隙通常可以從相對較小(例如,小於1奈米)之非有意的空隙中辨別出來。在第二ILD層1320中包含空氣間隙1322的一個益處包含在相鄰第一互連體間降低的電容。 As shown in FIG. 13E, a second ILD layer 1320 is formed over the first interconnect 1316 using any suitable deposition process (such as, for example, CVD, PCVD, or PECVD). The formation of the second ILD layer 1320 using vapor deposition technology (as opposed to the technology of SOD containing flowable liquid precursors) facilitates formation within the second ILD layer 1320 between the first interconnect structures 1316 Air gap 1322. The air gap 1322 is established because the gas-phase precursor molecules nucleate on the surface of the first interconnect 1316 closest to the source of the precursor (ie, the top surface of the first interconnect 1316) and the side surface near the top surface. Once nucleated, the second ILD layer 1320 grows faster than those surfaces of the second ILD material crystallites that have not yet nucleated. Therefore, the second ILD layer 1320 eventually forms a continuous barrier near the top surface of the one or more first interconnects 1316, thereby preventing further deposition between the first interconnects. This results in the gap or air gap 1322 shown in FIG. 13E. The size of the air gap can vary, but in some cases the widest part is in the range of about 1 nanometer to 5 nanometers, although larger air gaps can also be implemented. In a more general sense, air gaps can often be discerned from unintentional gaps that are relatively small (eg, less than 1 nanometer). One benefit of including an air gap 1322 in the second ILD layer 1320 includes reduced capacitance between adjacent first interconnects.

圖12還示出使用蝕刻製程形成第一金屬部件的替代技術(也敘述於圖2之上下文中)。闡明這個替代技術之一些階段的橫斷面視圖出現在圖14A-14D。如上圖2之上下文所述,毯式金屬層1404形成1213在基底ILD層1300上。從毯式金屬層1404蝕刻1217第一金屬部件1412。形成1213和後續蝕刻1217集體地稱為蝕刻製程。 FIG. 12 also shows an alternative technique for forming the first metal component using an etching process (also described in the context of FIG. 2). Cross-sectional views illustrating some stages of this alternative technique appear in Figures 14A-14D. As described above in the context of FIG. 2, a blanket metal layer 1404 is formed 1213 on the base ILD layer 1300. The first metal part 1412 is etched 1217 from the blanket metal layer 1404. The formation 1213 and the subsequent etching 1217 are collectively referred to as an etching process.

如上也在圖2之上下文所述,石墨層1416形成1228在第一金屬部件之頂表面和側表面上。不像使用金屬鑲嵌程序的技術,在第一金屬部件1412和基底ILD層1300之間沒有設置障壁或中間層。相反,在蝕刻製程中形成的第一金屬部件類似於圖3D所示的結構。方法1200藉由製造定義空氣間隙的第二ILD層1420繼續,如上關於元件1232所述,並且如圖14D所示。 As also described above in the context of FIG. 2, a graphite layer 1416 is formed 1228 on the top and side surfaces of the first metal component. Unlike the technique using the damascene procedure, no barrier or intermediate layer is provided between the first metal component 1412 and the base ILD layer 1300. In contrast, the first metal part formed in the etching process is similar to the structure shown in FIG. 3D. Method 1200 continues by manufacturing a second ILD layer 1420 that defines an air gap, as described above with respect to element 1232, and as shown in FIG. 14D.

如上所述,方法1200可以與任何上述實施例組合以產生具有上述結構中的一或多個的裝置。 As described above, the method 1200 can be combined with any of the above-described embodiments to produce a device having one or more of the above-described structures.

在分析(例如,使用掃描/穿透式電子顯微鏡(SEM/TEM)、組成映射、二次離子質譜法(SIMS)、原子探針斷層攝影、拉曼光譜、晶體學及其組合)時,根據一或多個實施方式組態的結構或裝置將在上述積體電路和圖式中的積體電路之內的位置處顯示具有大於75原子%的原子百分比的富含碳層(即,石墨烯)。 When analyzing (for example, using scanning/transmission electron microscopy (SEM/TEM), composition mapping, secondary ion mass spectrometry (SIMS), atomic probe tomography, Raman spectroscopy, crystallography, and combinations thereof), according to The structure or device configured in one or more embodiments will display a carbon-rich layer having an atomic percentage greater than 75 atomic% (ie, graphene) at a position within the integrated circuit and the integrated circuit in the drawings ).

實施例系統 Example system

圖15示出了計算系統1500,係以根據本揭露的實施例實施方式的配置及/或以其它方式所製造的一個或多個積體電路結構來施行。如可所見,計算系統1500容納主機板1502。主機板1502包含多個組件,多個組件包括但不限於處理器1504及至少一通訊晶片1506,其每一個可以實體和電耦接至主機板1502,或以其他方式整合在其中。應當領會,主機板1502可以是例如任何印刷電路板, 無論是主機板還是安裝在主機板上的子板或計算系統1500的唯一的板等。取決於其應用,計算系統1500包含可以或不可以實體地及電耦接至主機板1502的一或多個其它組件。這些其他組件可包含(但不限於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、陀螺儀、喇叭、相機、和大量儲存裝置(諸如硬碟機、光碟(CD)、數位多功能影音光碟(DVD)、等等)。包含在計算系統1500中的任何組件可以包含與一或多個具有石墨障壁層或奈米等級導電互連體部件之導電互連體部件組態的一或多個積體電路結構,如本文中所敘述的。這些積體電路結構可被用於例如施行板上處理器快取或記憶體陣列或其它包含互連體的電路部件。在有些實施方式中,多重功能可以被整合入一或多個晶片中(例如,諸如,注意到通訊晶片1506可以是該處理器1504的部分或者被整合入該處理器1504中)。 FIG. 15 shows a computing system 1500 implemented with one or more integrated circuit structures configured and/or manufactured in other ways according to the embodiment of the present disclosure. As can be seen, the computing system 1500 houses a motherboard 1502. The motherboard 1502 includes multiple components. The multiple components include but are not limited to the processor 1504 and at least one communication chip 1506, each of which may be physically and electrically coupled to the motherboard 1502, or otherwise integrated therein. It should be appreciated that the motherboard 1502 may be, for example, any printed circuit board, whether it is a motherboard, a daughter board mounted on the motherboard, or the only board of the computing system 1500, or the like. Depending on its application, the computing system 1500 includes one or more other components that may or may not be physically and electrically coupled to the motherboard 1502. These other components may include, but are not limited to, volatile memory (eg, DRAM), non-volatile memory (eg, ROM), graphics processor, digital signal processor, cryptographic processor, chipset, antenna, display , Touch screen display, touch screen controller, battery, audio codec, video codec, power amplifier, global positioning system (GPS) device, compass, accelerometer, gyroscope, speaker, camera, and mass storage Devices (such as hard drives, compact discs (CD), digital multi-functional audio-visual discs (DVD), etc.). Any component included in the computing system 1500 may include one or more integrated circuit structures configured with one or more conductive interconnect components having graphite barrier layers or nano-scale conductive interconnect components, as described herein Narrated. These integrated circuit structures can be used, for example, to implement on-board processor caches or memory arrays or other circuit components that include interconnects. In some embodiments, multiple functions may be integrated into one or more chips (for example, such as noting that the communication chip 1506 may be part of the processor 1504 or integrated into the processor 1504).

通訊晶片1506致使資料的轉移來往於計算系統1500的無線通訊。用語「無線」及其衍生詞可被用來描述電路、裝置、系統、方法、技術、通訊頻道、等等,其可經由使用透過非固態媒體之經調變的電磁輻射來通訊資料。該用語並不隱含相關聯的裝置不含任何導線,雖然在有些實施方式中它們可能不含有。通訊晶片1506可施行許 多無線標準或協定的任一者,其包含(但不限於)Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及被命名為3G、4G、5G、及往後的任何其他無線協定。計算系統1500可包含多個通訊晶片1506。舉例而言,第一通訊晶片1506專用於例如Wi-Fi及藍牙等較短程無線通訊,而第二通訊晶片1506專用於例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、等等較長程無線通訊。 The communication chip 1506 causes the transfer of data to and from the wireless communication of the computing system 1500. The term "wireless" and its derivatives can be used to describe circuits, devices, systems, methods, technologies, communication channels, etc., which can communicate data through the use of modulated electromagnetic radiation through non-solid media. The term does not imply that the associated devices do not contain any wires, although in some embodiments they may not. The communication chip 1506 can implement any of many wireless standards or protocols, including (but not limited to) Wi-Fi (IEEE 802.11 series), WiMAX (IEEE 802.16 series), IEEE 802.20, Long Term Evolution (LTE), Ev-DO , HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, its derivatives, and any other wireless protocols named 3G, 4G, 5G, and beyond. The computing system 1500 may include multiple communication chips 1506. For example, the first communication chip 1506 is dedicated to shorter-range wireless communication such as Wi-Fi and Bluetooth, while the second communication chip 1506 is dedicated to GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, etc. Long-range wireless communication.

計算系統1500之處理器1504包含封裝在處理器1504之內的積體電路晶粒。在本揭露的某些實施方式中,處理器的積體電路晶粒包含板上記憶體電路,該板上記憶體電路由配置有石墨障壁層或奈米等級導電互連體部件的一或更多積體電路結構施行,如本文中所敘述的。用語「處理器」可以指任何裝置或裝置的部分,其處理來自暫存器及/或記憶體之電子資料而將該電子資料轉變成可被儲存於暫存器及/或記憶體中的其他電子資料。 The processor 1504 of the computing system 1500 includes an integrated circuit die packaged in the processor 1504. In some embodiments of the present disclosure, the integrated circuit die of the processor includes an on-board memory circuit, which is composed of one or more components equipped with a graphite barrier layer or a nano-level conductive interconnect The implementation of the multi-integrated circuit structure is as described in this article. The term "processor" may refer to any device or part of a device that processes electronic data from a scratchpad and/or memory and converts the electronic data into other data that can be stored in the scratchpad and/or memory Electronic information.

通訊晶片1506也可包含封裝在通訊晶片1506之內的積體電路晶粒。根據某些此種實施例實施方式,通訊晶片之積體電路晶粒包含以如文中各所敘述而形成之一或更多積體電路結構(例如,在給定的互連體層或奈米等級導電互連體部件或可能受益於薄石墨障壁層的其它半導體結構之內的金屬鑲嵌和雙金屬鑲嵌石墨障壁層)施行的 一或多個裝置。根據本公開,應當注意,多標準無線能力可以直接整合到處理器1504中(例如,其中任何通訊晶片1506的功能被整合到處理器1504中,而不是具有單獨的通信晶片)。此外,注意到,處理器1504可以是具有此種無線能力的晶片組。簡言之,可以使用任何數量的處理器1504及/或通訊晶片1506。同樣,任何一個晶片或晶片組可以具有整合在其中的多個功能。 The communication chip 1506 may also include an integrated circuit die packaged in the communication chip 1506. According to some such embodiment implementations, the integrated circuit die of the communication chip includes one or more integrated circuit structures formed as described in each article (eg, conductive at a given interconnect layer or nanoscale One or more devices implemented by metal damascene and bimetal damascene graphite barrier layers within interconnect semiconductor components or other semiconductor structures that may benefit from thin graphite barrier layers. According to the present disclosure, it should be noted that multi-standard wireless capabilities can be directly integrated into the processor 1504 (eg, where the functions of any communication chip 1506 are integrated into the processor 1504 instead of having a separate communication chip). In addition, it is noted that the processor 1504 may be a chipset with such wireless capabilities. In short, any number of processors 1504 and/or communication chips 1506 can be used. Likewise, any one wafer or wafer set may have multiple functions integrated therein.

在各個實施方案中,計算系統1500可為膝上型電腦、輕省筆電、筆記型電腦、智慧型手機、平板電腦、個人數位助理(PDA)、超薄行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、顯示器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。在進一步實施方案中,計算系統1500可為任何其它如各種本文中所述之處理資料或採用與具有石墨障壁層之一或更多導電互連體部件組態的積體電路部件的電子裝置。 In various embodiments, the computing system 1500 may be a laptop, light laptop, laptop, smart phone, tablet, personal digital assistant (PDA), ultra-thin mobile PC, mobile phone, desktop Computer, server, printer, scanner, monitor, set-top box, entertainment control unit, digital camera, portable music player, or digital video recorder. In further embodiments, the computing system 1500 may be any other electronic device that processes data as described herein or employs integrated circuit components configured with one or more conductive interconnect components having graphite barrier layers.

進一步實施例實施方式 Further examples

以下實施例涉及進一步的實施方式,從其中可以看出許多置換和組態。 The following examples relate to further implementations, from which many permutations and configurations can be seen.

實施例1為一種半導體裝置,包括:石墨奈米帶互連體,設置在該第一絕緣體層之內;第二絕緣體層;以及第二互連體,包括金屬部件且設置在該第二絕緣體層之內,該第二互連體與該石墨奈米帶互連體接觸。 Embodiment 1 is a semiconductor device, including: a graphite nanoribbon interconnector, disposed within the first insulator layer; a second insulator layer; and a second interconnector, including a metal component and disposed on the second insulator Within the layer, the second interconnect is in contact with the graphite nanoribbon interconnect.

實施例2包含實施例1的標的,其中,該石墨 奈米帶互連體具有兩相反的橫向側,且該側的每一者不具有襯墊材料或障壁材料或在其上的金屬。 Embodiment 2 includes the subject matter of embodiment 1, wherein the graphite nanoribbon interconnect has two opposite lateral sides, and each of the sides does not have liner material or barrier material or metal thereon.

實施例3包含實施例1或2的標的,其中,第一絕緣體層包括形成有空氣間隙於其中的層間介電質材料。 Embodiment 3 includes the subject matter of embodiment 1 or 2, wherein the first insulator layer includes an interlayer dielectric material having an air gap formed therein.

實施例4包含任何前面實施例的標的,其中,第一絕緣體層包括至少兩種不同類型的絕緣體材料。 Embodiment 4 includes the subject matter of any preceding embodiment, wherein the first insulator layer includes at least two different types of insulator materials.

實施例5包含任何前面實施例的標的,進一步包括至少設置在第一絕緣體層的部分和第二絕緣體層的部分之間的蝕刻停止障壁。 Embodiment 5 includes the subject matter of any previous embodiment, and further includes an etch stop barrier provided at least between the portion of the first insulator layer and the portion of the second insulator layer.

實施例6包含任何前面實施例的標的,進一步包括設置在該第二互連體的該金屬部件和該石墨奈米帶互連體之間的障壁層。 Embodiment 6 includes the subject matter of any of the previous embodiments, and further includes a barrier layer disposed between the metal part of the second interconnect and the graphite nanoribbon interconnect.

實施例7包含實施例6的標的,其中,該障壁層是鉭基障壁層。 Embodiment 7 includes the subject matter of embodiment 6, wherein the barrier layer is a tantalum-based barrier layer.

實施例8包含實施例6的標的,其中,該障壁層是石墨障壁層。 Embodiment 8 includes the subject matter of embodiment 6, wherein the barrier layer is a graphite barrier layer.

實施例9包含任何前面實施例的標的,其中,該第二互連體的該金屬部件與該石墨奈米帶互連體接觸以提供連續導電路徑。 Embodiment 9 includes the subject matter of any preceding embodiment, wherein the metal component of the second interconnect is in contact with the graphite nanoribbon interconnect to provide a continuous conductive path.

實施例10包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有至少40nm的高度。 Embodiment 10 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has a height of at least 40 nm.

實施例11包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有至少26比1的高度與厚度的高寬比。 Embodiment 11 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has an aspect ratio of height to thickness of at least 26 to 1.

實施例12包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有至少133比1的高度與厚度的高寬比。 Embodiment 12 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has an aspect ratio of height to thickness of at least 133 to 1.

實施例13包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有至少60nm的高度。 Embodiment 13 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has a height of at least 60 nm.

實施例14包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有至少40比1的高度與厚度的高寬比。 Embodiment 14 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has an aspect ratio of height to thickness of at least 40 to 1.

實施例15包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有至少200比1的高度與厚度的高寬比。 Embodiment 15 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has an aspect ratio of at least 200 to 1 in height to thickness.

實施例16包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有少於5nm的厚度。 Embodiment 16 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has a thickness of less than 5 nm.

實施例17包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有少於2nm的厚度。 Embodiment 17 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has a thickness of less than 2 nm.

實施例18包含任何前面實施例的標的,其中,石墨奈米帶互連體具有少於1nm的厚度。 Embodiment 18 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has a thickness of less than 1 nm.

實施例19包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有在該石墨奈米帶互連體的高度的方向上定向的至少一單層,其亦在電流流過該石墨奈米帶互連體的方向上。 Embodiment 19 includes the subject matter of any of the previous embodiments, wherein the graphite nanoribbon interconnect has at least one single layer oriented in the direction of the height of the graphite nanoribbon interconnect, which also flows through the current Graphite nanoribbons in the direction of the interconnect.

實施例20包含任何前面實施例的標的,其中,該石墨奈米帶互連體具有頂表面和側表面,且其中,該側表面係直接與該第一絕緣體層接觸。 Embodiment 20 includes the subject matter of any preceding embodiment, wherein the graphite nanoribbon interconnect has a top surface and a side surface, and wherein the side surface is in direct contact with the first insulator layer.

實施例21為一種計算系統,其包括如任何前面實施例的標的。 Embodiment 21 is a computing system that includes the subject matter of any preceding embodiment.

實施例22為一種製造半導體裝置的方法,包括:在基板上形成第一金屬部件,該第一金屬部件包含頂表面和側表面;在該第一金屬部件的該側表面上形成石墨層;在該第一金屬部件的該側表面上形成與該石墨層接觸的第一介電質層;移除該第一金屬部件因此形成石墨奈米帶互連體和空腔;以及將第二互連體接觸於該石墨奈米帶互連體以提供導電路徑。 Embodiment 22 is a method of manufacturing a semiconductor device, including: forming a first metal member on a substrate, the first metal member including a top surface and a side surface; forming a graphite layer on the side surface of the first metal member; Forming a first dielectric layer in contact with the graphite layer on the side surface of the first metal component; removing the first metal component thereby forming a graphite nanobelt interconnect and cavity; and interconnecting the second The body contacts the graphite nanoribbon interconnect to provide a conductive path.

實施例23包含實施例22的標的,其中,形成該第一介電質層包含在形成期間在第一介電質層內限定至少一空氣間隙。 Embodiment 23 includes the subject matter of embodiment 22, wherein forming the first dielectric layer includes defining at least one air gap within the first dielectric layer during formation.

實施例24包含實施例22或23的標的,其中,在該第一金屬部件的該側表面上形成該石墨層係在該石墨層的高度的方向上,其亦在電流流過該石墨奈米帶互連體的方向上,定向該石墨層的至少一單層。 Embodiment 24 includes the subject matter of embodiment 22 or 23, wherein the graphite layer formed on the side surface of the first metal member is in the direction of the height of the graphite layer, which also flows current through the graphite nanometer In the direction with the interconnect, at least one monolayer of the graphite layer is oriented.

實施例25包含實施例22至24的標的,進一步包括在空腔內設置介電質材料。 Embodiment 25 includes the objects of embodiments 22 to 24, and further includes disposing a dielectric material in the cavity.

實施例26包含實施例22至25中的任一者的標的,其中,在該空腔之內的該介電質材料為第一材料且該第一介電質層為不同於該第一材料的第二材料。 Embodiment 26 includes the subject matter of any of embodiments 22 to 25, wherein the dielectric material within the cavity is a first material and the first dielectric layer is different from the first material Second material.

實施例27包含任何實施例22至26的標的,進一步包括在該第一介電質層的至少部分頂表面上沉積蝕刻停止障壁。 Embodiment 27 includes the subject matter of any of embodiments 22 to 26, further including depositing an etch stop barrier on at least a portion of the top surface of the first dielectric layer.

實施例28包含任何實施例22至27的標的,其中,將該第二互連體接觸於該石墨奈米帶互連體包括將障壁層接觸於該石墨奈米帶互連體。 Embodiment 28 includes the subject matter of any of embodiments 22 to 27, wherein contacting the second interconnector to the graphite nanoribbon interconnect includes contacting the barrier layer to the graphite nanoribbon interconnect.

實施例29包含實施例28的標的,其中,該障壁層是由鉭基障壁材料所形成。 Embodiment 29 includes the subject matter of embodiment 28, wherein the barrier layer is formed of a tantalum-based barrier material.

實施例30包含實施例28的標的,其中,該障壁層是由石墨障壁材料所形成。 Embodiment 30 includes the subject matter of embodiment 28, wherein the barrier layer is formed of graphite barrier material.

實施例31包含實施例28的標的,其中,該第二互連體的金屬部件設置在該障壁層的第一表面上,該第一表面相反於與該石墨奈米帶互連體接觸之該障壁層的第二表面。 Embodiment 31 includes the subject matter of embodiment 28, wherein the metal part of the second interconnect is disposed on the first surface of the barrier layer, the first surface being opposite to the contact with the graphite nanoribbon interconnect The second surface of the barrier layer.

實施例32包含任何實施例22至31的標的,其中,將該第二互連體接觸於該石墨奈米帶互連體包括將金屬部件直接接觸於該石墨奈米帶互連體。 Embodiment 32 includes the subject matter of any of embodiments 22 to 31, wherein contacting the second interconnect to the graphite nanobelt interconnect includes directly contacting a metal component to the graphite nanobelt interconnect.

實施例33包含任何實施例22至32的標的,其中,該第二互連體係藉由蝕刻製程所形成。 Embodiment 33 includes any of the targets of embodiments 22 to 32, wherein the second interconnection system is formed by an etching process.

實施例34包含任何實施例22至33的標的,進一步包括在該第二互連體的金屬部件的至少側表面上形成石墨襯墊。 Embodiment 34 includes the subject matter of any of embodiments 22 to 33, and further includes forming a graphite liner on at least a side surface of the metal part of the second interconnect.

實施例35包含任何實施例22至34的標的,其中,該第二互連體係藉由鑲嵌製程所形成。 Embodiment 35 includes the targets of any of embodiments 22 to 34, wherein the second interconnection system is formed by a damascene process.

實施例36為一種半導體裝置,包括:裝置層包含一或更多金屬氧化物半導體(MOS)電晶體;以及第一互連體結構在該裝置層上方且包含設置在絕緣體材料之內 的石墨奈米帶互連體,其中,該石墨奈米帶互連體電性連接該電晶體的至少一者至另一互連體部件。 Embodiment 36 is a semiconductor device including: a device layer including one or more metal oxide semiconductor (MOS) transistors; and a first interconnect structure above the device layer and including graphite naphthalene disposed within an insulator material A meter ribbon interconnector, wherein the graphite nanoribbon interconnector electrically connects at least one of the transistors to another interconnector component.

實施例37包含實施例36的標的,其中,另一互連體部件被包含在該第一互連體結構中。 Embodiment 37 includes the subject matter of embodiment 36, wherein another interconnect component is included in the first interconnect structure.

實施例38包含任何實施例36至37的標的,其中,該另一互連體部件被包含在設置在該第一互連體結構上方的第二互連體結構中。 Embodiment 38 includes the subject matter of any of Embodiments 36 to 37, wherein the other interconnect component is contained in a second interconnect structure disposed above the first interconnect structure.

實施例39包含任何實施例36至38的標的,該第一互連體結構包含一或更多空氣間隙。 Embodiment 39 includes the subject matter of any of embodiments 36 to 38, and the first interconnect structure includes one or more air gaps.

實施例40包含任何實施例36至39的標的,其中,第一互連體結構包含複數個石墨奈米帶互連體,並且該複數個的至少兩個相鄰石墨奈米帶互連體由空氣間隙分開。 Embodiment 40 includes the subject matter of any of embodiments 36 to 39, wherein the first interconnect structure includes a plurality of graphite nanoribbon interconnects, and the plurality of at least two adjacent graphite nanoribbon interconnects are composed of The air gap is separated.

已經為了例舉和說明目的而提出前述之實施例實施方式的說明。其並不旨在窮盡或將本揭露限制於所揭露的精確形式。根據本發明,許多修改和變化是可能的。其意圖是本揭露的範圍不受詳細敘述的限制,而是由所附的申請專利範圍限制。將來提交之主張本申請優先權的申請可以以不同方式請求所揭露的請求標的,並且通常可以包含任何一組的作為各種公開的或本文另有表明一或更多的限制。 The foregoing description of the embodiments of the examples has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit this disclosure to the precise form disclosed. According to the present invention, many modifications and changes are possible. The intention is that the scope of this disclosure is not limited by the detailed description, but by the scope of the attached patent application. Applications filed in the future that claim the priority of this application may request the disclosed subject matter in different ways, and may generally contain any set of one or more restrictions as various disclosures or otherwise indicated herein.

Claims (25)

一種半導體裝置,包括:第一絕緣體層;石墨奈米帶互連體,設置在該第一絕緣體層之內;第二絕緣體層;以及第二互連體,包括金屬部件且設置在該第二絕緣體層之內,該第二互連體與該石墨奈米帶互連體接觸。 A semiconductor device includes: a first insulator layer; a graphite nanobelt interconnector, disposed within the first insulator layer; a second insulator layer; and a second interconnector, including a metal component and disposed on the second Within the insulator layer, the second interconnect is in contact with the graphite nanoribbon interconnect. 如請求項1之半導體裝置,其中,該石墨奈米帶互連體具有兩相反的橫向側,且該側的每一者不具有襯墊材料或障壁材料或在其上的金屬。 The semiconductor device of claim 1, wherein the graphite nanoribbon interconnect has two opposite lateral sides, and each of the sides does not have a liner material or barrier material or metal thereon. 如請求項1之半導體裝置,進一步包括設置在該第二互連體的該金屬部件和該石墨奈米帶互連體之間的障壁層。 The semiconductor device according to claim 1, further comprising a barrier layer provided between the metal part of the second interconnect and the graphite nanoribbon interconnect. 如請求項1之半導體裝置,其中,該第二互連體的該金屬部件與該石墨奈米帶互連體接觸以提供連續導電路徑。 The semiconductor device of claim 1, wherein the metal part of the second interconnect is in contact with the graphite nanoribbon interconnect to provide a continuous conductive path. 如請求項1之半導體裝置,其中,該石墨奈米帶互連體具有至少40nm的高度。 The semiconductor device of claim 1, wherein the graphite nanoribbon interconnect has a height of at least 40 nm. 如請求項5之半導體裝置,其中,該石墨奈米帶互連體具有至少26比1的高度與厚度的高寬比。 The semiconductor device of claim 5, wherein the graphite nanoribbon interconnect has an aspect ratio of height to thickness of at least 26 to 1. 如請求項1之半導體裝置,其中,該石墨奈米帶互連體具有小於5nm的厚度。 The semiconductor device of claim 1, wherein the graphite nanoribbon interconnect has a thickness of less than 5 nm. 如請求項1之半導體裝置,其中,該石墨奈米帶互連體具有在該石墨奈米帶互連體的高度的方向上定向的至少一單層,其亦在電流流過該石墨奈米帶互連體的方向上。 The semiconductor device according to claim 1, wherein the graphite nanobelt interconnect has at least one single layer oriented in the direction of the height of the graphite nanobelt interconnect, which also flows in the graphite nanocurrent In the direction of the interconnection. 如請求項1之半導體裝置,其中,該石墨奈米帶互連體具有頂表面和側表面,且其中,該側表面係直接與該第一絕緣體層接觸。 The semiconductor device of claim 1, wherein the graphite nanoribbon interconnect has a top surface and a side surface, and wherein the side surface is in direct contact with the first insulator layer. 一種計算系統,包括如請求項1至9中任一項之半導體裝置。 A computing system includes the semiconductor device according to any one of claims 1 to 9. 一種半導體裝置,包括:裝置層包含一或更多金屬氧化物半導體(MOS)電晶體;以及第一互連體結構在該裝置層上方且包含設置在絕緣體材料之內的石墨奈米帶互連體,其中,該石墨奈米帶互連體電性連接該電晶體的至少一者至另一互連體部件。 A semiconductor device includes: a device layer including one or more metal oxide semiconductor (MOS) transistors; and a first interconnect structure above the device layer and including a graphite nano-band interconnection disposed within an insulator material Wherein the graphite nanoribbon interconnect electrically connects at least one of the transistors to another interconnect component. 如請求項11之半導體裝置,其中,該另一互連體部件被包含在該第一互連體結構中。 The semiconductor device of claim 11, wherein the other interconnect body component is included in the first interconnect body structure. 如請求項11之半導體裝置,其中,該第一互連體結構包含一或更多空氣間隙。 The semiconductor device of claim 11, wherein the first interconnect structure includes one or more air gaps. 一種製造半導體裝置的方法,包括:在基板上形成第一金屬部件,該第一金屬部件包含頂表面和側表面;在該第一金屬部件的該側表面上形成石墨層;在該第一金屬部件的該側表面上形成與該石墨層接觸的第一介電質層;移除該第一金屬部件因此形成石墨奈米帶互連體和空腔;以及將第二互連體接觸於該石墨奈米帶互連體以提供導電路徑。 A method of manufacturing a semiconductor device, comprising: forming a first metal part on a substrate, the first metal part including a top surface and a side surface; forming a graphite layer on the side surface of the first metal part; and forming the first metal Forming a first dielectric layer in contact with the graphite layer on the side surface of the component; removing the first metal component thereby forming a graphite nanoribbon interconnect and cavity; and contacting the second interconnect to the Graphite nanoribbon interconnects to provide conductive paths. 如請求項14之方法,其中,在該第一金屬部件的該側表面上形成該石墨層係在該石墨層的高度的方向上,其亦在電流流過該石墨奈米帶互連體的方向上,定向該石墨層的至少一單層。 The method of claim 14, wherein the graphite layer is formed on the side surface of the first metal member in the direction of the height of the graphite layer, which also flows in the current flowing through the graphite nanobelt interconnector In the direction, at least a single layer of the graphite layer is oriented. 如請求項14之方法,進一步包括在該空腔之內沉積介電質材料。 The method of claim 14, further comprising depositing a dielectric material within the cavity. 如請求項16之方法,其中,在該空腔之內的該介電質材料為第一材料且該第一介電質層為不同於該第一材料的第二材料。 The method of claim 16, wherein the dielectric material within the cavity is a first material and the first dielectric layer is a second material different from the first material. 如請求項14之方法,其中,將該第二互連體接觸於該石墨奈米帶互連體包括將障壁層接觸於該石墨奈米帶互連體。 The method of claim 14, wherein contacting the second interconnector with the graphite nanoribbon interconnect includes contacting the barrier layer to the graphite nanoribbon interconnect. 如請求項18之方法,其中,該障壁層由鉭基障壁材料所形成。 The method of claim 18, wherein the barrier layer is formed of a tantalum-based barrier material. 如請求項18之方法,其中,該障壁層由石墨障壁材料所形成。 The method of claim 18, wherein the barrier layer is formed of a graphite barrier material. 如請求項18之方法,其中,該第二互連體的金屬部件設置在該障壁層的第一表面上,該第一表面相反於與該石墨奈米帶互連體接觸之該障壁層的第二表面。 The method of claim 18, wherein the metal part of the second interconnector is provided on the first surface of the barrier layer, the first surface being opposite to the barrier layer in contact with the graphite nanoribbon interconnector Second surface. 如請求項14之方法,其中,將該第二互連體接觸於該石墨奈米帶互連體包括將金屬部件直接接觸於該石墨奈米帶互連體。 The method of claim 14, wherein contacting the second interconnector with the graphite nanoribbon interconnect includes directly contacting a metal component to the graphite nanoribbon interconnect. 如請求項14之方法,其中,該第二互連體係藉由蝕刻 製程所形成。 The method of claim 14, wherein the second interconnection system is formed by an etching process. 如請求項23之方法,進一步包括在該第二互連體的金屬部件的至少側表面上形成石墨襯墊。 The method of claim 23, further comprising forming a graphite liner on at least a side surface of the metal part of the second interconnect. 如請求項14之方法,其中,該第二互連體係藉由金屬鑲嵌製程所形成。 The method of claim 14, wherein the second interconnection system is formed by a damascene process.
TW106128460A 2016-09-30 2017-08-22 Graphene nanoribbon interconnects and interconnect liners TWI751187B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
WOPCT/US16/54675 2016-09-30
??PCT/US16/54675 2016-09-30
PCT/US2016/054675 WO2018063289A1 (en) 2016-09-30 2016-09-30 Graphene nanoribbon interconnects and interconnect liners

Publications (2)

Publication Number Publication Date
TW201819283A true TW201819283A (en) 2018-06-01
TWI751187B TWI751187B (en) 2022-01-01

Family

ID=61762869

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106128460A TWI751187B (en) 2016-09-30 2017-08-22 Graphene nanoribbon interconnects and interconnect liners

Country Status (2)

Country Link
TW (1) TWI751187B (en)
WO (1) WO2018063289A1 (en)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009126846A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D, Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
KR101129919B1 (en) * 2010-04-15 2012-03-23 주식회사 하이닉스반도체 Semiconductor device and method for forming the same
JP5637795B2 (en) * 2010-10-05 2014-12-10 株式会社東芝 apparatus
US9324634B2 (en) * 2011-11-08 2016-04-26 International Business Machines Corporation Semiconductor interconnect structure having a graphene-based barrier metal layer
US8927415B2 (en) * 2011-12-09 2015-01-06 Intermolecular, Inc. Graphene barrier layers for interconnects and methods for forming the same
US8647978B1 (en) * 2012-07-18 2014-02-11 International Business Machines Corporation Use of graphene to limit copper surface oxidation, diffusion and electromigration in interconnect structures
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof

Also Published As

Publication number Publication date
TWI751187B (en) 2022-01-01
WO2018063289A1 (en) 2018-04-05

Similar Documents

Publication Publication Date Title
TWI552288B (en) Hybrid carbon-metal interconnect structures
US9159615B2 (en) Graphene interconnection and method of manufacturing the same
TWI703633B (en) Electronic device and methods to manufacture electronic device, to provide maskless air gap flow, and to provide replacement interlayer dielectric flow
US9117885B2 (en) Graphene interconnection and method of manufacturing the same
US8912098B2 (en) Self-aligned carbon electronics with embedded gate electrode
TWI485862B (en) Metal-insulator-metal (mim) capacitor with insulator stack having a plurality of metal oxide layers
TWI666751B (en) Via blocking layer
TWI588871B (en) Semiconductor devices including supporting patterns in gap regions between conductive patterns and methods of fabricating the same
TW201817004A (en) Semiconductor device and method for manufacturing the same
TW201635543A (en) Optimizing gate profile for performance and gate fill
JP5870758B2 (en) Electronic device and manufacturing method thereof
TWI739886B (en) Graphene nanoribbon interconnects and interconnect liners
TWI770050B (en) Integrated circuit device and forming method thereof
TWI751187B (en) Graphene nanoribbon interconnects and interconnect liners
US11616022B2 (en) Method for fabricating semiconductor device with porous insulating layers
US20230069567A1 (en) Interconnect structures with different metal materials
US20220042162A1 (en) Integrated circuit structures including a metal layer formed using a beam of low energy atoms
US20230197800A1 (en) Non-reactive epi contact for stacked transistors
US11417775B2 (en) Nanowire thin film transistors with textured semiconductors
TW202329400A (en) Forksheet transistor device with air gap spine
TW202324180A (en) Buried power rail at tight cell-to-cell space
WO2018182733A1 (en) Resistor between gates on self-aligned gate edge architecture
WO2018118082A1 (en) Graphitic liners for integrated circuit devices