TW201814894A - Image sensor and fabrication method thereof - Google Patents

Image sensor and fabrication method thereof Download PDF

Info

Publication number
TW201814894A
TW201814894A TW105133173A TW105133173A TW201814894A TW 201814894 A TW201814894 A TW 201814894A TW 105133173 A TW105133173 A TW 105133173A TW 105133173 A TW105133173 A TW 105133173A TW 201814894 A TW201814894 A TW 201814894A
Authority
TW
Taiwan
Prior art keywords
layer
image sensor
dielectric stack
disposed
photosensitive element
Prior art date
Application number
TW105133173A
Other languages
Chinese (zh)
Other versions
TWI599028B (en
Inventor
鍾志平
吳建龍
何明祐
Original Assignee
力晶積成電子製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 力晶積成電子製造股份有限公司 filed Critical 力晶積成電子製造股份有限公司
Priority to TW105133173A priority Critical patent/TWI599028B/en
Priority to CN201610970180.5A priority patent/CN107958913B/en
Application granted granted Critical
Publication of TWI599028B publication Critical patent/TWI599028B/en
Publication of TW201814894A publication Critical patent/TW201814894A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14629Reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

An image sensor includes a photosensitive device, an interconnect structure, a dielectric stacked-layer, a reflective layer, and a barrier layer. The photosensitive device is disposed in the substrate. The interconnect structure is disposed on the surface of the substrate. The dielectric stacked-layer is disposed on the surface of the substrate and cover the photosensitive device, wherein the interconnect structure is disposed in the dielectric stacked-layer, and the top surface of the dielectric stacked-layer includes at least one protrusive portion disposed at one side of the photosensitive device. The reflective layer covers the protrusive portion of the dielectric stacked-layer, and the cross-sectional profile of the reflective layer includes an inverted V-shaped pattern or includes an inverted U-shaped pattern. The barrier layer covers the reflective layer.

Description

影像感測器及其製作方法Image sensor and manufacturing method thereof

本發明係有關於一種影像感測器及其製作方法,尤指一種能改善跨越干擾(cross talk)的影像感測器及其製作方法。The invention relates to an image sensor and a manufacturing method thereof, and more particularly to an image sensor capable of improving cross talk and a manufacturing method thereof.

隨著數位相機、電子掃瞄機等產品不斷地開發與成長,市場上對影像感測元件的需求持續增加。目前常用的影像感測元件包含有電荷耦合感測元件(charge coupled device,CCD)以及互補式金氧半導體(complementary metal-oxide-semiconductor,CMOS)影像感測元件(又稱CMOS image sensor,CIS)兩大類,其中CMOS影像感測元件因具有低操作電壓、低功率消耗與高操作效率、可根據需要而進行隨機存取等優點,同時具有可整合於目前的半導體技術以大量製造之優勢,因此應用範圍非常廣泛。With the continuous development and growth of digital cameras, electronic scanners and other products, the demand for image sensing components in the market continues to increase. Currently commonly used image sensing elements include charge coupled device (CCD) and complementary metal-oxide-semiconductor (CMOS) image sensing elements (also known as CMOS image sensor, CIS) Two categories, CMOS image sensing devices have the advantages of low operating voltage, low power consumption, high operating efficiency, random access as needed, and the advantages of being integrated into current semiconductor technology and being manufactured in large quantities. The application range is very wide.

CMOS影像感測器的感光原理係將入射光線區分為數種不同波長光線的組合,例如紅、藍、綠三色,再分別由半導體基底上的複數個光學感測元件,如感光二極體(photodiode)予以接收,並將之轉換為不同強弱的數位訊號。然而,隨著像素尺寸的微縮,感光二極體的尺寸也跟著微小化,使得像素之間的跨越干擾增加以及感光靈敏度降低。因此,如何提供具有低跨越干擾的影像感測器,仍為業界需要持續解決的問題。The CMOS image sensor's photosensitivity principle is to divide the incident light into a combination of several different wavelengths of light, such as red, blue, and green, and then each of a plurality of optical sensing elements on the semiconductor substrate, such as a photodiode ( photodiode) to receive and convert it into digital signals of different strengths. However, with the shrinking of the pixel size, the size of the photodiode has also been miniaturized, resulting in an increase in cross-talk between pixels and a decrease in photosensitivity. Therefore, how to provide an image sensor with low span interference is still a problem that the industry needs to continuously solve.

本發明提供了一種影像感測器及其製作方法,以改善影像感測器的跨越干擾。The invention provides an image sensor and a manufacturing method thereof, so as to improve the cross interference of the image sensor.

本發明之實施例提供了一種影像感測器,其包括一感光元件、一內連線結構、一介電疊層、一反射層以及一阻障層。該感光元件設置於一基底內,而該內連線結構設置於該基底表面。該介電疊層設置於該基底表面並覆蓋該感光元件,其中該內連線結構設置於該介電疊層內,且該介電疊層之頂面包括至少一突起部分位於該感光元件之一側。該反射層覆蓋該介電疊層之突起部分,且該反射層之剖面形狀包括一倒V字形圖案或包括一倒U字形圖案,而該阻障層覆蓋於該反射層上。An embodiment of the present invention provides an image sensor, which includes a photosensitive element, an interconnect structure, a dielectric stack, a reflective layer, and a barrier layer. The photosensitive element is disposed in a substrate, and the interconnection structure is disposed on a surface of the substrate. The dielectric stack is disposed on the surface of the substrate and covers the photosensitive element, wherein the interconnect structure is disposed in the dielectric stack, and the top surface of the dielectric stack includes at least one protruding portion located on the photosensitive element. One side. The reflective layer covers the protruding portion of the dielectric stack, and the cross-sectional shape of the reflective layer includes an inverted V-shaped pattern or an inverted U-shaped pattern, and the barrier layer covers the reflective layer.

本發明之實施例另提供了一種影像感測器的製作方法,其包括下列步驟。首先提供一基底,並於該基底內形成一感光元件。接著,於該基底表面形成一內連線結構與一介電疊層,其中該內連線結構設置於該介電疊層中,且該介電疊層之頂面包括一突起部分位於該感光元件的一側。然後,於該介電疊層上形成一圖案化之反射層,該反射層至少覆蓋該介電疊層之該突起部分,且該反射層之剖面形狀包括一倒V字形圖案或包括一倒U字形圖案。An embodiment of the present invention further provides a method for manufacturing an image sensor, which includes the following steps. First, a substrate is provided, and a photosensitive element is formed in the substrate. Next, an interconnect structure and a dielectric stack are formed on the surface of the substrate, wherein the interconnect structure is disposed in the dielectric stack, and a top surface of the dielectric stack includes a protruding portion on the photosensitive layer. One side of the component. Then, a patterned reflective layer is formed on the dielectric stack, the reflective layer covers at least the protruding portion of the dielectric stack, and the cross-sectional shape of the reflective layer includes an inverted V-shaped pattern or includes an inverted U Glyph pattern.

為使熟習本發明所屬技術領域之一般技藝者能更進一步瞭解本發明,下文特列舉本發明之較佳實施例,並配合所附圖示,詳細說明本發明的影像感測器及其製作方法及所欲達成的功效。In order to make a person skilled in the art who is familiar with the technical field of the present invention better understand the present invention, the preferred embodiments of the present invention are enumerated below, and the accompanying drawings are used to describe the image sensor of the present invention and the manufacturing method thereof And the desired effect.

請參考第1圖至第6圖,第1圖至第5圖繪示了本發明影像感測器製作方法之第一實施例的製程示意圖,而第6圖為本發明影像感測器製作方法之第一實施例的製程步驟流程圖。根據本實施例,如第1圖所示,首先提供一基底100,其中基底100具有一畫素區100X與一周邊區100Y,且周邊區100Y位於畫素區100X之一側。基底100可為半導體基底,例如為矽基底、磊晶矽基底、矽鍺基底、碳化矽基底或絕緣層覆矽(silicon-on-insulator,SOI)基底,但不以此為限。然後,於基底100內形成多個感光元件102及至少一個開關元件104。感光元件102設置於畫素區100X內,並位於基底100內靠近基底100表面的位置。感光元件102包括各種能將光能轉換成電能的元件,例如可包括PN型感光二極體、PNP型感光二極體、NPN型感光二極體等,不以此為限。開關元件104設置於周邊區100Y之基底100表面,本實施例之開關元件104係以金氧半場效電晶體(metal-oxide-semiconductor field effect transistor,MOSFET)為例,但不以此為限。此外,可選擇性地在基底100中形成多個隔離結構106,設置於周邊區100Y與畫素區100X(第1圖繪示於周邊區100Y作為示意),用以避免基底100中之元件相接觸而發生短路。需注意的是,本發明不特別限定感光元件102、開關元件104與隔離結構106的製作順序與相對設置位置。Please refer to FIG. 1 to FIG. 6, FIG. 1 to FIG. 5 are schematic diagrams showing the manufacturing process of the first embodiment of the image sensor manufacturing method of the present invention, and FIG. 6 is the method of manufacturing the image sensor of the present invention. The flowchart of the process steps of the first embodiment. According to this embodiment, as shown in FIG. 1, a substrate 100 is first provided. The substrate 100 has a pixel region 100X and a peripheral region 100Y, and the peripheral region 100Y is located on one side of the pixel region 100X. The substrate 100 may be a semiconductor substrate, such as a silicon substrate, an epitaxial silicon substrate, a silicon germanium substrate, a silicon carbide substrate, or a silicon-on-insulator (SOI) substrate, but is not limited thereto. Then, a plurality of photosensitive elements 102 and at least one switching element 104 are formed in the substrate 100. The photosensitive element 102 is disposed in the pixel area 100X and is located in the substrate 100 near the surface of the substrate 100. The photosensitive element 102 includes various elements capable of converting light energy into electrical energy, and may include, for example, a PN type photodiode, a PNP type photodiode, an NPN type photodiode, and the like, without being limited thereto. The switching element 104 is disposed on the surface of the substrate 100 in the peripheral region 100Y. The switching element 104 in this embodiment uses a metal-oxide-semiconductor field effect transistor (MOSFET) as an example, but is not limited thereto. In addition, a plurality of isolation structures 106 can be selectively formed in the substrate 100 and disposed in the peripheral region 100Y and the pixel region 100X (the first figure is shown in the peripheral region 100Y as a schematic diagram) to avoid the component phase in the substrate 100. Short circuit due to contact. It should be noted that the present invention does not specifically limit the manufacturing order and relative installation positions of the photosensitive element 102, the switching element 104, and the isolation structure 106.

接著,於基底100表面形成一內連線結構與一介電疊層。介電疊層覆蓋感光元件102、開關元件104與隔離結構106,而內連線結構設置於畫素區100X及周邊區100Y之介電疊層中。介電疊層包括複數層層間介電層108,而內連線結構包括複數條內連線110。舉例而言,可先於一層層間介電層108上形成多條內連線110,後續再於該些內連線110上形成另一層層間介電層108,並重複進行上述步驟以形成內連線結構與介電疊層。另外,不同層之內連線110可透過各層層間介電層108中的接觸洞V1串接形成內連線結構,而位於周邊區100Y的內連線110可藉由層間介電層108中的接觸洞V2與開關元件104電連接。在本實施例中,層間介電層108係由高密度電漿(high density plasma,HDP)化學氣相沉積製程所形成。當使用HDP化學氣相沉積製程形成層間介電層108時,會在層間介電層108的表面伴隨形成多個突起部分,對應於各內連線110之位置,因此在以HDP化學氣相沉積製程製作完較下層的層間介電層108時,可另進行一平坦化製程(例如化學機械研磨製程),使得下層層間介電層108具有平坦的表面。然而,本發明於形成最上層之層間介電層108時,並不額外進行平坦化製程,藉此以保留最上層之層間介電層108的多個突起部分112。換言之,本實施例的介電疊層之頂面包括突起部分112,其中突起部分112對應內連線110設置並位於感光元件102的一側。本實施例內連線110與突起部分112的頂點之間的距離D為數百奈米,但不以此為限。此外,突起部分112的形狀會依設置於其下之內連線110的形狀而有所不同。舉例而言,在畫素區100X內之內連線110的寬度較窄,則畫素區100X內之突起部分112的剖面可具有倒V字形圖案,而在周邊區100Y內之內連線110的寬度較寬,因此周邊區100Y內之突起部分112可包括平坦表面,但不以此為限。在變化實施例中,畫素區100X內之突起部分112的剖面也可具有倒U字形圖案。Next, an interconnect structure and a dielectric stack are formed on the surface of the substrate 100. The dielectric stack covers the photosensitive element 102, the switching element 104, and the isolation structure 106, and the interconnect structure is disposed in the dielectric stack of the pixel region 100X and the peripheral region 100Y. The dielectric stack includes a plurality of interlayer dielectric layers 108, and the interconnect structure includes a plurality of interconnects 110. For example, a plurality of interconnect lines 110 may be formed on one interlayer dielectric layer 108 first, and then another interlayer dielectric layer 108 may be formed on the interconnect lines 110, and the above steps are repeated to form interconnects. Line structure and dielectric stack. In addition, the interconnects 110 of different layers can be connected in series through contact holes V1 in the interlayer dielectric layers 108 to form an interconnect structure, and the interconnects 110 located in the peripheral area 100Y can be formed by the interlayer dielectric layers 108. The contact hole V2 is electrically connected to the switching element 104. In this embodiment, the interlayer dielectric layer 108 is formed by a high-density plasma (HDP) chemical vapor deposition process. When the HDP chemical vapor deposition process is used to form the interlayer dielectric layer 108, a plurality of protrusions are formed on the surface of the interlayer dielectric layer 108, corresponding to the positions of the interconnect lines 110. Therefore, HDP chemical vapor deposition is used. When the lower interlayer dielectric layer 108 is manufactured, another planarization process (such as a chemical mechanical polishing process) may be performed so that the lower interlayer dielectric layer 108 has a flat surface. However, in the present invention, when the uppermost interlayer dielectric layer 108 is formed, no additional planarization process is performed, so as to retain the plurality of protruding portions 112 of the uppermost interlayer dielectric layer 108. In other words, the top surface of the dielectric stack of this embodiment includes a protruding portion 112, wherein the protruding portion 112 is disposed corresponding to the interconnect line 110 and is located on one side of the photosensitive element 102. In this embodiment, the distance D between the inner wiring 110 and the apex of the protruding portion 112 is several hundred nanometers, but it is not limited thereto. In addition, the shape of the protruding portion 112 may vary depending on the shape of the interconnector 110 disposed below it. For example, the width of the interconnecting line 110 in the pixel area 100X is narrow. The cross section of the protruding portion 112 in the pixel area 100X may have an inverted V-shaped pattern, and the interconnecting line 110 in the peripheral area 100Y. The width of the protrusion is relatively wide, so the protruding portion 112 in the peripheral region 100Y may include a flat surface, but is not limited thereto. In a modified embodiment, the cross section of the protruding portion 112 in the pixel region 100X may also have an inverted U-shaped pattern.

接著,如第2圖所示,於基底100上全面形成一反射層114’,覆蓋畫素區100X與周邊區100Y的介電疊層,然後對反射層114’進行圖案化製程,例如進行一微影暨蝕刻製程,先於反射層114’上塗佈一層光阻層142,然後進行曝光顯影以圖案化光阻層142,使圖案化的光阻層142對應並覆蓋畫素區100X的突起部分112,暴露出感光元件102與周邊區100Y。再如第3圖所示,移除被光阻層142所暴露出的反射層114’,形成圖案化的反射層114,其中反射層114至少覆蓋突起部分112,但不覆蓋周邊區100Y。並且,反射層114係階梯覆蓋突起部分112而隨著所覆蓋的突起部分112高低起伏。由於畫素區100X內之突起部分112的剖面形狀為倒V字形圖案,因此反射層114之剖面形狀亦包括倒V字形圖案。在變化實施例中,當畫素區100X內之突起部分112的剖面形狀為倒U字形圖案時,覆蓋在其上的反射層114之剖面形狀亦包括倒U字形圖案,或是其他上窄下寬之圖案。舉例而言,反射層114的材料可包含金屬材料,例如鎢,但不以此為限。本實施例的反射層114之厚度為數十奈米,但不以此為限。在形成完反射層114後,於畫素區100X與周邊區100Y全面形成一介電層116,並進行一平坦化製程,例如化學機械研磨製程,使得畫素區100X與周邊區100Y具有平坦的表面。介電層116及層間介電層108的材料可包括具低介電常數之介電材料(low-K dielectric material),例如氧化矽、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、摻雜碳之氧化矽(carbon-doped silicon oxide)或類似物,不以此為限。Next, as shown in FIG. 2, a reflective layer 114 ′ is formed on the substrate 100 in a comprehensive manner to cover the dielectric stack of the pixel region 100X and the peripheral region 100Y, and then a patterning process is performed on the reflective layer 114 ′, for example, a In the photolithography and etching process, a photoresist layer 142 is coated on the reflective layer 114 ', and then exposed and developed to pattern the photoresist layer 142 so that the patterned photoresist layer 142 corresponds to and covers the protrusions of the pixel area 100X. The portion 112 exposes the photosensitive element 102 and the peripheral region 100Y. As shown in FIG. 3, the reflective layer 114 ′ exposed by the photoresist layer 142 is removed to form a patterned reflective layer 114. The reflective layer 114 covers at least the protruding portion 112 but does not cover the peripheral area 100Y. In addition, the reflective layer 114 covers the protruding portion 112 stepwise and fluctuates in accordance with the height of the covered protruding portion 112. Since the cross-sectional shape of the protruding portion 112 in the pixel region 100X is an inverted V-shaped pattern, the sectional shape of the reflective layer 114 also includes an inverted V-shaped pattern. In a modified embodiment, when the cross-sectional shape of the protruding portion 112 in the pixel region 100X is an inverted U-shaped pattern, the cross-sectional shape of the reflective layer 114 covered thereon also includes an inverted U-shaped pattern, or other narrow tops. Wide pattern. For example, the material of the reflective layer 114 may include a metal material, such as tungsten, but is not limited thereto. The thickness of the reflective layer 114 in this embodiment is tens of nanometers, but it is not limited thereto. After the reflective layer 114 is formed, a dielectric layer 116 is completely formed on the pixel region 100X and the peripheral region 100Y, and a planarization process such as a chemical mechanical polishing process is performed so that the pixel region 100X and the peripheral region 100Y have a flat surface. The material of the dielectric layer 116 and the interlayer dielectric layer 108 may include a low-K dielectric material, such as silicon oxide, borophosphosilicate glass (BPSG), and silicon silicon. Phosphosilicate glass (PSG), fluorinated silicate glass (FSG), carbon-doped silicon oxide (carbon-doped silicon oxide) or the like are not limited thereto.

接著,如第4圖所示,以圖案化的反射層114當作蝕刻遮罩,對介電疊層進行一微影製程,以移除畫素區100X內的介電層116及部分介電疊層,以於各感光元件102上形成一光導管開口118,而被反射層114覆蓋之部分介電疊層則得以保留。光導管開口118可例如係一上寬下窄的開口,但不以此為限。此外,本實施例在進行蝕刻製程之前,另先於周邊區100Y之介電層116上形成一光阻層120,以避免周邊區100Y之介電層116受到蝕刻製程的影響,而光阻層120在蝕刻製程後即可移除,且周邊區100Y仍具有平坦的表面。Next, as shown in FIG. 4, a patterned reflective layer 114 is used as an etching mask, and a lithography process is performed on the dielectric stack to remove the dielectric layer 116 and part of the dielectric in the pixel area 100X. They are stacked so that a light pipe opening 118 is formed on each photosensitive element 102, and a portion of the dielectric stack covered by the reflective layer 114 is retained. The light pipe opening 118 may be, for example, an opening that is wide at the top and narrow at the bottom, but is not limited thereto. In addition, before performing the etching process in this embodiment, a photoresist layer 120 is formed on the dielectric layer 116 in the peripheral region 100Y to prevent the dielectric layer 116 in the peripheral region 100Y from being affected by the etching process, and the photoresist layer 120 can be removed after the etching process, and the peripheral region 100Y still has a flat surface.

接著,如第5圖所示,於基底100上形成一阻障層122,其於畫素區100X內覆蓋光導管開口118之底部與側壁,亦覆蓋反射層114,並於周邊區100Y內覆蓋介電層116。阻障層122的材料可包括氮化矽、氮氧化矽或其他適合的介電材料。然後,於各光導管開口118中填入高折射率材料層而形成光導管124,其中可藉由對高折射率材料層進行平坦化製程以使畫素區100X具有平坦的表面。本實施例之光導管124的剖面形狀為漏斗形圖案,且光導管124的材料為光阻材料或類光阻材料,但不以此為限。在較佳實施例中,光導管124材料的折射率高於阻障層122的折射率,而阻障層122的折射率高於介電疊層的折射率,不以為限。接著,於畫素區100X與周邊區100Y形成一絕緣層126,覆蓋光導管124、阻障層122及介電層116,且絕緣層126具有實質上平坦的頂面。舉例而言,本實施例的絕緣層126係由一低溫氧化物(low-temperature-oxide,LTO)材料所構成。然後,於絕緣層126上形成多個不同顏色的彩色濾光層128R、128G、128B,分別覆蓋對應的感光元件102與光導管124。本實施例的彩色濾光層128R、128G、128B僅設置於畫素區100X中。彩色濾光層128R、128G、128B可例如包括有顏色的光阻圖案,並可利用微影製程製作。彩色濾光層128R、128G、128B舉例可包括紅色、藍色或綠色的濾光材料,以使感光元件102可感測特定顏色的光線。然後,於各彩色濾光層128R、128G、128B上形成多個微聚光鏡130,覆蓋其下之感光元件102與光導管124。再者,微聚光鏡130亦可設於周邊區100Y而覆蓋絕緣層126。Next, as shown in FIG. 5, a barrier layer 122 is formed on the substrate 100, which covers the bottom and side walls of the light pipe opening 118 in the pixel area 100X, and also covers the reflective layer 114, and covers the surrounding area 100Y. Dielectric layer 116. The material of the barrier layer 122 may include silicon nitride, silicon oxynitride, or other suitable dielectric materials. Then, each light pipe opening 118 is filled with a high refractive index material layer to form a light pipe 124. The high refractive index material layer can be subjected to a planarization process to make the pixel region 100X have a flat surface. The cross-sectional shape of the light pipe 124 in this embodiment is a funnel-shaped pattern, and the material of the light pipe 124 is a photoresist material or a photoresist-like material, but it is not limited thereto. In a preferred embodiment, the refractive index of the material of the light pipe 124 is higher than the refractive index of the barrier layer 122, and the refractive index of the barrier layer 122 is higher than the refractive index of the dielectric stack. Next, an insulating layer 126 is formed on the pixel region 100X and the peripheral region 100Y, covering the light pipe 124, the barrier layer 122 and the dielectric layer 116, and the insulating layer 126 has a substantially flat top surface. For example, the insulating layer 126 in this embodiment is made of a low-temperature-oxide (LTO) material. Then, a plurality of different color filter layers 128R, 128G, and 128B are formed on the insulating layer 126 to cover the corresponding photosensitive elements 102 and light pipes 124, respectively. The color filter layers 128R, 128G, and 128B of this embodiment are provided only in the pixel area 100X. The color filter layers 128R, 128G, and 128B may include, for example, colored photoresist patterns, and may be fabricated by a photolithography process. For example, the color filter layers 128R, 128G, and 128B may include red, blue, or green filter materials, so that the photosensitive element 102 can sense light of a specific color. Then, a plurality of micro-condensing mirrors 130 are formed on each of the color filter layers 128R, 128G, and 128B to cover the photosensitive elements 102 and the light pipes 124 below them. In addition, the micro-condensing mirror 130 may be disposed in the peripheral region 100Y to cover the insulating layer 126.

此外,在形成彩色濾光層128R、128G、128B之前及形成微聚光鏡130之後,可分別進行蝕刻製程,以於周邊區100Y形成對應內連線110的導線開口132,使得後續製作的導線可藉由導線開口132與內連線110電連接。In addition, before the color filter layers 128R, 128G, and 128B are formed and after the micro-condenser 130 is formed, an etching process may be separately performed to form a wire opening 132 corresponding to the interconnect line 110 in the peripheral area 100Y, so that subsequent wires can be borrowed The wire opening 132 is electrically connected to the inner wiring 110.

綜上所述,本發明製作影像感測器1的方法主要包括第6圖所示之步驟:In summary, the method for manufacturing the image sensor 1 according to the present invention mainly includes the steps shown in FIG. 6:

步驟S10:提供一基底,並於基底上形成感光元件;Step S10: providing a substrate and forming a photosensitive element on the substrate;

步驟S12:於基底表面形成一內連線結構與一介電疊層,其中內連線結構設置於介電疊層中,且介電疊層之頂面包括一突起部分位於感光元件的一側;以及Step S12: forming an interconnect structure and a dielectric stack on the surface of the substrate, wherein the interconnect structure is disposed in the dielectric stack, and the top surface of the dielectric stack includes a protruding portion on one side of the photosensitive element ;as well as

步驟S14:於介電疊層上形成一反射層,其至少覆蓋介電疊層之突起部分,且反射層之剖面形狀包括一倒V字形圖案或包括一倒U字形圖案。Step S14: forming a reflective layer on the dielectric stack, which at least covers the protruding portion of the dielectric stack, and the cross-sectional shape of the reflective layer includes an inverted V-shaped pattern or an inverted U-shaped pattern.

請繼續參考第5圖,本實施例之影像感測器1包括感光元件102、內連線結構、介電疊層、反射層114以及阻障層122。其中,感光元件102設置於基底100內。介電疊層設置於基底100表面並覆蓋感光元件102,而內連線結構設置於介電疊層內。本實施例之介電疊層包括多層層間介電層108,內連線結構則包括多條內連線110與設於接觸洞V1的接觸插塞。介電疊層之頂面包括至少一突起部分112,其對應內連線110設置並位於感光元件102之一側。反射層114覆蓋介電疊層之突起部分112,並隨著所覆蓋的突起部分112而高低起伏,因此反射層114之剖面形狀為倒V字形圖案或倒U字形圖案,阻障層122則覆蓋於反射層114上並直接與反射層114相接觸。此外,影像感測器1可另於周邊區100Y設置有開關元件104,例如為MOS電晶體,且周邊區100Y可設置像素電路(圖未示)。本發明影像感測器1之第一實施例的其他元件與材料可參考前述製程之說明,不再贅述。Please continue to refer to FIG. 5. The image sensor 1 of this embodiment includes a photosensitive element 102, an interconnect structure, a dielectric stack, a reflective layer 114, and a barrier layer 122. The photosensitive element 102 is disposed in the substrate 100. The dielectric stack is disposed on the surface of the substrate 100 and covers the photosensitive element 102, and the interconnect structure is disposed in the dielectric stack. The dielectric stack of this embodiment includes a plurality of interlayer dielectric layers 108, and the interconnect structure includes a plurality of interconnects 110 and a contact plug disposed in the contact hole V1. The top surface of the dielectric stack includes at least one protruding portion 112, which is disposed corresponding to the interconnect line 110 and is located on one side of the photosensitive element 102. The reflective layer 114 covers the protruding portion 112 of the dielectric stack and fluctuates with the covered protruding portion 112. Therefore, the cross-sectional shape of the reflective layer 114 is an inverted V-shaped pattern or an inverted U-shaped pattern, and the barrier layer 122 covers On the reflective layer 114 and in direct contact with the reflective layer 114. In addition, the image sensor 1 may be further provided with a switching element 104, such as a MOS transistor, in the peripheral region 100Y, and a pixel circuit (not shown) may be disposed in the peripheral region 100Y. For other components and materials of the first embodiment of the image sensor 1 of the present invention, reference may be made to the description of the foregoing process, and details are not described herein again.

請繼續參考第5圖,以下將以光線L1、L2來說明本實施例之反射層114如何達到減少跨越干擾的功效。如第5圖所示,光線L1、L2均通過影像感測器1中之彩色濾光層128R,但光線L1、L2通過彩色濾光層128R後並非朝著對應彩色濾光層128R之感光元件102前進,而是往相鄰的感光元件102前進。本實施例藉由在感光元件102之一側的介電疊層上設置反射層114,使得原本朝著相鄰的感光元件102前進之光線L1、L2會先行進至反射層114,然後被反射層114反射而改變行進路徑,以避免被相鄰的感光元件102所吸收。由上述可知,由於影像感測器1在介電疊層上設置反射層114位於相鄰的感光元件102之間,且反射層114之剖面形狀為倒V字形圖案或倒U字形圖案,因此當光線經過其中一個彩色濾光層128R、128G或128B後朝向另一個彩色濾光層128R、128G或128B所對應的感光元件102前進時,會被反射層114反射而改變行進路徑,避免其行進至相鄰的感光元件102,進而可有效地減少影像感測器1的跨越干擾。Please continue to refer to FIG. 5. In the following, light L1 and L2 will be used to explain how the reflective layer 114 of this embodiment achieves the effect of reducing cross-talk. As shown in FIG. 5, the light rays L1 and L2 pass through the color filter layer 128R in the image sensor 1, but the light rays L1 and L2 pass through the color filter layer 128R and do not face the photosensitive element corresponding to the color filter layer 128R. 102 advances, but advances to the adjacent photosensitive element 102. In this embodiment, a reflective layer 114 is provided on the dielectric stack on one side of the photosensitive element 102, so that the light rays L1 and L2 that originally moved toward the adjacent photosensitive element 102 will first travel to the reflective layer 114 and then be reflected. The layer 114 reflects to change the travel path to avoid being absorbed by the adjacent photosensitive element 102. It can be known from the foregoing that the image sensor 1 has a reflective layer 114 on the dielectric stack between adjacent photosensitive elements 102, and the cross-sectional shape of the reflective layer 114 is an inverted V-shaped pattern or an inverted U-shaped pattern. When light passes through one of the color filter layers 128R, 128G, or 128B and proceeds toward the photosensitive element 102 corresponding to the other color filter layer 128R, 128G, or 128B, it will be reflected by the reflective layer 114 to change the travel path to prevent it Adjacent photosensitive elements 102 can effectively reduce the cross-talk of the image sensor 1.

本發明之影像感測器及其製作方法並不以上述實施例為限。下文將繼續揭示本發明之其它實施例及變化實施例,然為了簡化說明並突顯各實施例之間的差異,下文中使用相同標號標注相同元件,並不再對重覆部分作贅述。The image sensor and the manufacturing method of the present invention are not limited to the above embodiments. The following will continue to disclose other embodiments and variations of the present invention, but in order to simplify the description and highlight the differences between the embodiments, the same elements are labeled with the same reference numerals in the following, and the repeated parts will not be repeated.

請參考第7圖與第8圖,其為本發明影像感測器製作方法之第一實施例之變化實施例的製程示意圖,且第8圖繪示本發明第一實施例之變化實施例影像感測器2的剖面示意圖。如第7圖所示,其繪示了接續第4圖的製程,本變化實施例與第一實施例不同的地方在於,在依序形成阻障層122以及於光導管開口118中填入高折射率材料層以形成光導管124之後,增加一步驟以移除光導管124頂部的高折射率材料層,例如在利用化學機械研磨平坦化高折射率材料層之後,進一步進行回蝕刻製程,使得光導管124頂面的高度低於覆蓋突起部分112之部分阻障層122。如第8圖所示,接著於光導管124與阻障層122上形成絕緣層126。由於光導管124的頂部已被移除,因此後續所形成之絕緣層126會階梯覆蓋阻障層122與光導管124表面,且絕緣層126的表面形成多個凹槽140,分別位於各光導管124的上方。本變化實施例的凹槽140的剖面形狀為倒置的梯形,但不以此為限。然後,於各凹槽140中填入彩色濾光層128,分別覆蓋對應一感光元件102,並可另藉由平坦化製程使得彩色濾光層128與部分絕緣層126具有平坦的頂面。接著,於彩色濾光層128上形成微聚光鏡130。藉由本變化實施例的製作方法,影像感測器2的彩色濾光層128係內嵌於絕緣層126之凹槽140中,可以進一步減少影像感測器2的整體厚度。此外,影像感測器2中其餘元件的位置、材料及製作方式可參考第一實施例,因此不再贅述。Please refer to FIG. 7 and FIG. 8, which are process schematic diagrams of a modified embodiment of the first embodiment of the image sensor manufacturing method of the present invention, and FIG. 8 shows an image of the modified embodiment of the first embodiment of the present invention. A schematic cross-sectional view of the sensor 2. As shown in FIG. 7, it illustrates the process following FIG. 4. The difference between this modified embodiment and the first embodiment is that the barrier layer 122 is sequentially formed and the light pipe opening 118 is filled with high After the refractive index material layer is formed to form the light pipe 124, a step is added to remove the high refractive index material layer on the top of the light pipe 124. For example, after the high refractive index material layer is planarized by chemical mechanical polishing, an etch back process is further performed, The height of the top surface of the light pipe 124 is lower than a portion of the barrier layer 122 covering the protruding portion 112. As shown in FIG. 8, an insulating layer 126 is formed on the light pipe 124 and the barrier layer 122. Since the top of the light pipe 124 has been removed, the subsequently formed insulating layer 126 covers the barrier layer 122 and the surface of the light pipe 124 in steps, and the surface of the insulating layer 126 forms a plurality of grooves 140, which are respectively located in each light pipe. Above 124. The cross-sectional shape of the groove 140 in this modified embodiment is an inverted trapezoid, but it is not limited thereto. Then, a color filter layer 128 is filled in each of the grooves 140 to cover a corresponding photosensitive element 102 respectively, and the color filter layer 128 and a part of the insulating layer 126 have a flat top surface by a planarization process. Next, a micro condenser 130 is formed on the color filter layer 128. With the manufacturing method of this modified embodiment, the color filter layer 128 of the image sensor 2 is embedded in the groove 140 of the insulating layer 126, which can further reduce the overall thickness of the image sensor 2. In addition, the positions, materials, and manufacturing methods of the remaining components in the image sensor 2 can be referred to the first embodiment, and therefore will not be described again.

請參考第9圖與第10圖,其為本發明影像感測器製作方法之第二實施例的製程示意圖,且第10圖繪示本發明第二實施例影像感測器3的剖面示意圖,其中第9圖係接續第3圖的製程。如第9圖所示,本實施例與第一實施例不同的地方在於,在製作完圖案化的反射層114與介電層116後,先於畫素區100X與周邊區100Y形成圖案化的光阻層120,於畫素區100X中定義出光導管開口圖案134以暴露出感光元件102上的部分介電層116,並覆蓋突起部分112,且圖案化的光阻層120覆蓋周邊區100Y的介電層116。接著以光阻層120為蝕刻遮罩進行蝕刻製程,移除未被光阻層120覆蓋的介電層116與介電疊層,形成光導管開口118,對應各感光元件102。被光阻層120覆蓋的部分介電層116會被留下並形成多個頂蓋層136,其中頂蓋層136覆蓋突起部分112上的反射層114,並具有實質上平坦的頂面與實質上垂直於基底100表面之側壁。換言之,本實施例影像感測器3的頂蓋層136的材料與介電層116相同。接著,如第10圖所示,於畫素區100X與周邊區100Y形成阻障層122,階梯覆蓋頂蓋層136的頂面和側壁,以及階梯覆蓋反射層114未被頂蓋層136覆蓋的部分。之後,再於光導管開口118中填入高折射率材料層,然後選擇性地進行化學機械研磨製程,使高折射率材料層的頂部與頂蓋層136上的阻障層122頂面大體上共平面,以形成光導管124。之後可參考第一實施例,形成絕緣層126、彩色濾光層128以及微聚光鏡130,並於周邊區100Y形成導線開口132,以完成本實施例影像感測器3之製作。Please refer to FIG. 9 and FIG. 10, which are schematic process diagrams of a second embodiment of a method for manufacturing an image sensor according to the present invention, and FIG. 10 illustrates a schematic cross-sectional view of the image sensor 3 according to the second embodiment of the present invention. Figure 9 is the process following Figure 3. As shown in FIG. 9, this embodiment is different from the first embodiment in that, after the patterned reflective layer 114 and the dielectric layer 116 are fabricated, a patterned pattern is formed before the pixel region 100X and the peripheral region 100Y. The photoresist layer 120 defines a light pipe opening pattern 134 in the pixel area 100X to expose a part of the dielectric layer 116 on the photosensitive element 102 and covers the protruding portion 112, and the patterned photoresist layer 120 covers the peripheral area 100Y. Dielectric layer 116. Then, the photoresist layer 120 is used as an etching mask to perform an etching process, and the dielectric layer 116 and the dielectric stack that are not covered by the photoresist layer 120 are removed to form a light pipe opening 118 corresponding to each photosensitive element 102. A portion of the dielectric layer 116 covered by the photoresist layer 120 is left behind and forms a plurality of cap layers 136. The cap layer 136 covers the reflective layer 114 on the protruding portion 112, and has a substantially flat top surface and a substantial surface. The upper side wall is perpendicular to the surface of the substrate 100. In other words, the material of the top cover layer 136 of the image sensor 3 in this embodiment is the same as that of the dielectric layer 116. Next, as shown in FIG. 10, a barrier layer 122 is formed on the pixel area 100X and the peripheral area 100Y, and the step covers the top surface and the side wall of the top cover layer 136, and the step covers the reflective layer 114 not covered by the top cover layer 136. section. Then, a high refractive index material layer is filled into the light pipe opening 118, and then a chemical mechanical polishing process is selectively performed so that the top of the high refractive index material layer and the top surface of the barrier layer 122 on the cap layer 136 are substantially Coplanar to form the light pipe 124. After that, referring to the first embodiment, an insulating layer 126, a color filter layer 128, and a micro-condenser 130 are formed, and a wire opening 132 is formed in the peripheral area 100Y to complete the fabrication of the image sensor 3 in this embodiment.

請參考第11圖與第12圖,其為本發明影像感測器製作方法之第二實施例之變化實施例的製程示意圖,且第12圖繪示本發明第二實施例之變化實施例影像感測器4的剖面示意圖。如第11圖所示,本變化實施例與第二實施例不同的地方在於,在依序形成阻障層122以及於光導管開口118中填入高折射率材料層以形成光導管124後,增加一步驟以移除光導管124頂部的高折射率材料層,例如在利用化學機械研磨平坦化高折射率材料層之後,進一步進行回蝕刻製程,使得光導管124頂面的高度低於頂蓋層136的頂面,並暴露出覆蓋頂蓋層136側壁的部分阻障層122。接著如第12圖所示,於基板100上形成絕緣層126階梯覆蓋光導管124與阻障層122。由於光導管124的頂部已被移除,因此後續所形成之絕緣層126的表面形成多個凹槽140,分別位於各光導管124的上方。本變化實施例的凹槽140的剖面形狀大體上為矩形,但不以此為限。然後,於各凹槽140中形成彩色濾光層128分別覆蓋各感光元件102,其中可另藉由平坦化製程使得彩色濾光層128與頂蓋層136上的絕緣層126皆具有平坦的頂面,且兩者的頂面大體上共平面。接著,於彩色濾光層128上形成微聚光鏡130,並於周邊區100Y形成導線開口132。藉由本變化實施例的製作方法,影像感測器4的彩色濾光層128係內嵌於絕緣層126之凹槽140中,可進一步縮小影像感測器4的整體厚度。Please refer to FIG. 11 and FIG. 12, which are process schematic diagrams of a modified embodiment of the second embodiment of the image sensor manufacturing method of the present invention, and FIG. 12 shows an image of the modified embodiment of the second embodiment of the present invention. A schematic cross-sectional view of the sensor 4. As shown in FIG. 11, this modified embodiment is different from the second embodiment in that after the barrier layer 122 is sequentially formed and a high refractive index material layer is filled in the light pipe opening 118 to form the light pipe 124, Add a step to remove the high refractive index material layer on the top of the light pipe 124, for example, after planarizing the high refractive index material layer by chemical mechanical polishing, further perform an etch-back process so that the height of the top surface of the light pipe 124 is lower than the top cover The top surface of the layer 136 exposes a portion of the barrier layer 122 covering the sidewall of the capping layer 136. Next, as shown in FIG. 12, an insulating layer 126 is formed on the substrate 100 to cover the light pipe 124 and the barrier layer 122 in steps. Since the top of the light pipe 124 has been removed, a plurality of grooves 140 are formed on the surface of the insulating layer 126 formed subsequently, which are respectively located above the light pipes 124. The cross-sectional shape of the groove 140 in this modified embodiment is substantially rectangular, but is not limited thereto. Then, a color filter layer 128 is formed in each of the grooves 140 to cover each of the photosensitive elements 102, wherein the color filter layer 128 and the insulating layer 126 on the top cover layer 136 can have flat tops by a planarization process. And the top surfaces of the two are substantially coplanar. Next, a micro-condenser 130 is formed on the color filter layer 128, and a wire opening 132 is formed in the peripheral region 100Y. With the manufacturing method of this modified embodiment, the color filter layer 128 of the image sensor 4 is embedded in the groove 140 of the insulating layer 126, which can further reduce the overall thickness of the image sensor 4.

請參考第13圖至第15圖,其為本發明影像感測器製作方法之第三實施例的製程示意圖,且第15圖繪示本發明第三實施例影像感測器5的剖面示意圖,其中在第三實施例中,第13圖係接續第3圖的製程。如第13圖所示,本實施例與第一實施例不同的地方在於,在反射層114與介電疊層上形成介電層116之後,先形成圖案化的光阻層120,其中光阻層120的開口對應於突起部分112。接著,進行蝕刻製程移除未被光阻層120覆蓋的部分介電層116而暴露出突起圖案112上的部分反射層114,並於各反射層114上形成一頂蓋層開口138。Please refer to FIG. 13 to FIG. 15, which are schematic process diagrams of a third embodiment of an image sensor manufacturing method according to the present invention, and FIG. 15 is a schematic cross-sectional view of an image sensor 5 according to a third embodiment of the present invention. In the third embodiment, FIG. 13 is a process following FIG. 3. As shown in FIG. 13, this embodiment is different from the first embodiment in that, after the dielectric layer 116 is formed on the reflective layer 114 and the dielectric stack, a patterned photoresist layer 120 is formed first, wherein the photoresist The opening of the layer 120 corresponds to the protruding portion 112. Next, an etching process is performed to remove a part of the dielectric layer 116 not covered by the photoresist layer 120 to expose a part of the reflective layer 114 on the protruding pattern 112, and a cap layer opening 138 is formed on each reflective layer 114.

然後如第14圖所示,於頂蓋層開口138中形成之頂蓋層146,使其覆蓋各突起部分112與其上的反射層114。本實施例形成頂蓋層146的方法可包括先將光阻層120移除,接著於介電層116中的頂蓋層開口138填入金屬材料(例如鎢),然後以介電層116當作研磨停止層而進行化學機械研磨製程,使得頂蓋層146具有實質上平坦的頂面並與介電層116的頂面大體上共平面。此外,頂蓋層146具有實質上垂直於基底100表面之側壁。接著,於周邊區100Y形成光阻層144以覆蓋周邊區100Y的介電層116,然後以頂蓋層146與反射層114當作蝕刻遮罩,對畫素區100X的介電層116與介電疊層進行蝕刻製程,以於反射層114之間形成光導管開口118。Then, as shown in FIG. 14, the capping layer 146 formed in the capping layer opening 138 covers each protruding portion 112 and the reflective layer 114 thereon. The method of forming the cap layer 146 in this embodiment may include removing the photoresist layer 120 first, then filling a metal material (such as tungsten) into the cap layer opening 138 in the dielectric layer 116, and then using the dielectric layer 116 as The chemical mechanical polishing process is performed as a polishing stop layer, so that the cap layer 146 has a substantially flat top surface and is substantially coplanar with the top surface of the dielectric layer 116. In addition, the capping layer 146 has a sidewall substantially perpendicular to the surface of the substrate 100. Next, a photoresist layer 144 is formed on the peripheral region 100Y to cover the dielectric layer 116 of the peripheral region 100Y, and then the cap layer 146 and the reflective layer 114 are used as an etching mask to the dielectric layer 116 and the dielectric of the pixel region 100X. The electrical stack is etched to form a light pipe opening 118 between the reflective layers 114.

接著,如第15圖所示,移除周邊區100Y的光阻層144,再於基板100上全面形成阻障層122,其中阻障層122階梯覆蓋頂蓋層146的頂面與側壁以及反射層114,並覆蓋周邊區100Y的介電層116。本實施例影像感測器5之其餘元件的製作方式、位置及材料可參考第一實施例,不再贅述。與第二實施例相較,由於本實施例頂蓋層146的材料為金屬,因此其側壁及頂面均可提供反射的效果,能進一步減少跨越干擾之情形。Next, as shown in FIG. 15, the photoresist layer 144 in the peripheral region 100Y is removed, and then a barrier layer 122 is formed on the substrate 100 in its entirety. The barrier layer 122 covers the top surface and the side walls of the top cover layer 146 and the reflection in steps. The layer 114 covers the dielectric layer 116 of the peripheral region 100Y. For the manufacturing methods, locations, and materials of the remaining components of the image sensor 5 in this embodiment, reference may be made to the first embodiment, and details are not described herein again. Compared with the second embodiment, since the material of the cap layer 146 in this embodiment is metal, both the side wall and the top surface thereof can provide a reflection effect, which can further reduce the situation of cross interference.

請參考第16圖,其繪示了本發明影像感測器製作方法之第三實施例之變化實施例的剖面示意圖,本變化實施例之影像感測器6與第三實施例之差異在於光導管124頂面低於頂蓋層146的頂面,因此後續形成的絕緣層140表面形成凹槽140,而彩色濾光層128則填入凹槽140中,其製程方法可參考上述之第11圖與第12圖。再者,本變化實施例與第二實施例之變化實施例(第12圖)不同的地方在於頂蓋層146的材料為金屬材料,而第12圖之頂蓋層136為介電材料。藉由本變化實施例的製作方法,彩色濾光層128係內嵌於絕緣層126之凹槽140中並位於兩相鄰頂蓋層146之間,因此通過彩色濾光層128而朝向相鄰感光元件102前進的光線,可有效地被頂蓋層146之頂面與側壁反射,進而有效地減少影像感測器的跨越干擾。此外,影像感測器中其餘元件的位置、材料及製作方式可參考第二實施例之變化實施例,因此不再贅述。Please refer to FIG. 16, which illustrates a schematic cross-sectional view of a modified embodiment of the third embodiment of the image sensor manufacturing method of the present invention. The difference between the image sensor 6 and the third embodiment of this modified embodiment lies in light. The top surface of the conduit 124 is lower than the top surface of the capping layer 146. Therefore, a groove 140 is formed on the surface of the subsequent insulating layer 140, and the color filter layer 128 is filled in the groove 140. For the manufacturing method, refer to the eleventh above. Figure and Figure 12. Furthermore, the difference between this modified embodiment and the modified embodiment of the second embodiment (FIG. 12) is that the material of the cap layer 146 is a metal material, and the cap layer 136 of FIG. 12 is a dielectric material. With the manufacturing method of this modified embodiment, the color filter layer 128 is embedded in the groove 140 of the insulating layer 126 and is located between two adjacent top cover layers 146. Therefore, the color filter layer 128 faces the adjacent photosensitive The light traveling through the element 102 can be effectively reflected by the top surface and the side wall of the top cover layer 146, thereby effectively reducing the cross interference of the image sensor. In addition, for the positions, materials, and manufacturing methods of the remaining components in the image sensor, reference may be made to the modified embodiment of the second embodiment, and details are not described herein again.

綜上所述,本發明影像感測器之介電疊層之頂面包括至少一突起部分位於該感光元件之一側,並於感光元件兩側的突起部分上設置反射層,其中反射層的材料舉例為金屬材料。當側向光線通過彩色濾光層後向相鄰的內連線結構或感光元件前進時,會被反射層反射而改變行進路徑,以避免光線被相鄰的感光元件所吸收,進而減少影像感測器的跨越干擾問題。此外,本發明影像感測器可另包括設置於反射層上的頂蓋層,其具有平坦的頂面及側壁,且其材料可為金屬,因此光線可被頂蓋層的頂面及側壁反射,因此頂蓋層可當作設置在相鄰感測元件之間的擋牆,能更有效地減少影像感測器的跨越干擾情形。再者,本發明影像感測器之彩色濾光層亦可內嵌於絕緣層表面之凹槽內,使得彩色濾光層位於兩相鄰的反射層或頂蓋層之間,縮小彩色濾光層與感光元件之間的距離,能降低發生跨越畫素行進的光線數量,同時進一步縮小影像感測器的整體厚度。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。In summary, the top surface of the dielectric stack of the image sensor of the present invention includes at least one protruding portion on one side of the photosensitive element, and reflective layers are provided on the protruding portions on both sides of the photosensitive element. Examples of materials are metallic materials. When the lateral light passes through the color filter layer and advances to the adjacent interconnecting structure or photosensitive element, it will be reflected by the reflective layer to change the travel path to prevent the light from being absorbed by the adjacent photosensitive element, thereby reducing the image perception. Tester's cross interference problem. In addition, the image sensor of the present invention may further include a top cover layer disposed on the reflective layer, which has a flat top surface and a side wall, and the material thereof may be metal, so light may be reflected by the top surface and the side wall of the top cover layer. Therefore, the top cover layer can be used as a retaining wall disposed between adjacent sensing elements, which can more effectively reduce the cross-interference situation of the image sensor. In addition, the color filter layer of the image sensor of the present invention can also be embedded in a groove on the surface of the insulating layer, so that the color filter layer is located between two adjacent reflective layers or the top cover layer to reduce the color filter. The distance between the layer and the photosensitive element can reduce the amount of light traveling across the pixels, while further reducing the overall thickness of the image sensor. The above description is only a preferred embodiment of the present invention, and all equivalent changes and modifications made in accordance with the scope of patent application of the present invention shall fall within the scope of the present invention.

1、2、3、4、5、6‧‧‧影像感測器 1, 2, 3, 4, 5, 6‧‧‧ image sensors

100‧‧‧基底 100‧‧‧ substrate

100X‧‧‧畫素區 100X‧‧‧Pixel Area

100Y‧‧‧周邊區 100Y‧‧‧Peripheral area

102‧‧‧感光元件 102‧‧‧photosensitive element

104‧‧‧開關元件 104‧‧‧switching element

106‧‧‧隔離結構 106‧‧‧Isolation structure

108‧‧‧層間介電層 108‧‧‧ Interlayer dielectric layer

110‧‧‧內連線 110‧‧‧Internal connection

112‧‧‧突起部分 112‧‧‧ protrusion

114、114’‧‧‧反射層 114、114’‧‧‧Reflective layer

116‧‧‧介電層 116‧‧‧ Dielectric layer

118‧‧‧光導管開口 118‧‧‧light pipe opening

120、142、144‧‧‧光阻層 120, 142, 144‧‧‧ photoresist layer

122‧‧‧阻障層 122‧‧‧Barrier layer

124‧‧‧光導管 124‧‧‧light pipe

126‧‧‧絕緣層 126‧‧‧ Insulation

128、128R、128G、128B‧‧‧彩色濾光層 128, 128R, 128G, 128B‧‧‧ color filters

130‧‧‧微聚光鏡 130‧‧‧Micro Condenser

132‧‧‧導線開口 132‧‧‧Wire opening

134‧‧‧光導管開口圖案 134‧‧‧light pipe opening pattern

136、146‧‧‧頂蓋層 136, 146‧‧‧ roof

138‧‧‧頂蓋層開口 138‧‧‧ top cover opening

140‧‧‧凹槽 140‧‧‧Groove

D‧‧‧距離 D‧‧‧distance

L1、L2‧‧‧光線 L1, L2‧‧‧‧light

V1、V2‧‧‧接觸洞 V1, V2‧‧‧ contact hole

第1圖至第5圖繪示了本發明影像感測器製作方法之第一實施例的製程示意圖。 第6圖為本發明影像感測器製作方法之第一實施例的製程步驟流程圖。 第7圖至第8圖繪示了本發明影像感測器製作方法之第一實施例之變化實施例的製程示意圖。 第9圖至第10圖繪示了本發明影像感測器製作方法之第二實施例的製程示意圖。 第11圖至第12圖繪示了本發明影像感測器製作方法之第二實施例之變化實施例的製程示意圖。 第13圖至第15圖繪示了本發明影像感測器製作方法之第三實施例的製程示意圖。 第16圖繪示了本發明影像感測器之第三實施例之變化實施例的剖面示意圖。FIG. 1 to FIG. 5 are schematic diagrams illustrating a manufacturing process of a first embodiment of a method for manufacturing an image sensor according to the present invention. FIG. 6 is a flowchart of the manufacturing process steps of the first embodiment of the image sensor manufacturing method of the present invention. FIG. 7 to FIG. 8 are schematic diagrams illustrating a manufacturing process of a modified embodiment of the first embodiment of the method for manufacturing an image sensor according to the present invention. FIG. 9 to FIG. 10 are schematic diagrams illustrating the manufacturing process of the second embodiment of the image sensor manufacturing method of the present invention. FIG. 11 to FIG. 12 are schematic diagrams illustrating a manufacturing process of a modified embodiment of the second embodiment of the image sensor manufacturing method of the present invention. 13 to 15 are schematic diagrams showing the manufacturing process of the third embodiment of the image sensor manufacturing method of the present invention. FIG. 16 is a schematic cross-sectional view of a modified embodiment of the third embodiment of the image sensor of the present invention.

Claims (17)

一種影像感測器,包括: 一感光元件,設置於一基底內; 一內連線結構,設置於該基底表面; 一介電疊層,設置於該基底表面並覆蓋該感光元件,其中該內連線結構設置於該介電疊層內,且該介電疊層之頂面包括至少一突起部分位於該感光元件之一側; 一反射層,覆蓋該介電疊層之該突起部分,且該反射層之剖面形狀包括一倒V字形圖案或包括一倒U字形圖案;以及 一阻障層,覆蓋於該反射層上。An image sensor includes: a photosensitive element disposed in a substrate; an interconnect structure disposed on a surface of the substrate; a dielectric stack disposed on the surface of the substrate and covering the photosensitive element, wherein the inside The wiring structure is disposed in the dielectric stack, and the top surface of the dielectric stack includes at least one protruding portion on one side of the photosensitive element; a reflective layer covering the protruding portion of the dielectric stack, and The cross-sectional shape of the reflective layer includes an inverted V-shaped pattern or includes an inverted U-shaped pattern; and a barrier layer covering the reflective layer. 如請求項1所述之影像感測器,另包括一頂蓋層設置於該阻障層與該反射層之間,其中該頂蓋層具有實質上平坦的一頂面與垂直於該基底表面之側壁,且該阻障層階梯覆蓋該頂蓋層與該反射層。The image sensor according to claim 1, further comprising a capping layer disposed between the barrier layer and the reflective layer, wherein the capping layer has a substantially flat top surface and is perpendicular to the substrate surface Side walls, and the barrier layer covers the top cover layer and the reflective layer in steps. 如請求項2所述之影像感測器,其中該頂蓋層包括金屬材料或絕緣材料。The image sensor according to claim 2, wherein the capping layer comprises a metal material or an insulating material. 如請求項1或2所述之影像感測器,其另包括: 一絕緣層設置於該阻障層上並階梯覆蓋該阻障層,且該絕緣層之表面形成一凹槽對應於該感光元件; 一彩色濾光層,填設於該凹槽內;以及 一微聚光鏡,設置於該彩色濾光層上,並對應該感光元件設置。The image sensor according to claim 1 or 2, further comprising: an insulating layer disposed on the barrier layer and covering the barrier layer in steps, and a groove formed on a surface of the insulating layer corresponding to the photosensitive layer An element; a color filter layer filled in the groove; and a micro condenser lens disposed on the color filter layer and corresponding to the photosensitive element. 如請求項4所述之影像感測器,其中該凹槽的剖面形狀包括倒置的梯形或矩形。The image sensor according to claim 4, wherein a cross-sectional shape of the groove includes an inverted trapezoid or a rectangle. 如請求項1所述之影像感測器,其另包括: 一絕緣層設置於該阻障層上,該絕緣層具有實質上平坦的一頂面; 一彩色濾光層,設置於該絕緣層上;以及 一微聚光鏡,設置於該彩色濾光層上,並對應該感光元件設置。The image sensor according to claim 1, further comprising: an insulating layer disposed on the barrier layer, the insulating layer having a substantially flat top surface; a color filter layer disposed on the insulating layer And a micro condenser lens, which is disposed on the color filter layer and corresponding to the photosensitive element. 如請求項1所述之影像感測器,另包括一光導管,設置於該感光元件上並位於該介電疊層中,且該阻障層之一部分設置於該光導管與該介電疊層之間。The image sensor according to claim 1, further comprising a light pipe disposed on the photosensitive element and located in the dielectric stack, and a part of the barrier layer is provided on the light pipe and the dielectric stack. Between layers. 一種影像感測器的製作方法,包括: 提供一基底,並於該基底內形成一感光元件; 於該基底表面形成一內連線結構與一介電疊層,其中該內連線結構設置於該介電疊層中,且該介電疊層之頂面包括一突起部分位於該感光元件的一側;以及 於該介電疊層上形成一圖案化之反射層,該反射層至少覆蓋該介電疊層之該突起部分,且該反射層之剖面形狀包括一倒V字形圖案或包括一倒U字形圖案。A method for manufacturing an image sensor includes: providing a substrate and forming a photosensitive element in the substrate; forming an interconnect structure and a dielectric stack on a surface of the substrate, wherein the interconnect structure is disposed on In the dielectric stack, and a top surface of the dielectric stack includes a protruding portion on one side of the photosensitive element; and forming a patterned reflective layer on the dielectric stack, the reflective layer covering at least the The protruding portion of the dielectric stack, and the cross-sectional shape of the reflective layer includes an inverted V-shaped pattern or includes an inverted U-shaped pattern. 如請求項8所述之影像感測器的製作方法,另包括: 移除部分該介電疊層,以於該感光元件上形成一光導管開口; 於該基底上形成一阻障層,覆蓋該光導管開口之一底部與一側壁,並覆蓋該反射層; 於該光導管開口中形成一光導管; 於該光導管與該阻障層上形成一絕緣層; 於該絕緣層上形成一彩色濾光層覆蓋該感光元件;以及 於該彩色濾光層上形成一微聚光鏡,覆蓋該感光元件。The method for manufacturing an image sensor according to claim 8, further comprising: removing a part of the dielectric stack to form a light pipe opening on the photosensitive element; forming a barrier layer on the substrate to cover A bottom and a side wall of the light pipe opening cover the reflective layer; a light pipe is formed in the light pipe opening; an insulation layer is formed on the light pipe and the barrier layer; and an insulation layer is formed on the insulation layer A color filter layer covers the photosensitive element; and a micro condenser lens is formed on the color filter layer to cover the photosensitive element. 如請求項9所述之影像感測器的製作方法,另包括於形成該阻障層之前,先於該反射層上形成一頂蓋層,該頂蓋層具有實質上平坦的一頂面與垂直於該基底表面之側壁,且之後形成的該阻障層階梯覆蓋該反射層與該頂蓋層。The method for manufacturing the image sensor according to claim 9, further comprising forming a capping layer on the reflective layer before forming the barrier layer, the capping layer having a substantially flat top surface and The sidewalls perpendicular to the surface of the substrate, and the barrier layer formed later cover the reflective layer and the cap layer. 如請求項10所述之影像感測器的製作方法,其中該頂蓋層包括金屬材料,且形成該光導管開口的方法包括以該頂蓋層與該反射層當作蝕刻遮罩,對該介電疊層進行一蝕刻製程以移除被該頂蓋層與該反射層暴露出的部分該介電疊層。The method for manufacturing an image sensor according to claim 10, wherein the capping layer comprises a metal material, and the method of forming the light pipe opening includes using the capping layer and the reflective layer as an etching mask, and An etching process is performed on the dielectric stack to remove a portion of the dielectric stack exposed by the cap layer and the reflective layer. 如請求項9所述之影像感測器的製作方法,其中形成該光導管開口的方法包括以該反射層當作蝕刻遮罩,對該介電疊層進行一蝕刻製程以移除被該反射層暴露出的部分該介電疊層。The method for manufacturing an image sensor according to claim 9, wherein the method for forming the light pipe opening includes using the reflective layer as an etching mask, and performing an etching process on the dielectric stack to remove the reflection. The exposed portion of the layer is the dielectric stack. 如請求項9所述之影像感測器的製作方法,其中該阻障層階梯覆蓋該反射層並直接與該反射層相接觸。The method for manufacturing an image sensor according to claim 9, wherein the barrier layer step covers the reflective layer and directly contacts the reflective layer. 如請求項9所述之影像感測器的製作方法,其中該絕緣層階梯覆蓋該阻障層,該絕緣層之表面形成一凹槽對應該於感光元件,且該彩色濾光層填設於該凹槽內。The method for manufacturing an image sensor according to claim 9, wherein the insulating layer covers the barrier layer in steps, a groove is formed on the surface of the insulating layer corresponding to the photosensitive element, and the color filter layer is filled in Inside the groove. 如請求項14所述之影像感測器的製作方法,其中該凹槽的剖面形狀包括倒置的梯形或矩形。The method for manufacturing an image sensor according to claim 14, wherein a cross-sectional shape of the groove includes an inverted trapezoid or a rectangle. 如請求項9所述之影像感測器的製作方法,其中該絕緣層具有實質上平坦的一頂面。The method for manufacturing an image sensor according to claim 9, wherein the insulating layer has a substantially flat top surface. 如請求項8所述之影像感測器的製作方法,其中形成該介電疊層之方法包括進行一高密度電漿(high density plasma,HDP)化學氣相沉積製程。The method for manufacturing an image sensor according to claim 8, wherein the method for forming the dielectric stack includes performing a high density plasma (HDP) chemical vapor deposition process.
TW105133173A 2016-10-14 2016-10-14 Image sensor and fabrication method thereof TWI599028B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW105133173A TWI599028B (en) 2016-10-14 2016-10-14 Image sensor and fabrication method thereof
CN201610970180.5A CN107958913B (en) 2016-10-14 2016-10-28 Image sensor and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW105133173A TWI599028B (en) 2016-10-14 2016-10-14 Image sensor and fabrication method thereof

Publications (2)

Publication Number Publication Date
TWI599028B TWI599028B (en) 2017-09-11
TW201814894A true TW201814894A (en) 2018-04-16

Family

ID=60719648

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105133173A TWI599028B (en) 2016-10-14 2016-10-14 Image sensor and fabrication method thereof

Country Status (2)

Country Link
CN (1) CN107958913B (en)
TW (1) TWI599028B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108807449B (en) * 2018-08-24 2022-02-08 德淮半导体有限公司 Image sensor and forming method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689582B1 (en) * 2000-08-31 2007-03-08 매그나칩 반도체 유한회사 Image sensor having reflection layer on side wall of trench for improving light incident intensity and method for forming the same
EP1341235A3 (en) * 2002-02-28 2006-05-10 Canon Kabushiki Kaisha Image pickup apparatus
US6861686B2 (en) * 2003-01-16 2005-03-01 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same
US7193289B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Damascene copper wiring image sensor
CN100524786C (en) * 2005-08-18 2009-08-05 联华电子股份有限公司 Image sensor from CMOS transistors, and manufacturing method
US20090189055A1 (en) * 2008-01-25 2009-07-30 Visera Technologies Company Limited Image sensor and fabrication method thereof
TWI615957B (en) * 2016-08-29 2018-02-21 Powerchip Technology Corporation Image sensor and fabrication method thereof

Also Published As

Publication number Publication date
TWI599028B (en) 2017-09-11
CN107958913A (en) 2018-04-24
CN107958913B (en) 2020-02-07

Similar Documents

Publication Publication Date Title
TWI767044B (en) Image sensor scheme for optical and electrical improvement
TWI608600B (en) Image sensor and related fabrication method
JP5241902B2 (en) Manufacturing method of semiconductor device
US20080096303A1 (en) Fabrication method of image sensing device
US20050200734A1 (en) CMOS image device having high light collection efficiency and method of fabricating the same
JP6021439B2 (en) Solid-state imaging device
US11646340B2 (en) Semiconductor image sensor
TWI572024B (en) Semiconductor device and method of manufacturing the same
TW200810100A (en) Image sensor and the method for manufacturing the same
US20220020797A1 (en) Image sensor
KR20090034429A (en) Image sensor and method for manufacturing thereof
KR100905596B1 (en) Image Sensor and Method for Manufacturing Thereof
TWI707481B (en) Image sensor and manufacturing method thereof
US9391227B2 (en) Manufacturing method of semiconductor device
CN107785383B (en) Image sensor and manufacturing method thereof
TWI599028B (en) Image sensor and fabrication method thereof
KR100906558B1 (en) Image Sensor and Method for Manufacturing Thereof
KR100449951B1 (en) Image sensor and method of fabricating the same
TWI622165B (en) Image sensor and fabrication method thereof
TWI669811B (en) Image sensors with light pipe-alike
US20080036026A1 (en) Metal line of image sensor
TWI799057B (en) Image sensor integrated chip and method for forming the same
KR20090068409A (en) Image sensor and method for manufacturing threrof
KR20230099211A (en) Image sensor
KR101024765B1 (en) Image Sensor and Method for Manufacturing Thereof