TW201806037A - Systems and methods for achieving uniformity across a redistribution layer - Google Patents

Systems and methods for achieving uniformity across a redistribution layer Download PDF

Info

Publication number
TW201806037A
TW201806037A TW106116033A TW106116033A TW201806037A TW 201806037 A TW201806037 A TW 201806037A TW 106116033 A TW106116033 A TW 106116033A TW 106116033 A TW106116033 A TW 106116033A TW 201806037 A TW201806037 A TW 201806037A
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
rdl
redistribution layer
portions
Prior art date
Application number
TW106116033A
Other languages
Chinese (zh)
Inventor
布萊恩 L 巴克羅
湯瑪斯 A 波努斯瓦彌
史蒂芬 T 邁爾
史帝芬 J 班尼克二世
賈斯汀 奥伯斯特
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/161,081 external-priority patent/US10233556B2/en
Priority claimed from US15/458,833 external-priority patent/US10714436B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201806037A publication Critical patent/TW201806037A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4821Flat leads, e.g. lead frames with or without insulating supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Systems and methods for achieving uniformity across a redistribution layer are described. One of the methods includes patterning a photoresist layer over a substrate. The patterning defines a region for a conductive line and a via disposed below the region for the conductive line. The method further includes depositing a conductive material in between the patterned photoresist layer, such that the conductive material fills the via and the region for the conductive line. The depositing causes an overgrowth of conductive material of the conductive line to form a bump of the conductive material over the via. The method also includes planarizing a top surface of the conductive line while maintaining the patterned photoresist layer present over the substrate. The planarizing is facilitated by exerting a horizontal shear force over the conductive line and the bump. The planarizing is performed to flatten the bump.

Description

達成整個重分佈層之均勻性的系統及方法System and method for achieving uniformity of entire redistribution layer

本發明關於達成整個重分佈層之均勻性的系統及方法。The present invention relates to a system and method for achieving uniformity throughout a redistribution layer.

通常,電化學沉積製程在現代積體電路製造中係加以使用。金屬線互連結構驅動對逐漸複雜的電沉積製程及電鍍工具的需求。許多複雜性係響應在裝置金屬化層中更小的電流承載線之需求而發展。這些線係藉由將金屬電鍍成非常薄、高深寬比的溝槽及貫孔而加以形成。Electrochemical deposition processes are commonly used in modern integrated circuit manufacturing. Metal wire interconnect structures drive the need for increasingly complex electrodeposition processes and plating tools. Many complexities have evolved in response to the need for smaller current carrying lines in the device metallization layer. These lines are formed by electroplating metal into very thin, high aspect ratio trenches and through holes.

電化學沉積現在係準備就緒,以滿足商業上對複雜封裝及多晶片互連技術(通常口語上稱為晶圓級封裝(WLP)及電連接技術)的需求。這些技術部分由於通常較小的特徵部尺寸及低的深寬比而呈現其各自非常顯著的挑戰。Electrochemical deposition is now ready to meet commercial demand for complex packaging and multi-chip interconnect technologies (commonly referred to as wafer level packaging (WLP) and electrical connection technologies). These technologies present their very significant challenges in part due to the generally smaller feature sizes and low aspect ratios.

重要的是隨著較小的特徵部尺寸及較細微的節距,由特徵部提供之電導率的量係未受到損害。這是本揭示內容中描述之實施例產生的背景。It is important that with smaller feature sizes and finer pitches, the amount of conductivity provided by the features is not compromised. This is the context in which the embodiments described in this disclosure arise.

本揭示內容的實施例提供達成整個重分佈層之均勻性的系統及方法。應理解本發明的實施例可以多種方式(例如製程、設備、系統、裝置、或在電腦可讀媒體上的方法)加以實現。一些實施例係描述如下。Embodiments of the present disclosure provide systems and methods to achieve uniformity throughout the redistribution layer. It should be understood that embodiments of the present invention may be implemented in a variety of ways, such as a process, a device, a system, an apparatus, or a method on a computer-readable medium. Some embodiments are described below.

高密度扇出(HDFO)晶圓級封裝(WLP)係一種旨在改善封裝效能、縮小形態因子、及驅動降低相關成本的電鍍技術。HDFO WLP係被視為取代顯著更昂貴的矽穿孔(TSV)技術。HDFO提供一些感興趣的電鍍應用,諸如細間距重分佈層(RDL)及堆疊RDL。High-density fan-out (HDFO) wafer-level packaging (WLP) is a plating technology designed to improve package performance, reduce form factors, and drive related costs. The HDFO WLP series is seen as a replacement for significantly more expensive TSV technology. HDFO offers some interesting electroplating applications, such as fine-pitch redistribution layers (RDL) and stacked RDL.

扇出(FO)技術包含半加成製程(SAP),其中RDL線係加以形成,銅係被電鍍在圖案化區域內,光阻係加以剝離,以及阻障和晶種層係自基板加以蝕刻。此外,FO技術包含電沉積單層銅RDL,其線厚度從10微米變化至100微米,且在兩條毗鄰線之間的間距從10微米變化至100微米,而HDFO技術包含在更細微的節距RDL中電沉積銅。例如,在HDFO技術中,RDL線的厚度係2微米,且在兩條毗鄰RDL線之間的間距係2微米。作為另一例子,在HDFO技術中,RDL線的厚度範圍從2微米至10微米,且在兩條毗鄰RDL線之間的間隔範圍從2微米至10微米。Fan-out (FO) technology includes a semi-additive process (SAP), in which RDL lines are formed, copper systems are plated in a patterned area, photoresist systems are stripped, and barrier and seed layers are etched from the substrate . In addition, FO technology includes electrodeposited single-layer copper RDL, whose line thickness varies from 10 μm to 100 μm, and the spacing between two adjacent lines varies from 10 μm to 100 μm, while HDFO technology includes more subtle sections. Copper electrodeposited in RDL. For example, in HDFO technology, the thickness of RDL lines is 2 micrometers, and the spacing between two adjacent RDL lines is 2 micrometers. As another example, in HDFO technology, the thickness of an RDL line ranges from 2 to 10 microns, and the interval between two adjacent RDL lines ranges from 2 to 10 microns.

在堆疊RDL製程期間,顯著的地形係在每一RDL層的產生期間在晶圓表面上加以產生。此地形上的變化限制微影之焦點的深度,其從而導致整個晶圓表面的線尺寸變化及較細微線縮放的解析度問題。本文描述克服地形變化之問題的方法,其使用兩步驟的製程:(1)保形地電鍍RDL且同時超填充(superfill)貫孔,使得導電材料(諸如銅或不變鋼(FeNi36 )或鈷的過度生長係在貫孔上加以產生,接著(2)電拋光或電蝕刻導電材料,使得平坦的貫孔-RDL表面係加以形成。During the stacked RDL process, significant topography is created on the wafer surface during the generation of each RDL layer. Changes in this terrain limit the depth of the focal point of the lithography, which in turn causes line size changes across the wafer surface and resolution issues with finer line scaling. This article describes a method to overcome the problem of terrain changes, which uses a two-step process: (1) conformally electroplating RDL and superfilling vias simultaneously, making conductive materials such as copper or invariant steel (FeNi 36 ) Cobalt overgrowth is generated on the through holes, and then (2) electropolishing or electrically etching the conductive material, so that a flat through hole-RDL surface system is formed.

在一些實施例中,達成整個RDL層之均勻性的系統及方法包含使用RDL結構(例如凸塊)超填充貫孔,使得貫孔上的過度生長係加以形成。此外,該等系統及方法包含執行電拋光或電蝕刻製程,以平坦化RDL層的RDL結構及/或RDL層的其他RDL區域,以最小化由導電材料的電鍍引起的任何地形變化。在各種實施例中,用於RDL結構及/或其他RDL區域的超填充及電拋光或電蝕刻製程係在相同的電鍍槽液中依序執行,以最小化晶圓轉移且最大化工具生產率。在一些實施例中,用於RDL結構及/或其他RDL區域的超填充及電拋光或電蝕刻製程係在不同的電鍍槽或不同的電鍍槽液中但在相同的電鍍工具平台之內依序執行,以簡化晶圓製程流程且最大化晶圓良率。In some embodiments, the system and method for achieving uniformity of the entire RDL layer includes overfilling the via with an RDL structure (such as a bump) so that an overgrowth on the via is formed. In addition, these systems and methods include performing an electro-polishing or electro-etching process to planarize the RDL structure of the RDL layer and / or other RDL regions of the RDL layer to minimize any topographic changes caused by electroplating of conductive materials. In various embodiments, the super-filling and electro-polishing or electro-etching processes for RDL structures and / or other RDL regions are sequentially performed in the same plating bath to minimize wafer transfer and maximize tool productivity. In some embodiments, the super-filling and electro-polishing or electro-etching processes for the RDL structure and / or other RDL regions are sequentially performed in different electroplating baths or different electroplating baths but within the same electroplating tool platform. Implemented to simplify wafer process flow and maximize wafer yield.

在各種實施例中,描述一種用於處理基板以當重分佈層與貫孔介接時,改善重分佈層之地形均勻性的方法。該方法包含在該基板上方圖案化一光阻層。該圖案化的步驟針對一導線界定一區域,及在該導線區域下方加以配置的該貫孔。該導線係在該重分佈層的一水平。該方法進一步包含在該圖案化的光阻層之間沉積一導電材料,使得該導電材料填充該貫孔及該導線的區域。該沉積步驟係進一步加以控制以造成該導線的導電材料過生長,以在該貫孔正上方形成該導電材料的一凸塊。該導線的導電材料及該凸塊係維持在低於該圖案化的光阻層之頂部表面下方的填充水平。該方法亦包含平坦化該導線的頂部表面且同時維持存在於該基板之上之該圖案化的光阻層。該平坦化的步驟係藉由在該導線及該凸塊上施加水平剪力的一液態化學品而加以協助。該平坦化步驟係加以執行以修平凸塊。該方法包含在執行該平坦化步驟之後剝離該光阻。In various embodiments, a method for processing a substrate to improve the uniformity of the terrain of a redistribution layer when the redistribution layer interfaces with a via is described. The method includes patterning a photoresist layer over the substrate. The patterning step defines a region for a conductive line, and the through-hole disposed under the conductive line area. The wire is at a level of the redistribution layer. The method further includes depositing a conductive material between the patterned photoresist layers so that the conductive material fills the area of the through hole and the wire. The deposition step is further controlled to cause the conductive material of the wire to overgrow to form a bump of the conductive material directly above the through hole. The conductive material of the wires and the bumps are maintained below the fill level below the top surface of the patterned photoresist layer. The method also includes planarizing the top surface of the wire while maintaining the patterned photoresist layer present on the substrate. The planarization step is assisted by a liquid chemical that applies a horizontal shear force on the wire and the bump. This planarization step is performed to smooth the bumps. The method includes stripping the photoresist after performing the planarization step.

在一些實施例中,描述一種用於達成重分佈層之均勻性的方法。該方法包含:在配置於一基板上之一襯墊的頂部上沉積一有機介電層,在該介電層之內產生複數貫孔,以產生該介電層的複數中間部分;及在該介電層的頂部上沉積一阻障和晶種層,以在該介電層的頂部上形成一膜。該膜係在該等貫孔之內及在該等中間部分的頂部上加以形成。該方法進一步包含在晶種層之該膜的頂部上沉積一光阻,以填充該等貫孔及在該介電層之該等中間部分之上形成一層。該方法包含藉由移除該光阻的複數部分而圖案化該光阻的複數斷續區域,以露出在該等貫孔之內沉積之該膜的複數部分及在該介電層的該等中間部分的片段上沉積之該膜的複數額外部分。該方法包含沉積該重分佈層於在該等貫孔之內沉積之該膜的該等部分之頂部上及在該膜之該等額外部分的頂部上,使得該重分佈層的高度係小於該光阻形成之該層的高度。該重分佈層的高度及該光阻形成之該層的高度係自該基板加以測量。沉積該重分佈層的操作係加以執行以過填充該等貫孔。過填充係加以執行以產生該重分佈層的凸塊。該等凸塊係在該光阻的該等斷續區域之間加以產生。該方法包含移除在該光阻的該等斷續區域之間的該等凸塊以達成均勻性。In some embodiments, a method for achieving uniformity of a redistribution layer is described. The method includes: depositing an organic dielectric layer on top of a pad disposed on a substrate, generating a plurality of through holes in the dielectric layer to generate a plurality of intermediate portions of the dielectric layer; A barrier and seed layer is deposited on top of the dielectric layer to form a film on top of the dielectric layer. The film is formed within the through holes and on top of the intermediate portions. The method further includes depositing a photoresist on top of the film of the seed layer to fill the through holes and form a layer over the intermediate portions of the dielectric layer. The method includes patterning a plurality of discontinuous areas of the photoresist by removing the plurality of portions of the photoresist to expose the plurality of portions of the film deposited within the through holes and the plurality of portions of the dielectric layer. A plurality of additional portions of the film are deposited on fragments of the middle portion. The method includes depositing the redistribution layer on top of the portions of the film deposited within the through holes and on top of the additional portions of the film such that the height of the redistribution layer is less than the height The height of the layer formed by the photoresist. The height of the redistribution layer and the height of the layer formed by the photoresist are measured from the substrate. The operation of depositing the redistribution layer is performed to overfill the through holes. Overfilling is performed to produce bumps of the redistribution layer. The bumps are created between the discontinuous areas of the photoresist. The method includes removing the bumps between the discontinuous regions of the photoresist to achieve uniformity.

本文描述之用於達成整個RDL層之均勻性之系統及方法的一些優點,例如減少整個RDL層的不均勻性、移除整個RDL層的不均勻性等,係藉由執行在圖案化光阻層之兩個毗鄰區域之間存在的RDL層之電蝕刻或電拋光。該圖案化光阻層的區域界定RDL層的布局。此外,來自電鍍反應器之陰極電解液的高、均勻的橫向剪切流,促進在整個基板表面實現RDL層之銅的均勻電沉積。同樣地,陰極電解液的均勻剪切流改善電沉積或電蝕刻製程的均勻性及整體效率。Some advantages of the system and method for achieving uniformity of the entire RDL layer, such as reducing the non-uniformity of the entire RDL layer, removing the non-uniformity of the entire RDL layer, etc. Electro-etching or polishing of the RDL layer present between two adjacent areas of the layer. The area of the patterned photoresist layer defines the layout of the RDL layer. In addition, the high and uniform transverse shear flow of the catholyte from the electroplating reactor promotes the uniform electrodeposition of copper in the RDL layer over the entire substrate surface. Similarly, the uniform shear flow of the catholyte improves the uniformity and overall efficiency of the electrodeposition or etching process.

本文描述之系統及方法的另外優點包含使用鈷或不變鋼或其組合以製造RDL層。鈷及不變鋼具有低熱膨脹係數,且因此在高溫下具有低的開裂機率。Additional advantages of the systems and methods described herein include the use of cobalt or constant steel or a combination thereof to make RDL layers. Cobalt and invariant steels have a low coefficient of thermal expansion and therefore a low probability of cracking at high temperatures.

本文描述的系統及方法之進一步優點包含使用銅、鈷及不變鋼之其中兩者以上的組合以製造RDL層。該組合在高溫下具有低的開裂機率。Further advantages of the systems and methods described herein include the use of a combination of two or more of copper, cobalt, and constant steel to fabricate an RDL layer. This combination has a low probability of cracking at high temperatures.

其他實施態樣將從以下詳細說明,特別是結合隨附圖式將更容易理解。Other implementation aspects will be described in detail below, especially in conjunction with the accompanying drawings.

以下實施例描述用於達成整個重分佈層之均勻性的系統及方法。應理解本發明實施例可以不具有某些或全部這些具體細節而加以實施。在其他情況下,為了不要不必要地模糊本發明實施例,未詳細說明眾所周知的製程操作。The following examples describe systems and methods for achieving uniformity throughout the redistribution layer. It should be understood that embodiments of the present invention may be implemented without some or all of these specific details. In other cases, in order not to unnecessarily obscure the embodiments of the present invention, well-known process operations are not described in detail.

圖1A係說明在基板102上製造重分佈層(RDL)104(圖1B)之方法100之實施例的圖。基板102係諸如矽、或矽及鍺之合金等的薄片材料。方法100包含操作150:在襯墊122的頂部上沉積介電層124材料,例如:有機介電材料(諸如聚醯亞胺(PI)),以形成覆蓋在襯墊122上的介電材料膜。操作150的一個示例係旋塗製程。操作150係使用下面參照圖8描述的系統800加以執行。襯墊122係由諸如銅、或鋁、或鎢、或其組合的金屬製成。襯墊122係覆蓋在基板102的頂部上。在一些實施例中,襯墊122係藉由使用系統800在基板102上加以沉積。襯墊122係在介電層124和基板102之間。應注意在一些實施例中,在基板102和介電層124之間沒有襯墊122。更準確地說,介電層124係毗鄰基板102。FIG. 1A is a diagram illustrating an embodiment of a method 100 of manufacturing a redistribution layer (RDL) 104 (FIG. 1B) on a substrate 102. FIG. The substrate 102 is a thin material such as silicon or an alloy of silicon and germanium. The method 100 includes operation 150: depositing a dielectric layer 124 material, such as an organic dielectric material (such as polyimide (PI)), on top of the gasket 122 to form a film of the dielectric material overlying the gasket 122 . An example of operation 150 is a spin coating process. Operation 150 is performed using system 800 described below with reference to FIG. The gasket 122 is made of a metal such as copper, or aluminum, or tungsten, or a combination thereof. The pad 122 covers the top of the substrate 102. In some embodiments, the liner 122 is deposited on the substrate 102 using the system 800. The spacer 122 is interposed between the dielectric layer 124 and the substrate 102. It should be noted that in some embodiments, there is no pad 122 between the substrate 102 and the dielectric layer 124. More specifically, the dielectric layer 124 is adjacent to the substrate 102.

在方法100的操作152中,在襯墊122的頂部上沉積介電層124之後,介電層124係加以圖案化,以在介電層124的中間部分(諸如中間部分124A和124B)之間產生多個貫孔,諸如貫孔154。操作152係使用圖9說明的晶圓步進器900及圖10說明的沉浸式容器1000加以執行。沉浸式容器1000在本文有時係被稱為溼檯。在介電層124的中間部分之間的貫孔係加以形成,以露出襯墊122的一部分,諸如部分156。In operation 152 of method 100, after depositing a dielectric layer 124 on top of the liner 122, the dielectric layer 124 is patterned to be between intermediate portions of the dielectric layer 124, such as intermediate portions 124A and 124B. A plurality of through holes is created, such as through holes 154. Operation 152 is performed using the wafer stepper 900 illustrated in FIG. 9 and the immersion container 1000 illustrated in FIG. 10. The immersive container 1000 is sometimes referred to herein as a wet bench. A through-hole system is formed between the middle portions of the dielectric layer 124 to expose a portion, such as a portion 156, of the pad 122.

此外,在圖案化的操作152之後,阻障層(例如鈦層,或鎢層,或鉭層,或鈦、鎢及鉭等之其中兩者以上之組合的層)的薄膜係在介電層124的頂部上加以沉積。阻障層的薄膜係在方法100的操作158中加以沉積,以覆蓋介電層124的中間部分124A和124B,及將貫孔154係在其頂部上加以圖案化之襯墊122的部分156加以覆蓋。操作158係使用物理氣相沉積(PVD)加以執行。PVD製程係使用系統1100描述於下。In addition, after the patterning operation 152, a thin film of a barrier layer (such as a titanium layer, a tungsten layer, or a tantalum layer, or a layer of a combination of two or more of titanium, tungsten, and tantalum) is placed on the dielectric layer. Deposited on top of 124. A thin film of the barrier layer is deposited in operation 158 of method 100 to cover the middle portions 124A and 124B of the dielectric layer 124, and a portion 156 of the liner 122 with the vias 154 patterned on top thereof cover. Operation 158 is performed using physical vapor deposition (PVD). The PVD process is described below using system 1100.

此外,在操作158中,銅晶種層係在阻障層的頂部上加以沉積,以在阻障層的頂部上形成銅晶種層的薄膜。舉例而言,在沉積阻障層之後,PVD製程係在操作158中再次重複以沉積銅晶種層。銅晶種層係加以沉積,以將覆蓋介電層124之中間部分124A和124B之阻障層的部分加以覆蓋,及將覆蓋在襯墊122的部分156之頂部上之阻障層的部分加以覆蓋。銅晶種層及阻障層在本文係統稱為阻障和晶種層123。當阻障和晶種層123係在介電層124的中間部分124A和124B的頂部上及在襯墊122之部分(諸如部分156)的頂部上加以沉積時,貫孔(諸如貫孔106)係在介電層124的中間部分124A和124B之間加以形成。當貫孔154係塗佈阻障和晶種層123時,貫孔106係在阻障和晶種層123之一部分的頂部上加以形成。該阻障和晶種層123的一部分係覆蓋在貫孔106之內。整個貫孔154係未填充該阻障和晶種層123,但該阻障和晶種層123的薄膜係在貫孔154之內加以形成以產生貫孔106。In addition, in operation 158, a copper seed layer is deposited on top of the barrier layer to form a thin film of the copper seed layer on top of the barrier layer. For example, after depositing the barrier layer, the PVD process is repeated again in operation 158 to deposit a copper seed layer. A copper seed layer is deposited to cover the portion of the barrier layer covering the middle portions 124A and 124B of the dielectric layer 124, and the portion of the barrier layer covering the top of the portion 156 of the liner 122. cover. The copper seed layer and the barrier layer are referred to herein as the barrier and seed layer 123. When the barrier and seed layer 123 is deposited on top of the middle portions 124A and 124B of the dielectric layer 124 and on top of portions of the pad 122, such as portion 156, vias (such as via 106) It is formed between the middle portions 124A and 124B of the dielectric layer 124. When the through hole 154 is coated with the barrier and seed layer 123, the through hole 106 is formed on top of a part of the barrier and the seed layer 123. A portion of the barrier and seed layer 123 is covered within the through hole 106. The entire through hole 154 is not filled with the barrier and seed layer 123, but a thin film of the barrier and seed layer 123 is formed within the through hole 154 to generate the through hole 106.

在執行沉積阻障和晶種層123的操作158之後,在方法100的操作160中,光阻層108係在阻障和晶種層123的頂部上加以沉積。光阻層108係藉由執行旋塗製程加以沉積。舉例而言,系統800係用以在阻障和晶種層123的頂部上覆蓋光阻層108。光阻層108係加以沉積,以填充貫孔106及在阻障和晶種層123的頂部上(例如在介電層124的中間部分124A和124B上方)形成厚層。光阻層108包含諸如部分128的部分,其係在下面進一步加以描述。部分128係延伸在中間部分124A的一部分上方、中間部分124B的一部分上方、及貫孔106上方。After performing the operation 158 of depositing the barrier and seed layer 123, in operation 160 of the method 100, a photoresist layer 108 is deposited on top of the barrier and seed layer 123. The photoresist layer 108 is deposited by performing a spin coating process. For example, the system 800 is used to cover the photoresist layer 108 on top of the barrier and seed layer 123. A photoresist layer 108 is deposited to fill the vias 106 and form a thick layer on top of the barrier and seed layer 123 (eg, over the middle portions 124A and 124B of the dielectric layer 124). Photoresist layer 108 includes portions such as portion 128, which is described further below. The portion 128 extends above a portion of the middle portion 124A, above a portion of the middle portion 124B, and above the through hole 106.

應注意基板102、在基板102之頂部上的襯墊122、及在襯墊122之頂部上的介電層124之組合在本文係被稱為基板封裝103。此外,基板102、在基板102之頂部上的襯墊122、及在襯墊122之頂部上之介電層124的中間部分124A和124B、及在襯墊122之頂部上的貫孔154之組合在本文有時係被稱為基板封裝105。此外,基板102及襯墊122的組合在本文有時係被稱為基板封裝107。基板102、襯墊122、中間部分124A和124B、及阻障和晶種層123的組合在本文有時係被稱為基板封裝109。It should be noted that the combination of the substrate 102, the pad 122 on top of the substrate 102, and the dielectric layer 124 on top of the pad 122 is referred to herein as a substrate package 103. In addition, a combination of the substrate 102, the pad 122 on the top of the substrate 102, and the middle portions 124A and 124B of the dielectric layer 124 on the top of the pad 122, and the through hole 154 on the top of the pad 122 It is sometimes referred to herein as a substrate package 105. In addition, the combination of the substrate 102 and the pad 122 is sometimes referred to herein as a substrate package 107. The combination of the substrate 102, the gasket 122, the middle portions 124A and 124B, and the barrier and seed layer 123 is sometimes referred to herein as a substrate package 109.

圖1B係用於說明製造RDL層104之方法100之實施例的圖。圖1B係圖1A說明的方法100之延續。在執行圖1A的操作160之後,方法100的操作162係加以執行。在操作162中,光阻層108(圖1A)係加以圖案化,使得光阻層108的毗鄰區域(例如毗鄰區域A1和A2)係加以形成,以在該等毗鄰區域之間形成多個區域,諸如區域110。區域110係在區域A1和A2之間的空間。光阻層108的圖案化係使用晶圓步進器900(圖9)及沉浸式容器1000(圖10)加以執行。區域110係在兩個毗鄰區域A1和A2之間且在貫孔106的頂部上加以形成。在該兩個毗鄰區域A1和A2之間的距離係表示為d。距離d係區域110的寬度,且係大於貫孔106的最大寬度w(例如直徑等)。貫孔106的最大寬度w係大於貫孔106的所有其餘寬度。區域110係藉由移除光阻層108的一部分(諸如部分128(圖1A))而加以產生。部分128係加以移除,以露出阻障和晶種層123的部分132A和132B。部分132A係在介電層124的片段134A上加以沉積,而部分132B係在介電層124的片段134B上加以沉積。此外,部分128係加以移除,以露出低於貫孔106之最大寬度w的阻障和晶種層123的額外部分130。FIG. 1B is a diagram illustrating an embodiment of a method 100 of manufacturing the RDL layer 104. FIG. 1B is a continuation of the method 100 illustrated in FIG. 1A. After performing operation 160 of FIG. 1A, operation 162 of method 100 is performed. In operation 162, the photoresist layer 108 (FIG. 1A) is patterned such that adjacent regions (eg, adjacent regions A1 and A2) of the photoresist layer 108 are formed to form a plurality of regions between the adjacent regions. , Such as area 110. The region 110 is a space between the regions A1 and A2. The patterning of the photoresist layer 108 is performed using a wafer stepper 900 (FIG. 9) and an immersion container 1000 (FIG. 10). The region 110 is formed between two adjacent regions A1 and A2 and on the top of the through hole 106. The distance between the two adjacent areas A1 and A2 is denoted as d. The distance d is the width of the region 110 and is greater than the maximum width w (for example, the diameter) of the through hole 106. The maximum width w of the through-hole 106 is larger than all remaining widths of the through-hole 106. Region 110 is created by removing a portion of photoresist layer 108, such as portion 128 (FIG. 1A). Portions 128 are removed to expose portions 132A and 132B of the barrier and seed layer 123. A portion 132A is deposited on the segment 134A of the dielectric layer 124, and a portion 132B is deposited on the segment 134B of the dielectric layer 124. In addition, the portion 128 is removed to expose the barrier and the additional portion 130 of the seed layer 123 below the maximum width w of the through hole 106.

在執行操作162之後,方法100的去渣(descum)操作164係加以執行。去渣操作164係加以執行,以移除在貫孔106的溝槽內之任何殘留的光阻及改善光阻區域A1和A2的潤濕性。去渣操作164使光阻變成較低疏水性。去渣操作164係使用圖12中說明的系統1200加以執行。After operation 162 is performed, a descum operation 164 of the method 100 is performed. The deslagging operation 164 is performed to remove any remaining photoresist in the trench of the through hole 106 and improve the wettability of the photoresist regions A1 and A2. The deslagging operation 164 makes the photoresist less hydrophobic. The deslagging operation 164 is performed using the system 1200 illustrated in FIG. 12.

在執行去渣操作164之後,方法100的預處理操作166係加以執行。預處理操作166的一實例係在美國專利第8,962,085號中加以描述,其全部內容於此藉由參照納入本案揭示內容。作為另一示例,預處理操作係使用圖13A的系統1300或圖13B的系統1320執行的預潤濕操作。After the deslagging operation 164 is performed, the preprocessing operation 166 of the method 100 is performed. An example of a pre-processing operation 166 is described in US Patent No. 8,962,085, the entire contents of which are incorporated herein by reference. As another example, the pre-treatment operation is a pre-wetting operation performed using the system 1300 of FIG. 13A or the system 1320 of FIG. 13B.

在方法100的操作168中,導電材料112(諸如銅,或鈷,或不變鋼,或鎳,或鎳和鈷和鐵的合金,或銅、鈷、不變鋼、鎳、鎳和鈷和鐵的合金之其中兩者以上的組合),係在貫孔(諸如貫孔106)之內及在光阻層108的毗鄰區域A1和A2之間諸如區域110的區域之內加以沉積。鎳、鈷和鐵的合金之一示例係對硼矽酸鹽玻璃具有匹配的α之F15TM 材料。操作168係在預處理操作166之後加以執行。應注意在一些實施例中,鈷對銅晶種蝕刻化學品(例如用以蝕刻銅晶種層的蝕刻劑)而言係無法滲透的,且與銅相比具有大約高於2倍的楊氏模數,以改善RDL層104的電性及機械效能。較高的楊氏模數強化RDL層104。此外,鈷的熱膨脹係數係每攝氏度百萬分之17(ppm/o C),而銅的熱膨脹係數係13 ppm/o C。因此,具有鈷作為RDL層的高密度扇出(HDFO)封裝,在包括高溫的HDFO封裝應用期間具有較低(諸如30%)的破裂可能性。類似地,不變鋼具有小於1 ppm/℃的熱膨脹係數。In operation 168 of method 100, a conductive material 112 (such as copper, or cobalt, or constant steel, or nickel, or an alloy of nickel and cobalt and iron, or copper, cobalt, constant steel, nickel, nickel, and cobalt, and A combination of two or more of the iron alloys) is deposited in the through holes (such as the through holes 106) and in the areas such as the area 110 between the adjacent areas A1 and A2 of the photoresist layer 108. One example of an alloy of nickel, cobalt, and iron is the F15 material with a matching alpha to borosilicate glass. Operation 168 is performed after preprocessing operation 166. It should be noted that in some embodiments, cobalt is impermeable to copper seed etch chemicals (such as the etchant used to etch the copper seed layer) and has a Young's ratio approximately two times higher than copper Modulus to improve the electrical and mechanical performance of the RDL layer 104. The higher Young's modulus strengthens the RDL layer 104. In addition, the thermal expansion coefficient of cobalt is 17 parts per million (ppm / o C) and the thermal expansion coefficient of copper is 13 ppm / o C. As a result, high-density fan-out (HDFO) packages with cobalt as the RDL layer have a lower (such as 30%) likelihood of cracking during HDFO packaging applications including high temperatures. Similarly, invariant steel has a thermal expansion coefficient of less than 1 ppm / ° C.

下表提供銅及鈷的特性。 表 IThe table below provides the characteristics of copper and cobalt. Table I

此外,下表提供不變鋼的特性。 表IIIn addition, the following table provides characteristics of constant steel. Table II

電沉積的操作168係使用圖14A說明的系統1400加以執行。在一些實施例中,操作168係使用在美國專利第9,523,155號中描述的設備加以執行,其全部內容藉由參照納入本案揭示內容。在操作168中,貫孔(諸如貫孔106)係使用導電材料112加以過填充(overfill),以產生多個凸塊(諸如凸塊114)及產生多個齊平層(諸如齊平層LL1和齊平層LL2)。作為一示例,凸塊114的直徑範圍在180微米和220微米之間。為了說明,凸塊114的直徑係200微米。導電材料112係在阻障和晶種層123的部分132A和132B之頂部上及在阻障和晶種層123的部分130之頂部上加以沉積。齊平層LL1和LL2的每一者係在水平117處。凸塊114係在貫孔106上方(例如在貫孔106正上方)加以產生。舉例而言,凸塊114的寬度(例如直徑、周長等)係小於貫孔106的最大寬度w。作為另一示例,凸塊114係與貫孔106同心。作為又另一示例,凸塊114的寬度係小於貫孔106的最大寬度w,且凸塊114係與貫孔106同心。作為另一示例,凸塊114的寬度係小於貫孔106的最大寬度w,且凸塊114位在由自最大寬度w垂直延伸的線界定的界限之內。在一些實施例中,凸塊114的寬度係大於貫孔106的最大寬度w。此外,貫孔106及在貫孔106正上方之RDL層104的一部分係加以填充直到填充水平116,該填充水平116係低於光阻層108之頂部表面的水平118。此外,水平117係低於填充水平116及光阻層108之頂部表面的水平118。齊平層LL1係在凸塊114和光阻層108的毗鄰區域A1之間加以生長,而齊平層LL2係在凸塊114和光阻層108的毗鄰區域A2之間加以生長。在一些實施例中,齊平層LL1的一部分係在貫孔106上方(例如在貫孔106正上方)加以產生,而齊平層LL2的一部分係在貫孔106上方(例如在貫孔106正上方)加以產生,其中凸塊114亦在貫孔106正上方加以產生。應注意光阻層108的區域A1和A2的高度h2係大於凸塊114的高度h1。高度h1及h2係自基板102的底表面加以測量。類似地,水平116至118係自基板102的底表面加以測量。凸塊114係在光阻層108的毗鄰區域A1和A2之間加以產生。The electrodeposition operation 168 is performed using the system 1400 illustrated in FIG. 14A. In some embodiments, operation 168 is performed using the apparatus described in US Patent No. 9,523,155, the entire contents of which are incorporated herein by reference. In operation 168, a through hole (such as the through hole 106) is overfilled with the conductive material 112 to generate a plurality of bumps (such as the bump 114) and a plurality of flush layers (such as the flush layer LL1). And flush layer LL2). As an example, the diameter of the bump 114 ranges between 180 microns and 220 microns. For illustration, the diameter of the bump 114 is 200 micrometers. The conductive material 112 is deposited on top of the portions 132A and 132B of the barrier and seed layer 123 and on top of the portion 130 of the barrier and seed layer 123. Each of the flush layers LL1 and LL2 is tied at level 117. The bump 114 is generated above the through hole 106 (for example, directly above the through hole 106). For example, the width (eg, diameter, perimeter, etc.) of the bump 114 is smaller than the maximum width w of the through hole 106. As another example, the bump 114 is concentric with the through hole 106. As yet another example, the width of the bump 114 is smaller than the maximum width w of the through hole 106, and the bump 114 is concentric with the through hole 106. As another example, the width of the bump 114 is smaller than the maximum width w of the through hole 106, and the bump 114 is located within a boundary defined by a line extending vertically from the maximum width w. In some embodiments, the width of the bump 114 is greater than the maximum width w of the through hole 106. In addition, the through hole 106 and a portion of the RDL layer 104 directly above the through hole 106 are filled up to a fill level 116 which is lower than the level 118 of the top surface of the photoresist layer 108. In addition, the level 117 is lower than the fill level 116 and the level 118 of the top surface of the photoresist layer 108. The flush layer LL1 is grown between the bump 114 and the adjacent area A1 of the photoresist layer 108, and the flush layer LL2 is grown between the bump 114 and the adjacent area A2 of the photoresist layer 108. In some embodiments, a part of the flush layer LL1 is generated above the through hole 106 (for example, directly above the through hole 106), and a part of the flush layer LL2 is above the through hole 106 (for example, directly above the through hole 106). (Above), the bump 114 is also generated directly above the through hole 106. It should be noted that the height h2 of the regions A1 and A2 of the photoresist layer 108 is greater than the height h1 of the bump 114. The heights h1 and h2 are measured from the bottom surface of the substrate 102. Similarly, the levels 116 to 118 are measured from the bottom surface of the substrate 102. The bump 114 is generated between the adjacent areas A1 and A2 of the photoresist layer 108.

一旦操作168係加以執行,在方法100的操作170中,導電材料112的凸塊(例如凸塊114)係在電拋光操作170中加以移除,該電拋光操作170在本文有時係被稱為電蝕刻操作。電拋光操作170係使用圖14B的系統1401加以執行。然而,取代使用導電材料112作為陰極電解液的情況,在電拋光操作170期間,酸(諸如磷酸或硫酸)係用以拋光凸塊。在一些實施例中,導電材料112係用以蝕刻凸塊。導電材料112的凸塊係加以拋光以平坦化RDL層104的頂部表面120。頂部表面120的平坦化係加以執行,以在齊平層LL1和LL2之間形成齊平區域,例如齊平區域LL3。Once operation 168 is performed, in operation 170 of method 100, the bumps (eg, bumps 114) of the conductive material 112 are removed in an electropolishing operation 170, which is sometimes referred to herein as It is an electric etching operation. Electropolishing operation 170 is performed using system 1401 of FIG. 14B. However, instead of using the conductive material 112 as the catholyte, during the electropolishing operation 170, an acid (such as phosphoric acid or sulfuric acid) is used to polish the bumps. In some embodiments, the conductive material 112 is used to etch the bumps. The bumps of the conductive material 112 are polished to planarize the top surface 120 of the RDL layer 104. The planarization of the top surface 120 is performed to form a flush region, such as a flush region LL3, between the flush layers LL1 and LL2.

在各種實施例中,頂部表面120的平坦化係加以執行,以移除或減少在凸塊114與光阻層108的區域A1或A2之間的RDL層104區域中的不均勻性。在這些實施例中,一些不均勻性可能在操作168之後殘留。In various embodiments, the planarization of the top surface 120 is performed to remove or reduce unevenness in the region of the RDL layer 104 between the bump 114 and the region A1 or A2 of the photoresist layer 108. In these embodiments, some non-uniformities may remain after operation 168.

在一些實施例中,齊平區域(例如齊平區域LL3)的水平係匹配從基板102之下表面測量的齊平層LL1和LL2的高度,使得齊平層係在毗鄰區域A1和A2之間加以形成。舉例而言,齊平層LL1、LL2及LL3的每一者係在水平117處。In some embodiments, the level of the flush region (eg, flush region LL3) matches the height of the flush layers LL1 and LL2 measured from the lower surface of the substrate 102 such that the flush layer is between adjacent regions A1 and A2 To form. For example, each of the flush layers LL1, LL2, and LL3 is at level 117.

在操作170之後,方法100之光阻剝離的操作172係加以執行。操作172係使用圖12的系統1200加以執行。在一些實施例中,操作172係使用美國專利第7,605,063號中描述的設備加以執行,其全部內容藉由參照納入本案揭示內容。在各種實施例中,浸槽係用以執行光阻剝離的操作172。具有毗鄰區域A1和A2的光阻層108係浸在光阻溶劑中以移除區域A1和A2。光阻層108(例如毗鄰區域A1和A2)係在操作172期間加以移除或蝕刻。光阻層108的毗鄰區域(諸如毗鄰區域A1和A2)係加以移除,以露出諸如部分136A和136B的部分或圍繞RDL層104的阻障和晶種層123。After operation 170, operation 172 of photoresist stripping of method 100 is performed. Operation 172 is performed using the system 1200 of FIG. In some embodiments, operation 172 is performed using the device described in US Patent No. 7,605,063, the entire contents of which are incorporated herein by reference. In various embodiments, the dip tank is used to perform a photoresist stripping operation 172. The photoresist layer 108 having adjacent areas A1 and A2 is immersed in a photoresist solvent to remove the areas A1 and A2. The photoresist layer 108 (eg, adjacent areas A1 and A2) is removed or etched during operation 172. Adjacent areas of the photoresist layer 108 (such as the adjacent areas A1 and A2) are removed to expose portions such as portions 136A and 136B or the barrier and seed layer 123 surrounding the RDL layer 104.

一旦執行操作172,方法100的操作174係加以執行。操作174係使用圖12的系統1200加以執行。為了說明,銅晶種層係在操作174期間首先被蝕刻掉以露出阻障層。銅晶種層係使用蝕刻劑(例如酸、腐蝕性化學品、銅蝕刻劑等)加以蝕刻掉。在該銅晶種層下方的阻障層係接著被蝕刻掉,以露出介電層124的一部分,諸如部分138A和138B。阻障層係使用蝕刻劑(例如酸、腐蝕性化學品等)加以蝕刻掉。在操作174期間,阻障和晶種層123的一部分(諸如部分136A和136B等)係被蝕刻掉以露出介電層124的該部分。在執行操作174之後,RDL層104的導線保留在貫孔106的頂部上。每一導線具有齊平的頂部表面。舉例而言,RDL層104的導線具有位在水平面的平坦頂部表面。為了說明,在執行電拋光的操作170之後,在RDL層104的平坦頂部表面中沒有不均勻性。作為另一實例,在執行電拋光的操作170之後,在RDL層104之內的均勻量係小於預定閾值。作為又另一實例,沒有或只有最小將殘留物或殘餘物困住而降低RDL層104之傳導性的不均勻區域,例如粗糙部、凹槽等。Once operation 172 is performed, operation 174 of method 100 is performed. Operation 174 is performed using the system 1200 of FIG. To illustrate, the copper seed layer is first etched away during operation 174 to expose the barrier layer. The copper seed layer is etched away using an etchant (such as acid, corrosive chemicals, copper etchant, etc.). The barrier layer below the copper seed layer is then etched away to expose portions of the dielectric layer 124, such as portions 138A and 138B. The barrier layer is etched away using an etchant (such as acid, corrosive chemicals, etc.). During operation 174, a portion of the barrier and seed layer 123, such as portions 136A and 136B, is etched away to expose that portion of the dielectric layer 124. After performing operation 174, the wires of the RDL layer 104 remain on top of the through-hole 106. Each wire has a flush top surface. For example, the wires of the RDL layer 104 have a flat top surface located in a horizontal plane. To illustrate, after performing the operation 170 of electropolishing, there is no unevenness in the flat top surface of the RDL layer 104. As another example, after performing the electro-polishing operation 170, the uniform amount within the RDL layer 104 is less than a predetermined threshold. As yet another example, there is no or only a non-uniform area that traps residues or residues and reduces the conductivity of the RDL layer 104, such as rough portions, grooves, and the like.

在一些實施例中,操作172及174兩者係在相同的腔室內加以執行,例如單一電漿腔室1202,其係參照圖12描述於下。應注意介電層124的部分138A係毗鄰介電層124的片段134A,而介電層124的部分138B係毗鄰介電層124的片段134B。介電層124的片段134A係毗鄰貫孔106,而介電層124的片段134B係毗鄰貫孔106。與介電層124的片段134A相比,介電層124的片段134B係位在貫孔106的相反側。類似地,與介電層124的部分138B相比,介電層124的部分138A係位在貫孔106的相反側。In some embodiments, operations 172 and 174 are both performed in the same chamber, such as a single plasma chamber 1202, which is described below with reference to FIG. It should be noted that a portion 138A of the dielectric layer 124 is a segment 134A adjacent to the dielectric layer 124, and a portion 138B of the dielectric layer 124 is a segment 134B adjacent to the dielectric layer 124. Segments 134A of the dielectric layer 124 are adjacent to the vias 106 and segments 134B of the dielectric layer 124 are adjacent to the vias 106. Compared to the segment 134A of the dielectric layer 124, the segment 134B of the dielectric layer 124 is located on the opposite side of the through hole 106. Similarly, compared to the portion 138B of the dielectric layer 124, the portion 138A of the dielectric layer 124 is located on the opposite side of the through hole 106.

在操作174之後執行的操作176中,旋轉、清洗及乾燥(SRD)製程係在RDL層104及介電層124的部分138A和138B上加以執行。SRD製程發生在旋轉清洗乾燥器中。在SRD操作期間,基板102係在支撐件上加以旋轉以執行旋轉操作。此外,清洗操作係藉由允許去離子水在RDL層104及介電層124之部分138A和138B的頂部上流動設定的一段時間(例如一分鐘、兩分鐘等)而加以執行。去離子水係接著從SRD被吹出。在乾燥操作期間,在SRD之內的空間係使用加熱器加熱,以汽化來自RDL層104及來自介電層124的部分138A和138B之去離子水的液滴。In operation 176 performed after operation 174, the spin, wash, and dry (SRD) process is performed on the RDL layer 104 and the portions 138A and 138B of the dielectric layer 124. The SRD process takes place in a spin washer dryer. During the SRD operation, the substrate 102 is rotated on a support to perform a rotation operation. In addition, the cleaning operation is performed by allowing deionized water to flow on top of the portions 138A and 138B of the RDL layer 104 and the dielectric layer 124 for a set period of time (eg, one minute, two minutes, etc.). Deionized water is then blown out of the SRD. During the drying operation, the space within the SRD is heated using a heater to vaporize droplets of deionized water from the RDL layer 104 and portions 138A and 138B from the dielectric layer 124.

應注意基板102、襯墊122、圖案化的介電層124、阻障和晶種層123的部分130、132A和132B、及圖案化的光阻層108之組合在本文有時係被稱為基板封裝135。此外,應注意基板102、襯墊122、圖案化的介電層124、圖案化光阻層108的區域A1和A2、及具有凸塊的RDL層104之組合在本文有時係被稱為基板封裝141。此外,應注意基板102、襯墊122、圖案化的介電層124、貫孔106、RDL層104、及圖案化的光阻層108之組合在本文有時係被稱為基板封裝137。此外,應注意基板102、襯墊122、圖案化的介電層124、貫孔106、RDL層104、及阻障和晶種層123的部分136A和136B之組合在本文有時係被稱為基板封裝139。It should be noted that the combination of the substrate 102, the gasket 122, the patterned dielectric layer 124, the portions 130, 132A, and 132B of the barrier and seed layer 123, and the patterned photoresist layer 108 are sometimes referred to herein as Substrate package 135. In addition, it should be noted that the combination of the substrate 102, the spacer 122, the patterned dielectric layer 124, the regions A1 and A2 of the patterned photoresist layer 108, and the RDL layer 104 with bumps is sometimes referred to herein as a substrate Package 141. In addition, it should be noted that the combination of the substrate 102, the pad 122, the patterned dielectric layer 124, the through hole 106, the RDL layer 104, and the patterned photoresist layer 108 is sometimes referred to herein as a substrate package 137. In addition, it should be noted that the combination of portions 136A and 136B of the substrate 102, the pad 122, the patterned dielectric layer 124, the through hole 106, the RDL layer 104, and the barrier and seed layer 123 is sometimes referred to herein as Substrate package 139.

在一些實施例中,此處描述的毗鄰區域在本文中有時係被稱為鄰近區域。In some embodiments, the adjacent regions described herein are sometimes referred to herein as adjacent regions.

圖2係說明在基板102上製造RDL層104之方法200之實施例的圖。在方法200中,執行操作160:在阻障和晶種層123的頂部上沉積光阻層108。應注意如圖2所示,沒有介電層124及沒有襯墊122位在基板102和光阻層108之間。此外,在操作160之後,圖案化光阻層108的操作162、去渣操作164、及預處理操作166係在方法200中加以執行。圖案化光阻層108的操作162係加以執行以製造毗鄰區域A1、A2及更多毗鄰區域A3、A4及A5。一旦操作166係加以執行,在方法200中,導電材料112的電沉積之操作168係加以執行。舉例而言,RDL層104的另一凸塊114係在毗鄰區域A3和A1之間加以產生,RDL層104的又另一凸塊114係在毗鄰區域A2和A4之間加以產生,且RDL層104的另一凸塊114係在毗鄰區域A4和A5之間加以產生。此外,在執行操作168之後,電拋光每一凸塊114的操作170係加以執行。每一凸塊114係加以電拋光,以形成RDL層104的頂部表面120,以形成RDL層104的圖案P1、P2、P3、及P4。舉例而言,另一頂部表面120係在毗鄰區域A3和A1之間加以形成,又另一頂部表面120係在毗鄰區域A2和A4之間加以形成,而另一頂部表面120係在毗鄰區域A4和A5之間加以形成。FIG. 2 is a diagram illustrating an embodiment of a method 200 of manufacturing an RDL layer 104 on a substrate 102. In the method 200, operation 160 is performed: a photoresist layer 108 is deposited on top of the barrier and seed layer 123. It should be noted that as shown in FIG. 2, no dielectric layer 124 and no pad 122 are located between the substrate 102 and the photoresist layer 108. In addition, after operation 160, operation 162 of patterning photoresist layer 108, slag removal operation 164, and pre-processing operation 166 are performed in method 200. The operation 162 of patterning the photoresist layer 108 is performed to fabricate adjacent areas A1, A2, and more adjacent areas A3, A4, and A5. Once operation 166 is performed, in method 200, operation 168 of electrodeposition of conductive material 112 is performed. For example, another bump 114 of the RDL layer 104 is generated between adjacent regions A3 and A1, another bump 114 of the RDL layer 104 is generated between adjacent regions A2 and A4, and the RDL layer Another bump 114 of 104 is created between adjacent areas A4 and A5. Further, after operation 168 is performed, operation 170 of electro-polishing each bump 114 is performed. Each bump 114 is electro-polished to form the top surface 120 of the RDL layer 104 to form the patterns P1, P2, P3, and P4 of the RDL layer 104. For example, another top surface 120 is formed between adjacent areas A3 and A1, another top surface 120 is formed between adjacent areas A2 and A4, and another top surface 120 is formed between adjacent areas A4 And A5.

在操作170之後,方法200的操作172係加以執行。操作172包含執行剝離光阻層108的圖案,例如毗鄰區域A1至A5。剝離光阻層108之毗鄰區域A1至A5的操作172係加以執行,直到阻障和晶種層123的部分136A和136B係加以露出。此外,在操作172期間,阻障和晶種層123的部分136C係加以露出。應注意,部分136A係在RDL層104的兩個毗鄰圖案P1和P2之間,部分136B係在RDL層104的兩個毗鄰圖案P2和P3之間,而部分136C係在RDL層104的兩個毗鄰圖案P3和P4之間。After operation 170, operation 172 of method 200 is performed. Operation 172 includes performing a pattern of stripping the photoresist layer 108, such as the adjacent areas A1 to A5. The operation 172 of peeling the adjacent areas A1 to A5 of the photoresist layer 108 is performed until the portions 136A and 136B of the barrier and seed layer 123 are exposed. In addition, during operation 172, a portion 136C of the barrier and seed layer 123 is exposed. It should be noted that part 136A is located between two adjacent patterns P1 and P2 of the RDL layer 104, part 136B is located between two adjacent patterns P2 and P3 of the RDL layer 104, and part 136C is located between two adjacent patterns of the RDL layer 104 Adjacent patterns P3 and P4.

一旦操作172係加以執行,方法200的操作174係加以執行。在操作174期間,阻障和晶種層123的部分(諸如部分136A、136B及136C)係加以蝕刻。當阻障和晶種層123的部分係加以蝕刻時,基板102的部分(諸如182A、182B、182C及182D)係加以露出。部分182B係在RDL層104的圖案P1和P2之間,部分182C係在RDL層104的圖案P2和P3之間,而部分182D係在RDL層104的圖案P3和P4之間。Once operation 172 is performed, operation 174 of method 200 is performed. During operation 174, portions of the barrier and seed layer 123, such as portions 136A, 136B, and 136C, are etched. When portions of the barrier and seed layer 123 are etched, portions of the substrate 102 (such as 182A, 182B, 182C, and 182D) are exposed. Part 182B is between the patterns P1 and P2 of the RDL layer 104, part 182C is between the patterns P2 and P3 of the RDL layer 104, and part 182D is between the patterns P3 and P4 of the RDL layer 104.

圖3係基板封裝300之實施例的圖,以說明包含凸塊(諸如凸塊114)的RDL層104。基板封裝300包含作為其底層的基板102。基板102係在其頂部上覆蓋襯墊122。介電層124係在襯墊122上加以沉積,且係加以圖案化以形成介電層124的中間部分124A和124B。電沉積的操作係加以執行以使用導電材料112過填充貫孔106,以形成具有凸塊114的RDL層104。舉例而言,凸塊114的直徑係小於貫孔106的最大寬度w,且自基板102的下表面302測量之凸塊114的高度係大於自下表面302之貫孔106的高度。此外,自基板102的下表面302之齊平層LL1的高度係大於貫孔106的高度,且自基板102的下表面302之齊平層LL2的高度係大於貫孔106的高度。FIG. 3 is a diagram of an embodiment of a substrate package 300 to illustrate an RDL layer 104 including a bump, such as a bump 114. The substrate package 300 includes a substrate 102 as a bottom layer thereof. The substrate 102 is covered with a gasket 122 on the top thereof. The dielectric layer 124 is deposited on the pad 122 and is patterned to form the middle portions 124A and 124B of the dielectric layer 124. The electrodeposition operation is performed to overfill the vias 106 with a conductive material 112 to form an RDL layer 104 having bumps 114. For example, the diameter of the bump 114 is smaller than the maximum width w of the through hole 106, and the height of the bump 114 measured from the lower surface 302 of the substrate 102 is greater than the height of the through hole 106 from the lower surface 302. In addition, the height of the flush layer LL1 from the lower surface 302 of the substrate 102 is greater than the height of the through hole 106, and the height of the flush layer LL2 from the lower surface 302 of the substrate 102 is greater than the height of the through hole 106.

圖4係基板封裝400之實施例的圖,以說明由RDL層1中的不均勻性產生之在RDL層2中的不均勻性。基板封裝400包含基板。在基板上方係襯墊。在襯墊的頂部上係介電層1。在介電層1上方係RDL層1。在RDL層1中有不均勻402。舉例而言,不均勻402具有相對於彼此傾斜的多個表面404A、404B及404C。為了說明,在表面404A和404B之間的角度係大於0度或大於0.1度。作為另一示例,在表面404B和404C之間的角度係大於0度或大於0.1度。作為另一示例,不均勻402具有曲率且不是直的。相比之下,在一些實施例中,均勻的RDL層缺少曲率且是直的,例如齊平的。作為又另一示例,表面404A、404B及404C的水平自RDL層1之頂部表面403的水平LVL1偏離。FIG. 4 is a diagram of an embodiment of a substrate package 400 to explain the unevenness in the RDL layer 2 caused by the unevenness in the RDL layer 1. The substrate package 400 includes a substrate. A pad is placed over the substrate. A dielectric layer 1 is tied on top of the pad. Above the dielectric layer 1 is an RDL layer 1. There is unevenness 402 in the RDL layer 1. For example, the unevenness 402 has a plurality of surfaces 404A, 404B, and 404C that are inclined relative to each other. To illustrate, the angle between the surfaces 404A and 404B is greater than 0 degrees or greater than 0.1 degrees. As another example, the angle between the surfaces 404B and 404C is greater than 0 degrees or greater than 0.1 degrees. As another example, the unevenness 402 has a curvature and is not straight. In contrast, in some embodiments, a uniform RDL layer lacks curvature and is straight, such as flush. As yet another example, the levels of the surfaces 404A, 404B, and 404C are offset from the horizontal LVL1 of the top surface 403 of the RDL layer 1.

由於RDL層1的不均勻性,在RDL層1之頂部上的介電層2係不均勻的。此外,由於介電層2的不均勻性,在介電層2上方的另一RDL層2係不均勻的。舉例而言,在RDL層2之內有不均勻406。不均勻406具有曲率。作為另一示例,不均勻406自RDL層2的水平LVL2偏離。不均勻406降低RDL層2的效能。舉例而言,RDL層2的傳導性降低。此外,可能存在本文所述之製程(例如SRD製程等)的殘餘材料,其在不均勻406之內沉積而降低效能。Due to the non-uniformity of the RDL layer 1, the dielectric layer 2 on top of the RDL layer 1 is non-uniform. In addition, due to the non-uniformity of the dielectric layer 2, another RDL layer 2 above the dielectric layer 2 is non-uniform. For example, there is unevenness 406 within RDL layer 2. The unevenness 406 has a curvature. As another example, the unevenness 406 deviates from the horizontal LVL2 of the RDL layer 2. The unevenness 406 reduces the effectiveness of the RDL layer 2. For example, the conductivity of RDL layer 2 is reduced. In addition, there may be residual materials from the processes described herein (eg, SRD processes, etc.) that are deposited within the heterogeneity 406 to reduce performance.

圖5係基板封裝500之實施例的圖,以說明一RDL層506,在RDL層506的頂部表面上具有最小(例如在預定閾值之內等)或沒有不均勻性。基板封裝500包含基板102及在基板102上方沉積的襯墊122。在一些實施例中,在襯墊122和基板102之間有例如介電層的層。FIG. 5 is a diagram of an embodiment of a substrate package 500 to illustrate an RDL layer 506 with a minimum (eg, within a predetermined threshold, etc.) or no unevenness on the top surface of the RDL layer 506. The substrate package 500 includes a substrate 102 and a pad 122 deposited over the substrate 102. In some embodiments, there is a layer, such as a dielectric layer, between the pad 122 and the substrate 102.

基板封裝500進一步包含沉積在襯墊122之頂部上的介電層124及沉積在介電層124上方的RDL層104。基板封裝500的另一介電層502係在RDL層104的頂部上加以沉積。舉例而言,在RDL層104上沉積介電材料的操作150(圖1A)係加以重複以沉積介電層502。此外,介電層502係藉由重複操作152加以圖案化,以在介電層502之內產生貫孔(諸如貫孔504)。此外,操作158(圖1A)係加以重複,以在介電層502的頂部上沉積阻障和晶種層的薄膜。此外,光阻層係藉由重複圖1A的操作160在介電層502之頂部上沉積的阻障和晶種層上加以沉積。在阻障和晶種層上沉積的光阻層係接著藉由重複圖1B的操作162加以圖案化。圖案係加以產生,以產生在介電層502上方沉積之光阻層的額外毗鄰區域,諸如毗鄰區域A1和A2(圖1B)。此外,在介電層502上方沉積之光阻層的該等額外毗鄰區域之間的距離係大於貫孔504的最大寬度。The substrate package 500 further includes a dielectric layer 124 deposited on top of the pad 122 and an RDL layer 104 deposited over the dielectric layer 124. Another dielectric layer 502 of the substrate package 500 is deposited on top of the RDL layer 104. For example, the operation 150 (FIG. 1A) of depositing a dielectric material on the RDL layer 104 is repeated to deposit a dielectric layer 502. In addition, the dielectric layer 502 is patterned by repeating operation 152 to generate through holes (such as through holes 504) within the dielectric layer 502. In addition, operation 158 (FIG. 1A) is repeated to deposit a thin film of barrier and seed layers on top of the dielectric layer 502. In addition, a photoresist layer is deposited on the barrier and seed layers deposited on top of the dielectric layer 502 by repeating operation 160 of FIG. 1A. The photoresist layer deposited on the barrier and seed layers is then patterned by repeating operation 162 of FIG. 1B. Patterns are created to create additional adjacent areas of the photoresist layer, such as adjacent areas A1 and A2 (FIG. 1B), deposited over the dielectric layer 502. In addition, the distance between the additional adjacent areas of the photoresist layer deposited over the dielectric layer 502 is greater than the maximum width of the through hole 504.

此外,圖1B的操作164和166係加以重複,以在圖案化的光阻層上加以執行。接著,導電材料112之電沉積的操作168(圖1B)係在介電層502上沉積的阻障和晶種層上、及在介電層502上方沉積之圖案化光阻層的附加毗鄰區域之間加以執行,以產生導電材料112的凸塊(諸如凸塊114(圖1B))及產生RDL層506的齊平層(諸如齊平層LL1和LL2(圖1B))。凸塊係在貫孔(諸如貫孔504)正上方加以產生。In addition, operations 164 and 166 of FIG. 1B are repeated to be performed on the patterned photoresist layer. Next, the electrodeposition operation 168 (FIG. 1B) of the conductive material 112 is performed on the barrier and seed layer deposited on the dielectric layer 502 and the additional adjacent area of the patterned photoresist layer deposited on the dielectric layer 502 This is performed to generate bumps (such as bumps 114 (FIG. 1B)) of conductive material 112 and flush layers (such as flush layers LL1 and LL2 (FIG. 1B)) of the RDL layer 506. Bumps are created directly above a through-hole, such as through-hole 504.

之後,電拋光的操作170係加以執行,以移除導電材料112的凸塊,以進一步產生RDL層506的齊平表面,類似於具有齊平層LL1、LL2及LL3的頂部表面120。舉例而言,操作170施加剪切水平力以移除導電材料112的凸塊(類似於凸塊114(圖1B)),以進一步在額外毗鄰區域的其中兩者之間產生平坦的表面。水平剪力係平行於RDL層506的頂部表面507,且係施加於凸塊與兩個額外毗鄰區域之間。在RDL層506的凸塊係加以移除之後,圖案化的光阻係接著使用圖1B的操作172加以剝離。此外,阻障和晶種層係使用圖1B的操作174加以蝕刻以形成RDL層506。RDL層506係在阻障和晶種層的頂部上及在介電層502上方。接著,SRD的操作176(圖1B)係在基板封裝500上加以執行。Thereafter, the electro-polishing operation 170 is performed to remove the bumps of the conductive material 112 to further produce a flush surface of the RDL layer 506, similar to the top surface 120 having the flush layers LL1, LL2, and LL3. For example, operation 170 applies a shear horizontal force to remove the bumps of the conductive material 112 (similar to the bumps 114 (FIG. 1B)) to further create a flat surface between the two of the additional adjacent areas. The horizontal shear force is parallel to the top surface 507 of the RDL layer 506 and is applied between the bump and two additional adjacent areas. After the bump system of the RDL layer 506 is removed, the patterned photoresist system is then stripped using operation 172 of FIG. 1B. In addition, the barrier and seed layer is etched using operation 174 of FIG. 1B to form an RDL layer 506. The RDL layer 506 is on top of the barrier and seed layer and above the dielectric layer 502. Next, operation 176 (FIG. 1B) of the SRD is performed on the substrate package 500.

應注意RDL層104不具有任何或僅具有最小量的不均勻性。因此,RDL層506亦不具有任何或僅具有最小量的不均勻性。應進一步注意在基板102上方的襯墊122、在襯墊122之頂部上的介電層124、在介電層124上方的RDL 104、及在RDL 104之頂部上的介電層502之組合在本文有時係被稱為基板封裝503。It should be noted that the RDL layer 104 does not have any or only a minimal amount of non-uniformity. Therefore, the RDL layer 506 also does not have any or only a minimal amount of non-uniformity. It should be further noted that the combination of the pad 122 above the substrate 102, the dielectric layer 124 on top of the pad 122, the RDL 104 above the dielectric layer 124, and the dielectric layer 502 on top of the RDL 104 are This is sometimes referred to herein as a substrate package 503.

在各種實施例中,RDL層104係由銅製成,而RDL層506係由鈷或不變鋼製成。這是因為在圖案化介電層502之頂部上沉積的銅晶種層之蝕刻劑對由不變鋼或鈷製成的RDL層506之機械完整性具有較小的影響。In various embodiments, the RDL layer 104 is made of copper, and the RDL layer 506 is made of cobalt or constant steel. This is because the etchant of the copper seed layer deposited on top of the patterned dielectric layer 502 has a smaller effect on the mechanical integrity of the RDL layer 506 made of constant steel or cobalt.

圖6係基板封裝600之實施例的圖,以說明多個RDL層的沉積。基板封裝600包含基板封裝500的層(圖5)。此外,在RDL層506的頂部上,基板封裝600的介電層602係藉由使用圖1A的操作150加以沉積。此外,在沉積介電層602之後,介電層602係藉由執行操作152(圖1A)加以圖案化。在介電層602係加以圖案化之後,阻障和晶種層係藉由執行操作158(圖1A)在圖案化的介電層602之頂部上加以沉積。之後,光阻層係藉由執行操作160(圖1A)在圖案化介電層602之頂部上沉積的阻障和晶種層上加以沉積。光阻層係接著藉由執行操作162(圖1B)加以圖案化,接著進行去渣操作164(圖1B)及預處理操作166(圖1B)。接著,導電材料112係在圖案化的、去渣的及預處理的光阻層之部分之間加以沉積,以形成導電材料112的凸塊(諸如凸塊114(圖1B))及形成導電材料112的齊平區域(諸如齊平層LL1和LL2(圖1B))。舉例而言,凸塊及齊平區域係在圖案化的、去渣的及預處理的光阻層之兩個毗鄰區域之間加以產生。電拋光凸塊的操作170(圖1B)係接著加以執行,以產生類似於頂部表面120之RDL層606的齊平表面,其係在介電層602上方及在阻障和晶種層的頂部上加以形成。舉例而言,水平剪力係加以施加以移除在圖案化的、去渣的及預處理的光阻層之毗鄰區域之間的凸塊。一旦電拋光操作170係加以執行,剝離該圖案化的、去渣的及預處理的光阻層之操作172(圖1B)係加以執行。在介電層602之頂部上之阻障和晶種層的部分係藉由執行操作174(圖1B)加以蝕刻。接著,SRD的操作176(圖1B)係在基板封裝600上加以執行。FIG. 6 is a diagram of an embodiment of a substrate package 600 to illustrate the deposition of multiple RDL layers. The substrate package 600 includes layers of the substrate package 500 (FIG. 5). In addition, on top of the RDL layer 506, a dielectric layer 602 of the substrate package 600 is deposited by using operation 150 of FIG. 1A. In addition, after the dielectric layer 602 is deposited, the dielectric layer 602 is patterned by performing operation 152 (FIG. 1A). After the dielectric layer 602 is patterned, a barrier and seed layer is deposited on top of the patterned dielectric layer 602 by performing operation 158 (FIG. 1A). Thereafter, a photoresist layer is deposited on the barrier and seed layers deposited on top of the patterned dielectric layer 602 by performing operation 160 (FIG. 1A). The photoresist layer is then patterned by performing operation 162 (FIG. 1B), followed by a slag removal operation 164 (FIG. 1B) and a pretreatment operation 166 (FIG. 1B). Next, the conductive material 112 is deposited between the patterned, dross-removed, and pre-processed photoresist layers to form bumps (such as bumps 114 (FIG. 1B)) of the conductive material 112 and to form a conductive material. The flush regions of 112 (such as flush layers LL1 and LL2 (Figure 1B)). For example, bumps and flush regions are created between two adjacent regions of a patterned, dross-free, and pre-treated photoresist layer. Operation 170 (FIG. 1B) of the electro-polished bump is then performed to produce a flush surface similar to the RDL layer 606 of the top surface 120, which is above the dielectric layer 602 and on top of the barrier and seed layers On it. For example, horizontal shear is applied to remove bumps between adjacent areas of the patterned, dross-removed, and pre-treated photoresist layer. Once the electro-polishing operation 170 is performed, the operation 172 (FIG. 1B) of peeling the patterned, dross-removed and pre-treated photoresist layer is performed. The portions of the barrier and seed layer on top of the dielectric layer 602 are etched by performing operation 174 (FIG. 1B). Next, operation 176 (FIG. 1B) of the SRD is performed on the substrate package 600.

應注意RDL層506不具有任何或僅具有最小量的不均勻性。因此,RDL層606亦不具有任何或僅具有最小量的不均勻性。應進一步注意基板封裝503、介電層502上的RDL 506、及在RDL 506之頂部上的介電層602之組合在本文有時係被稱為基板封裝603。It should be noted that the RDL layer 506 does not have any or only a minimal amount of non-uniformity. Therefore, the RDL layer 606 also does not have any or only a minimal amount of non-uniformity. It should be further noted that the combination of the substrate package 503, the RDL 506 on the dielectric layer 502, and the dielectric layer 602 on top of the RDL 506 is sometimes referred to herein as the substrate package 603.

在一些實施例中,任何數目的RDL層(例如四、五、六等)係以類似於RDL層506和606在基板102上方形成的方式在基板102上加以沉積。In some embodiments, any number of RDL layers (eg, four, five, six, etc.) are deposited on the substrate 102 in a manner similar to the formation of RDL layers 506 and 606 over the substrate 102.

在各種實施例中,RDL層104係由鈷製成,RDL層506係由不變鋼製成,而RDL層606係亦由不變鋼製成。這是因為鈷的高傳導性結果在RDL層506中產生低電阻,及使用不變鋼最小化任何熱膨脹係數(CTE)的影響。In various embodiments, the RDL layer 104 is made of cobalt, the RDL layer 506 is made of constant steel, and the RDL layer 606 is also made of constant steel. This is because the high conductivity of cobalt results in low resistance in the RDL layer 506, and the use of constant steel minimizes the effects of any coefficient of thermal expansion (CTE).

在一些實施例中,RDL層104係由銅製成,RDL層506係由不變鋼製成,而RDL層606係亦由不變鋼製成。這是因為銅的高傳導性導致RDL層104的低電阻率,及使用不變鋼最小化任何熱膨脹係數(CTE)的影響。In some embodiments, the RDL layer 104 is made of copper, the RDL layer 506 is made of constant steel, and the RDL layer 606 is also made of constant steel. This is because the high conductivity of copper results in a low resistivity of the RDL layer 104, and the use of constant steel minimizes any effects of the coefficient of thermal expansion (CTE).

在各種實施例中,RDL層104係由任何導電材料(例如上述導電材料112)製成,RDL層506係由任何導電材料(例如上述導電材料112)製成,而RDL層606係由任何導電材料(例如上述導電材料112)製成。舉例而言,RDL層104係由鎳製成,RDL層506係由不變鋼製成,而RDL層606係由鎳和鈷和鐵的合金製成。作為另一示例,RDL層104係由鎳和鈷和鐵的合金製成,RDL層506係由鈷製成,而RDL層606係由不變鋼製成。In various embodiments, the RDL layer 104 is made of any conductive material (such as the above-mentioned conductive material 112), the RDL layer 506 is made of any conductive material (such as the above-mentioned conductive material 112), and the RDL layer 606 is made of any conductive material Made of a material such as the conductive material 112 described above. For example, the RDL layer 104 is made of nickel, the RDL layer 506 is made of constant steel, and the RDL layer 606 is made of an alloy of nickel and cobalt and iron. As another example, the RDL layer 104 is made of an alloy of nickel and cobalt and iron, the RDL layer 506 is made of cobalt, and the RDL layer 606 is made of constant steel.

圖7係積體電路堆疊700之實施例的圖。積體電路堆疊700係具有多晶粒系統的高密度扇出(HDFO)封裝。在一些實施例中,HDFO封裝的厚度範圍在0.8毫米和0.1毫米之間。例如:HDFO封裝的高度係0.9毫米。積體電路堆疊700具有諸如以下的優勢:相對於矽穿孔(TSV)積體電路堆疊之較低的高度,相對於TSV積體電路堆疊之改善的熱效能,相對於TSV積體電路堆疊之較低功率的消耗,相對於TSV積體電路堆疊之較高頻寬的記憶體,及相對於TSV積體電路堆疊之簡化的供應鏈。FIG. 7 is a diagram of an embodiment of an integrated circuit stack 700. The integrated circuit stack 700 is a high-density fan-out (HDFO) package with a multi-die system. In some embodiments, the thickness of the HDFO package ranges between 0.8 mm and 0.1 mm. For example: HDFO package height is 0.9 mm. The integrated circuit stack 700 has advantages such as the lower height of the TSV integrated circuit stack, the improved thermal performance of the TSV integrated circuit stack compared to the TSV integrated circuit stack, Low power consumption, higher bandwidth memory compared to TSV integrated circuit stack, and simplified supply chain compared to TSV integrated circuit stack.

積體電路堆疊700包含頂部積體電路(IC)封裝702(諸如記憶體電路封裝)及底部IC封裝704(諸如邏輯電路封裝)。在一些實施例中,頂部和底部IC封裝兩者係記憶體電路封裝或邏輯電路封裝。The integrated circuit stack 700 includes a top integrated circuit (IC) package 702 (such as a memory circuit package) and a bottom IC package 704 (such as a logic circuit package). In some embodiments, both the top and bottom IC packages are memory circuit packages or logic circuit packages.

頂部IC封裝702包含晶片系統(SoC)706A,該SoC 706A係在另一SoC 706B的頂部上加以放置。在一些實施例中,頂部IC封裝702具有單一SoC或在彼此的頂部上堆疊的多個SoC。底部IC封裝704具有SoC 708。在一些實施例中,底部IC封裝704具有在彼此的頂部上堆疊的多個SoC。The top IC package 702 contains a system-on-a-chip (SoC) 706A, which is placed on top of another SoC 706B. In some embodiments, the top IC package 702 has a single SoC or multiple SoCs stacked on top of each other. The bottom IC package 704 has a SoC 708. In some embodiments, the bottom IC package 704 has multiple SoCs stacked on top of each other.

底部IC封裝704的基板封裝710係藉由一或多個凸塊下金屬層(UBM)(諸如UBM 712)及一或多根支柱(例如柱714)耦接至SoC 708。有時,柱在本文係被稱為微凸塊。基板封裝500(圖5)係基板封裝710的示例。在一些實施例中,取代基板封裝500,基板封裝600(圖6)或具有多個RDL的另一基板封裝係在積體電路堆疊700中加以使用。The substrate package 710 of the bottom IC package 704 is coupled to the SoC 708 by one or more under bump metal layers (UBM) (such as UBM 712) and one or more pillars (such as pillars 714). Sometimes pillars are referred to herein as micro-bumps. The substrate package 500 (FIG. 5) is an example of the substrate package 710. In some embodiments, instead of the substrate package 500, the substrate package 600 (FIG. 6) or another substrate package having multiple RDLs is used in the integrated circuit stack 700.

此外,SoC 708係藉由RDL 716及一或多根巨柱(megapillar)(例如巨柱718)耦接至頂部IC封裝702。SoC 708的元件(例如記憶體裝置、記憶體控制器、處理器、邏輯電路等)經由RDL 716及一或多根巨柱與頂部IC封裝702的另一元件(例如記憶體裝置、記憶體控制器、處理器、邏輯電路等)通訊。In addition, SoC 708 is coupled to the top IC package 702 through RDL 716 and one or more megapillars (eg, megapillar 718). SoC 708 components (such as memory devices, memory controllers, processors, logic circuits, etc.) via RDL 716 and one or more pillars and another component of the top IC package 702 (such as memory devices, memory control Device, processor, logic circuit, etc.).

在一些實施例中,一或多個UBM係由銅,或鎳,或金,或銅、鎳及金之其中兩者以上的組合(例如CuNiAu)製成。此外,在各種實施例中,每一UBM的厚度(例如直徑)之範圍從3微米至5微米。例如:UBM 712具有3微米的厚度。作為另一示例,UBM 712具有5微米的厚度。在一些實施例中,每一UBM的臨界尺寸(CD)範圍從190微米至240微米。例如:每一UBM具有190微米的CD。作為另一示例,每一UBM具有210微米的CD。在各種實施例中,每一UBM具有在8%和12%之間的不均勻性。例如:每一UBM具有10%的不均勻性。In some embodiments, one or more UBMs are made of copper, or nickel, or gold, or a combination of two or more of copper, nickel, and gold (eg, CuNiAu). Further, in various embodiments, the thickness (eg, diameter) of each UBM ranges from 3 microns to 5 microns. For example: UBM 712 has a thickness of 3 microns. As another example, UBM 712 has a thickness of 5 microns. In some embodiments, the critical dimension (CD) of each UBM ranges from 190 microns to 240 microns. For example: each UBM has a CD of 190 microns. As another example, each UBM has a CD of 210 microns. In various embodiments, each UBM has a non-uniformity between 8% and 12%. For example: each UBM has a non-uniformity of 10%.

在各種實施例中,一或多根柱(例如微凸塊)係由銅,或鎳,或銀,或錫,或銅、鎳、錫及銀之其中兩者以上的組合(例如Cu(Ni)SnAg)製成。此外,在一些實施例中,每根柱的厚度範圍從25微米至40微米。例如:柱714具有25微米的厚度。作為另一示例,柱714具有40微米的厚度。在幾個實施例中,每根柱的CD範圍從25微米至90微米。例如:每根柱具有25微米的CD。作為另一示例,每根柱具有90微米的CD。作為又另一示例,一些柱具有25微米的CD,而其餘的柱具有90微米的CD。在一些實施例中,每根柱具有在8%和12%之間的不均勻性。例如:每根柱具有10%的不均勻性。In various embodiments, one or more pillars (such as micro-bumps) are made of copper, or nickel, or silver, or tin, or a combination of two or more of copper, nickel, tin, and silver (such as Cu (Ni ) SnAg). Further, in some embodiments, the thickness of each post ranges from 25 microns to 40 microns. For example: pillar 714 has a thickness of 25 microns. As another example, the pillar 714 has a thickness of 40 microns. In several embodiments, the CD of each column ranges from 25 microns to 90 microns. For example: each column has a 25 micron CD. As another example, each column has a CD of 90 microns. As yet another example, some columns have a CD of 25 microns and the remaining columns have a CD of 90 microns. In some embodiments, each column has a non-uniformity between 8% and 12%. Example: 10% non-uniformity per column.

在各種實施例中,RDL 716係由導電材料112製成。此外,在一些實施例中,RDL 716的厚度範圍從0.75微米至3微米。例如:RDL 716具有1微米的厚度。作為另一示例,RDL 716具有為2微米的厚度。在幾個實施例中,RDL 716的CD範圍從3微米至5微米。例如:RDL 716具有3微米的CD。作為另一示例,RDL 716具有5微米的CD。在一些實施例中,在兩個毗鄰RDL(例如在相同水平的RDL)之間的距離之範圍為0.75微米至3微米。例如:在相同水平的兩個毗鄰RDL之間的距離或間距係2微米。作為另一示例,在相同水平的兩個毗鄰RDL之間的距離或間距係1微米。在各種實施例中,RDL 716具有在4%和12%之間的不均勻性。例如:RDL 716具有4%的不均勻性。作為另一示例,RDL 716具有10%的不均勻性,例如:RDL 716之10%的頂部表面具有不均勻性。In various embodiments, the RDL 716 is made of a conductive material 112. Further, in some embodiments, the thickness of RDL 716 ranges from 0.75 microns to 3 microns. For example: RDL 716 has a thickness of 1 micron. As another example, RDL 716 has a thickness of 2 microns. In several embodiments, the CD of RDL 716 ranges from 3 microns to 5 microns. For example: RDL 716 has a 3 micron CD. As another example, RDL 716 has a 5 micron CD. In some embodiments, the distance between two adjacent RDLs (eg, at the same level of RDL) ranges from 0.75 microns to 3 microns. For example: the distance or spacing between two adjacent RDLs at the same level is 2 microns. As another example, the distance or spacing between two adjacent RDLs at the same level is 1 micron. In various embodiments, RDL 716 has a non-uniformity between 4% and 12%. For example: RDL 716 has 4% non-uniformity. As another example, RDL 716 has 10% non-uniformity, for example, 10% of the top surface of RDL 716 has non-uniformity.

在一些實施例中,一或多根巨柱係由導電材料112製成。此外,在一些實施例中,一或多根巨柱的厚度範圍從150微米至200微米。例如:巨柱718具有150微米的厚度。作為另一示例,巨柱718具有200微米的厚度。在幾個實施例中,巨柱718的CD範圍從100微米至200微米。例如:巨柱718具有100微米的CD。作為另一示例,巨柱718具有200微米的CD。在各種實施例中,巨柱718具有在5%和10%之間的不均勻性。例如:巨柱718具有5%的不均勻性。In some embodiments, one or more giant pillars are made of a conductive material 112. Further, in some embodiments, the thickness of the one or more giant pillars ranges from 150 microns to 200 microns. For example: the pillar 718 has a thickness of 150 microns. As another example, the giant pillar 718 has a thickness of 200 microns. In several embodiments, the CDs of the giant pillars 718 range from 100 microns to 200 microns. For example: Jumbo 718 has a 100 micron CD. As another example, the giant pillar 718 has a 200 micron CD. In various embodiments, the pillars 718 have a non-uniformity between 5% and 10%. For example: Jumbo 718 has 5% non-uniformity.

圖8係包含旋轉器802之系統800之實施例的圖。系統800包含旋轉器802、主機電腦804、馬達806、真空泵808、及液體儲存器810。基板102係放置在旋轉器802之內之支撐件816(例如金屬支撐件、塑膠支撐件等)的頂部上。支撐件816係藉由一或多個連接機構(例如一或多根桿、桿與齒輪的組合等)連接至馬達806。FIG. 8 is a diagram of an embodiment of a system 800 including a spinner 802. The system 800 includes a spinner 802, a host computer 804, a motor 806, a vacuum pump 808, and a liquid reservoir 810. The substrate 102 is placed on top of a support 816 (eg, a metal support, a plastic support, etc.) within the spinner 802. The support 816 is connected to the motor 806 by one or more connecting mechanisms (such as one or more rods, a combination of rods and gears, etc.).

馬達806係耦接至主機電腦804,該主機電腦804係耦接至真空泵808及閥812。主機電腦804控制閥812,以打開或關閉閥812。舉例而言,主機電腦804將訊號發送至閥驅動器(例如導體)以產生電流,該電流產生電場以打開或關閉閥812。閥的開啟允許液體(例如在操作150(圖1A)中沉積的介電材料、在操作160(圖1A)中沉積的光阻等)通過到達旋轉器802,以在基板封裝815的基板102上的表面814上加以沉積。舉例而言,液體係在表面814的中心或接近表面814的中心加以沉積。基板封裝815係基板封裝107或基板封裝109(圖1A)的示例。表面814係襯墊122之頂部表面(圖1A,操作150)或阻障和晶種層123的頂部表面(圖1A,操作160)之示例。The motor 806 is coupled to the host computer 804, which is coupled to the vacuum pump 808 and the valve 812. The host computer 804 controls the valve 812 to open or close the valve 812. For example, the host computer 804 sends a signal to a valve driver (eg, a conductor) to generate a current that generates an electric field to open or close the valve 812. The opening of the valve allows a liquid (such as a dielectric material deposited in operation 150 (FIG. 1A), a photoresist deposited in operation 160 (FIG. 1A), etc.) to pass through the rotator 802 to be on the substrate 102 of the substrate package 815 On the surface 814. For example, a liquid system is deposited at or near the center of surface 814. The substrate package 815 is an example of the substrate package 107 or the substrate package 109 (FIG. 1A). Surface 814 is an example of the top surface of pad 122 (FIG. 1A, operation 150) or the top surface of barrier and seed layer 123 (FIG. 1A, operation 160).

在將液體沉積在表面814上之後,主機電腦804控制馬達806以旋轉支撐件816。舉例而言,主機電腦804將控制訊號發送至馬達驅動器(例如一個以上電晶體)以產生電流訊號。電流訊號係發送至馬達806的轉子以相對於馬達的定子旋轉該轉子,以藉由連接機構旋轉支撐件816。支撐件816的旋轉使表面814旋轉,以藉由離心力將液體均勻地分散在表面814上,使得液體係在表面814上加以沉積。After the liquid is deposited on the surface 814, the host computer 804 controls the motor 806 to rotate the support 816. For example, the host computer 804 sends a control signal to a motor driver (such as more than one transistor) to generate a current signal. The current signal is sent to the rotor of the motor 806 to rotate the rotor relative to the stator of the motor to rotate the support 816 by the connection mechanism. The rotation of the support 816 rotates the surface 814 to evenly disperse the liquid on the surface 814 by centrifugal force, so that the liquid system is deposited on the surface 814.

主機電腦804控制真空泵808,以操作而移除在旋轉器802之內的任何過量液體。舉例而言,主機電腦804將訊號發送至真空驅動器(例如一個以上晶體體等)以開啟真空泵808以在旋轉器802之內產生部分真空,以自旋轉器802移除任何過量液體。在一些實施例中,在液體係被允許從液體儲存器810進入旋轉器802之前,真空泵808係藉由主機電腦804加以操作以自旋轉器802移除任何過量的殘餘材料。The host computer 804 controls the vacuum pump 808 to operate to remove any excess liquid within the spinner 802. For example, the host computer 804 sends a signal to a vacuum driver (eg, more than one crystal body, etc.) to turn on the vacuum pump 808 to generate a partial vacuum within the spinner 802 to remove any excess liquid from the spinner 802. In some embodiments, the vacuum pump 808 is operated by the host computer 804 to remove any excess residual material from the spinner 802 before the liquid system is allowed to enter the spinner 802 from the liquid reservoir 810.

圖9係晶圓步進器900之實施例的圖,用於說明在介電層或光阻層上形成圖案。介電層的示例包含介電層124(圖1A)、介電層502(圖5)、及介電層602(圖6)。光阻層的示例包含光阻層108(圖1A)。FIG. 9 is a diagram of an embodiment of a wafer stepper 900 for explaining forming a pattern on a dielectric layer or a photoresist layer. Examples of the dielectric layer include a dielectric layer 124 (FIG. 1A), a dielectric layer 502 (FIG. 5), and a dielectric layer 602 (FIG. 6). Examples of the photoresist layer include a photoresist layer 108 (FIG. 1A).

晶圓步進器900包含光源902(例如紫外線(UV)光源、X射線光源等)、透鏡904、光遮罩906、及投影透鏡908。UV光源的示例包含汞蒸氣燈。在其上沉積一或多層(例如光阻層、介電層等)的基板102(圖1A)係在晶圓步進器900之內的基板支架910上加以放置。The wafer stepper 900 includes a light source 902 (for example, an ultraviolet (UV) light source, an X-ray light source, etc.), a lens 904, a light mask 906, and a projection lens 908. Examples of UV light sources include mercury vapor lamps. A substrate 102 (FIG. 1A) on which one or more layers (eg, a photoresist layer, a dielectric layer, etc.) are deposited is placed on a substrate holder 910 within a wafer stepper 900.

光源902產生通過透鏡904的光,例如:UV光、x射線等。透鏡904將光朝光遮罩906引導(例如聚焦)。所引導的光通過允許該被引導的光通過之光遮罩906的區域,且係入射投影透鏡908。投影透鏡908將入射光引導至例如本文描述的介電層、本文描述的光阻層之層的一部分上,在該層的該部分上圖案係加以施加(例如:壓印、覆蓋等)。被引導至該層上的光覆蓋在基板102上沉積之該層上的圖案。基板支架910係在x及y方向上移動,以重複施加圖案。The light source 902 generates light, such as UV light, x-ray, and the like, which passes through the lens 904. The lens 904 directs (eg, focuses) light toward the light mask 906. The guided light passes through a region of the light mask 906 that allows the guided light to pass through, and is incident on the projection lens 908. The projection lens 908 directs incident light onto, for example, a portion of a layer of the dielectric layer described herein and a layer of the photoresist layer described herein, and a pattern is applied to this portion of the layer (eg, embossing, covering, etc.). The light directed onto the layer covers the pattern on the layer deposited on the substrate 102. The substrate holder 910 is moved in the x and y directions to repeatedly apply a pattern.

圖10係沉浸式容器1000之實施例的圖,以說明其上施加有圖案之介電層或光阻層的剝離。沉浸式容器1000係填充化學溶液(例如顯影劑、與氮混合的去離子水、去離子水等),以移除曝露於光之介電層或曝露於光之光阻層的區域。若光阻層的光阻係正型,則當被浸沒時,曝露於光之光阻的區域變得在顯影劑中可溶。另一方面,若光阻層的光阻係負型,則當被浸沒時,沒有曝露於光之光阻的區域變得在顯影劑中可溶。光微影術的示例係在美國專利申請案公開號第2008/0171292號中加以描述,其全部內容於此藉由參照納入本案揭示內容。FIG. 10 is a diagram of an embodiment of an immersive container 1000 to illustrate the peeling of a patterned dielectric layer or photoresist layer. The immersion container 1000 is filled with a chemical solution (such as a developer, deionized water mixed with nitrogen, deionized water, etc.) to remove a region exposed to a dielectric layer or a photoresist layer exposed to light. If the photoresist of the photoresist layer is of a positive type, when immersed, the area exposed to the photoresist of the light becomes soluble in the developer. On the other hand, if the photoresist layer of the photoresist layer is of a negative type, when immersed, a region not exposed to the photoresist of light becomes soluble in the developer. An example of photolithography is described in US Patent Application Publication No. 2008/0171292, the entire contents of which are incorporated herein by reference.

圖11係用於說明PVD製程之系統1100之實施例的圖。系統1100包含射頻產生器(RFG)1102、阻抗匹配電路(IMC)1104、電漿腔室1106、用於儲存一種以上處理氣體的容器1108、主機電腦804、另一RFG 1112、另一IMC 1114及真空泵1116。FIG. 11 is a diagram illustrating an embodiment of a system 1100 for a PVD process. System 1100 includes a radio frequency generator (RFG) 1102, an impedance matching circuit (IMC) 1104, a plasma chamber 1106, a container 1108 for storing more than one processing gas, a host computer 804, another RFG 1112, another IMC 1114, and Vacuum pump 1116.

IMC包含多個電元件,例如:一個以上電容器、或一個以上電阻器、或一個以上電感器、或一個以上電容器和一個以上電阻器之組合、或一個以上電容器及一個以上電感器之組合、或一個以上電阻器和一個以上電感器之組合、或一個以上電容器和一個以上電阻器和一個以上電感器之組合。該一個以上電元件的其中一些係以串聯方式或並聯方式彼此耦接。The IMC includes multiple electrical components, such as: one or more capacitors, or one or more resistors, or one or more inductors, or a combination of one or more capacitors and one or more resistors, or a combination of one or more capacitors and one or more inductors, or A combination of one or more resistors and one or more inductors, or a combination of one or more capacitors and one or more resistors and one or more inductors. Some of the one or more electrical components are coupled to each other in a series manner or a parallel manner.

主機電腦804係桌上型電腦、或膝上型電腦、或智慧型手機。主機電腦804包含一個以上處理器及耦接至該一個以上處理器的一個以上記憶體裝置。如本文所使用,處理器係特殊應用IC、或可編程邏輯裝置、或微處理器、或中央處理單元(CPU)。此外,如本文所使用,記憶體裝置係隨機存取記憶體(RAM)、或唯讀記憶體(ROM)、或RAM和ROM的組合。主機電腦804係藉由電纜(例如串列資料傳輸電纜、平行資料傳輸電纜、通用序列匯流排(USB)電纜等)耦接至RFG 1102。類似地,主機電腦804係藉由另一電纜(例如串列資料傳輸電纜、平行資料傳輸電纜、USB電纜等)耦接至RFG 1112。The host computer 804 is a desktop computer, a laptop computer, or a smart phone. The host computer 804 includes one or more processors and one or more memory devices coupled to the one or more processors. As used herein, a processor is an application-specific IC, or a programmable logic device, or a microprocessor, or a central processing unit (CPU). In addition, as used herein, a memory device is a random access memory (RAM), or a read-only memory (ROM), or a combination of RAM and ROM. The host computer 804 is coupled to the RFG 1102 by a cable (such as a serial data transmission cable, a parallel data transmission cable, a universal serial bus (USB) cable, etc.). Similarly, the host computer 804 is coupled to the RFG 1112 by another cable (such as a serial data transmission cable, a parallel data transmission cable, a USB cable, etc.).

RFG 1102係經由RF電纜1126耦接至IMC 1104,而IMC 1104係經由RF傳輸線1128耦接至頂板1122。此外,RFG 1112係經由RF電纜1130耦接至IMC 1114,而IMC 1114係經由RF傳輸線1132耦接至卡盤1120。RFG 1102 is coupled to IMC 1104 via RF cable 1126, and IMC 1104 is coupled to top plate 1122 via RF transmission line 1128. In addition, RFG 1112 is coupled to IMC 1114 via RF cable 1130, and IMC 1114 is coupled to chuck 1120 via RF transmission line 1132.

電漿腔室1106包含卡盤(例如其上放置基板封裝1124的靜電卡盤(ESC))、頂板1122、及其他部件(未顯示),例如:圍繞頂板1122的上介電環、圍繞該上介電環的上電極延伸部、圍繞卡盤1120之下電極的下介電環、圍繞該下介電環的下電極延伸部、上電漿排除區域(PEZ)環、下PEZ環等。基板封裝1124的示例包含基板封裝105(圖1A)、或基板封裝503(圖5)、或基板封裝603(圖6)。頂板1122係位在卡盤1120的對向側、在該卡盤1120的頂部上、且面向該卡盤1120。頂板1122及卡盤1120的每一者係由金屬製成,例如:鋁、鋁的合金、銅、銅和鋁的組合等。儲存在容器1108中之處理氣體的示例包含濺射氣體、氬等。The plasma chamber 1106 contains a chuck (such as an electrostatic chuck (ESC) on which the substrate package 1124 is placed), a top plate 1122, and other components (not shown), such as an upper dielectric ring surrounding the top plate 1122, An upper electrode extension of the dielectric ring, a lower dielectric ring surrounding the lower electrode of the chuck 1120, a lower electrode extension surrounding the lower dielectric ring, an upper plasma exclusion zone (PEZ) ring, a lower PEZ ring, and the like. Examples of the substrate package 1124 include a substrate package 105 (FIG. 1A), a substrate package 503 (FIG. 5), or a substrate package 603 (FIG. 6). The top plate 1122 is located on the opposite side of the chuck 1120, on the top of the chuck 1120, and facing the chuck 1120. Each of the top plate 1122 and the chuck 1120 is made of metal, such as aluminum, an aluminum alloy, copper, a combination of copper and aluminum, and the like. Examples of the processing gas stored in the container 1108 include a sputtering gas, argon, and the like.

主機電腦804將訊號發送至閥驅動器(其示例係在上面加以提供)以打開閥1123。當閥1123係開啟時,處理氣體從容器1108經由電漿腔室1106的入口流進電漿腔室1106。此外,當經由電纜自主機電腦804接收控制訊號時,RFG 1102產生提供至IMC 1104的RF訊號。當自RFG 1102接收RF訊號時,IMC 1104匹配耦接至IMC 1104之輸出之負載的阻抗及耦接至IMC 1104之輸入之來源的阻抗,以產生修改的RF訊號。耦接至IMC 1104之負載的示例包含電漿腔室1106及RF傳輸線1128。耦接至IMC 1104之來源的示例包含RFG 1102及RF電纜1126。修改的RF訊號係自IMC 1104經由RF傳輸線1128發送至頂板1122。The host computer 804 sends a signal to a valve driver (an example of which is provided above) to open the valve 1123. When the valve 1123 is opened, the processing gas flows from the container 1108 into the plasma chamber 1106 through the inlet of the plasma chamber 1106. In addition, when receiving a control signal from the host computer 804 via a cable, the RFG 1102 generates an RF signal provided to the IMC 1104. When receiving an RF signal from the RFG 1102, the IMC 1104 matches the impedance of the load coupled to the output of the IMC 1104 and the impedance of the source coupled to the input of the IMC 1104 to generate a modified RF signal. Examples of loads coupled to the IMC 1104 include a plasma chamber 1106 and an RF transmission line 1128. Examples of sources coupled to IMC 1104 include RFG 1102 and RF cable 1126. The modified RF signal is sent from the IMC 1104 to the top plate 1122 via the RF transmission line 1128.

類似地,當經由電纜自主機電腦804接收控制訊號時,RFG 1112產生提供至IMC 1114的RF訊號。當自RFG 1112接收RF訊號時,IMC 1114匹配耦接至IMC 1114之輸出之負載的阻抗及耦接至IMC 1114之輸入之來源的阻抗,以產生修改的RF訊號。耦接至IMC 1114之負載的示例包含電漿腔室1106及RF傳輸線1132。耦接至IMC 1114之來源的示例包含RFG 1112及RF電纜1130。修改的RF訊號係自IMC 1114經由RF傳輸線1132發送至卡盤1120。Similarly, when receiving a control signal from the host computer 804 via a cable, the RFG 1112 generates an RF signal provided to the IMC 1114. When receiving an RF signal from RFG 1112, IMC 1114 matches the impedance of the load coupled to the output of IMC 1114 and the impedance of the source coupled to the input of IMC 1114 to generate a modified RF signal. Examples of loads coupled to IMC 1114 include a plasma chamber 1106 and an RF transmission line 1132. Examples of sources coupled to IMC 1114 include RFG 1112 and RF cable 1130. The modified RF signal is sent from the IMC 1114 to the chuck 1120 via the RF transmission line 1132.

將修改的RF訊號提供至頂板1122、將修改的RF訊號提供至卡盤1120、及將處理氣體經由入口提供至電漿腔室1106,在電漿腔室1106之內產生電漿,諸如點燃電漿。電漿包含處理氣體的離子,且該等離子與附接至頂板1122的目標材料層反應。目標材料的示例包含本文所述之阻障層的材料,及本文所述之銅晶種層的材料。為了說明,目標材料係銅或鈦或鎢或鉭,或鈦、鎢及鉭之其中兩者以上的組合。The modified RF signal is provided to the top plate 1122, the modified RF signal is provided to the chuck 1120, and the processing gas is supplied to the plasma chamber 1106 through the inlet to generate a plasma within the plasma chamber 1106, such as igniting electricity Pulp. The plasma contains ions of the process gas, and the ions react with the target material layer attached to the top plate 1122. Examples of target materials include materials for the barrier layer described herein, and materials for the copper seed layer described herein. To illustrate, the target material is copper or titanium, tungsten or tantalum, or a combination of two or more of titanium, tungsten, and tantalum.

當離子與目標材料相互作用時,目標材料係自將沉積在基板封裝1124之頂部上的目標材料層加以濺射。舉例而言,阻障層或銅晶種層係在圖案化的介電層之中間部分124A和124B(圖1A)的頂部上、及在襯墊122的部分156(圖1A)的頂部上加以形成。真空泵1116係加以操作,以在電漿腔室1106之內產生部分真空,以從電漿腔室1106移除殘餘的材料。When the ions interact with the target material, the target material is sputtered from a target material layer to be deposited on top of the substrate package 1124. For example, a barrier layer or a copper seed layer is placed on top of the middle portions 124A and 124B (FIG. 1A) of the patterned dielectric layer and on top of the portion 156 (FIG. 1A) of the pad 122 form. The vacuum pump 1116 is operated to generate a partial vacuum within the plasma chamber 1106 to remove residual material from the plasma chamber 1106.

在一些實施例中,取代濺射目標材料,PVD製程包含熱氣化。熱氣化係來源材料被加熱至氣化的沉積技術。氣化的來源材料係在基板封裝1124上加以沉積。In some embodiments, instead of sputtering the target material, the PVD process includes thermal gasification. Thermal gasification source materials are heated to vaporize deposition techniques. The vaporized source material is deposited on a substrate package 1124.

圖12是系統1200之實施例的圖,系統1200用於執行光阻剝離的操作172、去渣操作164、及阻障和晶種層蝕刻的操作174(圖1B)。系統1200包含RFG 1102、IMC 1104、RFG 1112、主機電腦804、電漿腔室1202、用於儲存一種以上處理氣體的容器1204、及用於儲存一種以上蝕刻劑的另一容器1205。FIG. 12 is a diagram of an embodiment of a system 1200 for performing a photoresist stripping operation 172, a slag removing operation 164, and a barrier and seed layer etching operation 174 (FIG. 1B). System 1200 includes RFG 1102, IMC 1104, RFG 1112, host computer 804, plasma chamber 1202, a container 1204 for storing more than one processing gas, and another container 1205 for storing more than one etchant.

電漿腔室1202包含噴淋頭1210及卡盤1120。噴淋頭1210係面向卡盤1120。噴淋頭1210包含多個孔,以允許儲存在容器1204中的一種以上處理氣體被施加至放置在卡盤1120上的基板封裝1208。噴淋頭1210亦包含上電極板。在一些實施例中,噴淋頭1210的上電極板係由鋁、或鋁的合金、或銅、或銅和鋁的組合等製成。The plasma chamber 1202 includes a shower head 1210 and a chuck 1120. The shower head 1210 faces the chuck 1120. The shower head 1210 includes a plurality of holes to allow more than one processing gas stored in the container 1204 to be applied to the substrate package 1208 placed on the chuck 1120. The shower head 1210 also includes an upper electrode plate. In some embodiments, the upper electrode plate of the shower head 1210 is made of aluminum, an aluminum alloy, or copper, or a combination of copper and aluminum.

為了蝕刻銅晶種層,蝕刻劑(例如銅蝕刻劑、酸等)係從容器1205經由閥1207供應至噴淋頭1210。如上所述,主機電腦804經由閥驅動器控制閥1207以開啟閥1207。蝕刻劑係經由噴淋頭1210供應至基板封裝1208,以蝕刻掉銅晶種層。類似地,為了蝕刻阻障層,阻障蝕刻劑(例如酸等)係從容器1205經由閥1207供應至噴淋頭1210。當阻障蝕刻劑係被施加至基板封裝1208時,該阻障蝕刻劑蝕刻掉阻障層。To etch the copper seed layer, an etchant (eg, copper etchant, acid, etc.) is supplied from the container 1205 to the shower head 1210 via the valve 1207. As described above, the host computer 804 controls the valve 1207 via the valve driver to open the valve 1207. The etchant is supplied to the substrate package 1208 via the shower head 1210 to etch away the copper seed layer. Similarly, to etch the barrier layer, a barrier etchant (such as an acid, etc.) is supplied from the container 1205 to the shower head 1210 via the valve 1207. When a barrier etchant is applied to the substrate package 1208, the barrier etchant etches away the barrier layer.

在光阻剝離操作172(圖1B)或去渣操作164期間,主機電腦804經由上述的閥驅動器發送訊號以開啟閥1206。當閥1206係開啟時,儲存在容器1204之內的一種以上處理氣體(例如二氧化碳、氧、及蝕刻劑氣體等)係加以供應。此外,修改的RF訊號係經由RF傳輸線1132供應至卡盤1120。而且,修改的RF訊號經由RF傳輸線1128供應至噴淋頭1210的上電極板。During the photoresist stripping operation 172 (FIG. 1B) or the slag removing operation 164, the host computer 804 sends a signal to open the valve 1206 via the valve driver described above. When the valve 1206 is opened, more than one processing gas (such as carbon dioxide, oxygen, and etchant gas) stored in the container 1204 is supplied. In addition, the modified RF signal is supplied to the chuck 1120 via the RF transmission line 1132. Further, the modified RF signal is supplied to the upper electrode plate of the showerhead 1210 via the RF transmission line 1128.

當修改的訊號係供應至噴淋頭1210及卡盤1120時,供應至電漿腔室1202的一種以上處理氣體係加以燃燒,以在電漿腔室1202之內點燃電漿。電漿在基板封裝1208上執行光阻剝離操作172或去渣操作164。為了說明,當該一種以上處理氣體包含二氧化碳或蝕刻劑氣體時,光阻剝離操作172係加以執行。作為另一示例,當該一種以上處理氣體包含氧或蝕刻劑氣體時,去渣操作164係加以執行。When the modified signal is supplied to the shower head 1210 and the chuck 1120, one or more process gas systems supplied to the plasma chamber 1202 are burned to ignite the plasma within the plasma chamber 1202. The plasma performs a photoresist peeling operation 172 or a slag removing operation 164 on the substrate package 1208. To illustrate, when the one or more processing gases include carbon dioxide or an etchant gas, a photoresist stripping operation 172 is performed. As another example, when the one or more process gases include oxygen or an etchant gas, the slag removing operation 164 is performed.

應注意在一些實施例中,除了RFG 1102以外的一RFG、除了RF電纜1126以外的一RF電纜、除了IMC 1104以外的一IMC、除了RF傳輸線1128以外的一RF傳輸線、除了RFG 1112以外的一RFG、除了RF電纜1130以外的一RF電纜、除了IMC 1114以外的一IMC、及除了RF傳輸線1132以外的一RF傳輸線係在系統1200中加以使用。It should be noted that in some embodiments, an RFG other than RFG 1102, an RF cable other than RF cable 1126, an IMC other than IMC 1104, an RF transmission line other than RF transmission line 1128, an RF transmission line other than RFG 1112, RFG, an RF cable other than the RF cable 1130, an IMC other than the IMC 1114, and an RF transmission line other than the RF transmission line 1132 are used in the system 1200.

進一步應注意當去渣操作164係在基板封裝1208上加以執行時,基板封裝1208係基板封裝135(圖1B)的示例。此外,當光阻剝離操作172係在基板封裝1208上加以執行時,基板封裝1208係基板封裝件137(圖1B)的示例。而且,當阻障和銅晶種蝕刻操作174係在基板封裝1208上加以執行時,基板封裝1208係基板封裝139(圖1B)的示例。It should be further noted that when the slag removal operation 164 is performed on the substrate package 1208, the substrate package 1208 is an example of the substrate package 135 (FIG. 1B). In addition, when the photoresist peeling operation 172 is performed on the substrate package 1208, the substrate package 1208 is an example of the substrate package 137 (FIG. 1B). Further, when the barrier and copper seed etching operations 174 are performed on the substrate package 1208, the substrate package 1208 is an example of the substrate package 139 (FIG. 1B).

在一些實施例中,光阻剝離的操作172及阻障和晶種層蝕刻的操作174係經常在一相同的處理工具(例如除了系統1200以外的處理工具)內加以執行,且去渣操作164係使用系統1200加以執行。此外,基於溶劑的濕化學品係在該處理工具之內使用單一晶圓噴塗系統加以塗佈,用於執行光阻剝離的操作172。類似地,銅蝕刻劑(例如稀釋的食人魚溶液(piranha solution)等)係經由單一晶圓噴塗系統分配在基板102上,以如本文所述蝕刻銅晶種層。In some embodiments, the photoresist stripping operation 172 and the barrier and seed layer etching operation 174 are often performed in the same processing tool (such as a processing tool other than the system 1200), and the slag removing operation 164 It is implemented using system 1200. In addition, a solvent-based wet chemical is applied within the processing tool using a single wafer spray system for performing a photoresist stripping operation 172. Similarly, a copper etchant (eg, a dilute piranha solution, etc.) is dispensed on the substrate 102 via a single wafer spray system to etch the copper seed layer as described herein.

圖13A係用於說明預處理操作166(圖1B)之系統1300之實施例的圖。系統1300包含腔室1302、馬達1304、及容器1306。馬達1304係經由上述一個以上連接機構耦接至晶圓固持器1308。晶圓固持器1308固持基板封裝1312。基板封裝1312係基板封裝135(圖1B)的示例,在該基板封裝1312上,去渣操作164已被執行而預處理操作166係待執行。FIG. 13A is a diagram illustrating an embodiment of a system 1300 of a pre-processing operation 166 (FIG. 1B). The system 1300 includes a chamber 1302, a motor 1304, and a container 1306. The motor 1304 is coupled to the wafer holder 1308 via one or more of the above-mentioned connecting mechanisms. The wafer holder 1308 holds the substrate package 1312. The substrate package 1312 is an example of the substrate package 135 (FIG. 1B), on which the slag removing operation 164 has been performed and the pre-processing operation 166 is to be performed.

如上所述,主機電腦804將訊號發送至閥驅動器以進一步開啟閥1310。當閥1310係開啟時,來自容器1306的預潤濕流體(例如水、與水互溶的溶劑、化學物質溶液、去離子水、去離子水和化學溶液之組合等)流進腔室1302。此外,如上所述,主機電腦804將訊號發送至馬達驅動器以操作馬達1304。馬達1304操作(例如旋轉等)以降低晶圓固持器1308的位置,以允許基板封裝1312浸沒入腔室1302中的預潤濕流體。As described above, the host computer 804 sends a signal to the valve driver to further open the valve 1310. When the valve 1310 is opened, the pre-wetting fluid (for example, water, water-miscible solvent, chemical solution, deionized water, combination of deionized water and chemical solution, etc.) from the container 1306 flows into the chamber 1302. In addition, as described above, the host computer 804 sends a signal to the motor driver to operate the motor 1304. The motor 1304 operates (eg, rotates, etc.) to lower the position of the wafer holder 1308 to allow the substrate package 1312 to be immersed in the pre-wetting fluid in the chamber 1302.

一旦基板封裝1312係加以預潤濕,馬達1304係加以操作以抬升晶圓固持器1308,以將基板封裝1312自浸沒在預潤濕流體中的狀態移除。馬達1304係進一步加以操作以旋轉晶圓固持器1308,以自基板封裝1312的表面移除預潤濕流體。在預處理操作166之前、期間、或之後,真空泵1116係加以操作以自腔室1302之基板封裝1312的表面等移除任何不期望的殘餘材料(例如預潤濕流體)。Once the substrate package 1312 is pre-wetted, the motor 1304 is operated to raise the wafer holder 1308 to remove the substrate package 1312 from the state of being immersed in the pre-wetting fluid. The motor 1304 is further operated to rotate the wafer holder 1308 to remove the pre-wetting fluid from the surface of the substrate package 1312. Before, during, or after the pre-processing operation 166, the vacuum pump 1116 is operated to remove any undesired residual materials (such as pre-wetting fluid) from the surface of the substrate package 1312 of the chamber 1302, and the like.

圖13B係用於說明預處理操作166(圖1B)之系統1320之實施例的圖。系統1320包含腔室1322、馬達1304、及容器1306。馬達1304係經由上述一個以上連接機構耦接至卡盤1324。卡盤1324固持基板封裝1312。舉例而言,卡盤1324具有圍繞基板封裝1312的周緣之以等角度(例如120度)加以定向的臂,以固持基板封裝1312。FIG. 13B is a diagram illustrating an embodiment of the system 1320 of the pre-processing operation 166 (FIG. 1B). The system 1320 includes a chamber 1322, a motor 1304, and a container 1306. The motor 1304 is coupled to the chuck 1324 via one or more of the above-mentioned connecting mechanisms. The chuck 1324 holds the substrate package 1312. For example, the chuck 1324 has arms that are oriented at equal angles (eg, 120 degrees) around the periphery of the substrate package 1312 to hold the substrate package 1312.

如上所述,主機電腦804將訊號發送至閥驅動器以開啟閥1310。當閥1310係開啟時,來自容器1306的預潤濕流體係分配或噴塗進腔室1322之基板封裝1312的頂部上。As described above, the host computer 804 sends a signal to the valve driver to open the valve 1310. When the valve 1310 is opened, a pre-wetting flow system from the container 1306 is dispensed or sprayed onto the top of the substrate package 1312 of the chamber 1322.

此外,如上所述,主機電腦804將訊號發送至馬達驅動器以操作馬達1304。馬達1304操作(例如旋轉等)以旋轉基板封裝1312,同時基板封裝1312係由卡盤1324加以固持,且同時預潤濕流體係塗佈至基板封裝1312。基板封裝1312係加以固持,以降低基板封裝1312滑動或移動的機會。在一些實施例中,在預潤濕流體係塗佈至基板封裝1312的同時,馬達1304係未加以操作。In addition, as described above, the host computer 804 sends a signal to the motor driver to operate the motor 1304. The motor 1304 operates (eg, rotates, etc.) to rotate the substrate package 1312, while the substrate package 1312 is held by the chuck 1324, and the pre-wetting flow system is applied to the substrate package 1312 at the same time. The substrate package 1312 is held to reduce the chance of the substrate package 1312 sliding or moving. In some embodiments, the motor 1304 is not operated while the pre-wetting flow system is applied to the substrate package 1312.

一旦基板封裝1312係加以預潤濕,馬達1304係加以操作以旋轉卡盤1324,以自基板封裝1312的表面移除預潤濕流體,以在腔室1322的底部加以收集。在預處理操作166之前、期間、或之後,真空泵1116係加以操作,以自腔室1322移除不期望的殘餘材料。Once the substrate package 1312 is pre-wetted, the motor 1304 is operated to rotate the chuck 1324 to remove the pre-wetting fluid from the surface of the substrate package 1312 for collection at the bottom of the chamber 1322. Prior to, during, or after the pretreatment operation 166, the vacuum pump 1116 is operated to remove undesired residual material from the chamber 1322.

圖14A係用於說明電沉積操作168(圖1B)之系統1400之實施例的圖。系統1400包含主機電腦804、可旋轉的軸1418、腔室1420、用於儲存陰極電解液的容器1422、及泵1424。陰極電解液的示例包含由導電材料112(例如銅,或鈷,或硫酸銅,或不變鋼,或鈷、不變鋼、硫酸銅、及銅之兩者以上的組合)製成的液體。在一些實施例中,陰極電解液包含由導電材料製成的液體,且進一步包含一種以上加速劑及一種以上平整劑的組合。在各種實施例中,陰極電解液包含由導電材料製成的液體,且進一步包含一種以上加速劑及一種以上抑製劑的組合。在幾個實施例中,陰極電解液包含由導電材料製成的液體,且進一步包含一種以上加速劑及一種以上抑製劑及一種以上平整劑的組合。加速劑加速在貫孔(例如貫孔106(圖1A)、貫孔504(圖5)、貫孔604(圖6)等)之內之導電材料112的填充,以過填充貫孔而形成凸塊(例如凸塊114(圖1B))。抑制劑抑制(例如降低加速、減速等)在貫孔(例如貫孔106(圖1A)、貫孔504(圖5)、貫孔604(圖6)等)的一部分中之導電材料112的填充。為了說明,當導電材料112係主要在貫孔(例如貫孔106、或貫孔504、或貫孔604等)的底部表面上加以填充時,抑制劑抑制在貫孔的側表面處之導電材料112的填充。側表面係毗鄰底表面,並係相對於底表面呈一角度,例如:傾斜、正傾斜,負傾斜等。平整劑勻平導電材料112以在另一層(例如阻障和晶種層123的部分132A、阻障和晶種層123的部分132B(圖1B)等)的頂部上形成齊平層,例如導電材料112的齊平層LL1、齊平層LL2(圖1B)等。在一些實施例中,陰極電解液係包含由導電材料製成的液體的電鍍化學品,且進一步包含添加劑,例如加速劑、抑制劑、及平整劑的組合。FIG. 14A is a diagram illustrating an embodiment of a system 1400 of an electrodeposition operation 168 (FIG. 1B). The system 1400 includes a host computer 804, a rotatable shaft 1418, a chamber 1420, a container 1422 for storing catholyte, and a pump 1424. Examples of the catholyte include a liquid made of a conductive material 112 such as copper, or cobalt, or copper sulfate, or constant steel, or a combination of two or more of cobalt, constant steel, copper sulfate, and copper. In some embodiments, the catholyte contains a liquid made of a conductive material, and further includes a combination of more than one accelerator and more than one leveler. In various embodiments, the catholyte contains a liquid made of a conductive material, and further includes a combination of more than one accelerator and more than one inhibitor. In several embodiments, the catholyte contains a liquid made of a conductive material, and further includes a combination of more than one accelerator and more than one inhibitor and more than one leveler. The accelerator accelerates the filling of the conductive material 112 in the through-holes (for example, the through-holes 106 (FIG. 1A), the through-holes 504 (FIG. 5), the through-holes 604 (FIG. 6), etc.), and overfills the through-holes to form protrusions. Block (eg, bump 114 (FIG. 1B)). The inhibitor inhibits (eg, reduces acceleration, deceleration, etc.) the filling of the conductive material 112 in a portion of the via (eg, via 106 (FIG. 1A), via 504 (FIG. 5), via 604 (FIG. 6), etc.) . For illustration, when the conductive material 112 is mainly filled on the bottom surface of the through hole (such as the through hole 106, the through hole 504, or the through hole 604, etc.), the inhibitor suppresses the conductive material at the side surface of the through hole. Fill of 112. The side surface is adjacent to the bottom surface and is at an angle with respect to the bottom surface, for example: tilt, positive tilt, negative tilt, etc. The leveler leveles the conductive material 112 to form a flush layer on top of another layer, such as a portion 132A of the barrier and seed layer 123, a portion 132B (FIG. 1B) of the barrier and seed layer 123, and the like The flush layer LL1, the flush layer LL2 (FIG. 1B), etc. of the material 112. In some embodiments, the catholyte is a liquid electroplating chemical comprising a conductive material, and further includes additives such as a combination of an accelerator, an inhibitor, and a leveler.

基板封裝1404係由腔室1420的晶圓固持器1406加以固持、定位及旋轉。腔室1420包含電鍍槽1408,該電鍍槽1408係具有陽極腔室的雙腔室槽,該陽極腔室具有例如相對電極(counter electrode)1409(例如銅電極等)及陽極電解液。陽極腔室及陰極腔室係藉由例如膜1410(例如陽離子膜)加以分隔,該膜1410係用於電沉積且係由支撐構件1412加以支撐。系統1400進一步包含離子通道型電阻板(CIRP)1414。分流器1416係在CIRP 1414的頂部上,且輔助產生陰極電解液的橫向剪切流。陰極電解液係藉由在陽離子膜1410之上的流動埠1433從容器1422加以引入。從流動埠1433,陰極電解液通過CIRP 1414且在基板封裝1404之表面(例如阻障和晶種層123(圖1B)之部分132A和132B的頂部上及在阻障和晶種層123(圖1B)之部分130的頂部上)之上產生衝擊流,以過填充貫孔106而產生凸塊114及在部分132A和132B上沉積導電材料112以產生齊平層LL1和LL2。此外,陰極電解液係經由泵1424從容器1422引進位在腔室1420之側面1402的流動埠1430。舉例而言,流動埠1430的入口係位在陽極下方。在此示例,流動埠1430係在電鍍槽1408之側壁1432中的通道。功能結果是陰極電解液流係直接引進在CIRP 1414與基板封裝1404之間形成的電鍍區域,以增強整個基板封裝1404的橫向剪切流,如圖14A中之箭頭的方向1407所示。舉例而言,橫向剪切流係在與齊平層LL1和LL2之頂部表面120平行的方向1407上。橫向剪切流係在毗鄰區域A1和A2之間加以施加,以在齊平層LL1和LL2之間產生凸塊114。The substrate package 1404 is held, positioned, and rotated by the wafer holder 1406 of the chamber 1420. The chamber 1420 includes a plating tank 1408, which is a double chamber tank having an anode chamber having, for example, a counter electrode 1409 (such as a copper electrode) and an anolyte. The anode chamber and the cathode chamber are separated by, for example, a film 1410 (eg, a cationic film), which is used for electrodeposition and is supported by a support member 1412. The system 1400 further includes an ion channel type resistive plate (CIRP) 1414. A shunt 1416 is attached to the top of the CIRP 1414 and assists in generating a transverse shear flow of the catholyte. The catholyte is introduced from the container 1422 through a flow port 1433 above the cationic membrane 1410. From the flow port 1433, the catholyte passes through CIRP 1414 and is on top of the portions 132A and 132B of the surface of the substrate package 1404 (such as the barrier and seed layer 123 (FIG. 1B)) and on the barrier and seed layer 123 (FIG. 1B) on top of portion 130), an impulse flow is generated to overfill via 106 to produce bumps 114 and to deposit conductive material 112 on portions 132A and 132B to produce flush layers LL1 and LL2. In addition, the catholyte is introduced into the flow port 1430 located on the side surface 1402 of the chamber 1420 from the container 1422 via the pump 1424. For example, the inlet of the flow port 1430 is located below the anode. In this example, the flow port 1430 is a channel in the side wall 1432 of the plating tank 1408. The functional result is that the catholyte flow is directly introduced into the plating area formed between the CIRP 1414 and the substrate package 1404 to enhance the lateral shear flow of the entire substrate package 1404, as shown by the arrow direction 1407 in FIG. 14A. For example, the transverse shear flow is in a direction 1407 parallel to the top surfaces 120 of the flush layers LL1 and LL2. Transverse shear flow is applied between adjacent areas A1 and A2 to create bumps 114 between the flush layers LL1 and LL2.

此外,當具有導電材料112及加速劑、抑制劑、和平整劑之兩者以上之組合的陰極電解液係引入腔室1420至基板封裝1404上時,主機電腦804控制系統1400的直流(DC)電源1434,以將DC功率供應至相對電極1409及晶圓固持器1406。晶圓固持器1406係藉由DC功率帶正電以作為陰極,而相對電極1409係藉由DC功率帶負電以作為陽極,以允許在基板封裝1404之上之陰極電解液之離子的電沉積。在一些實施例中,對於電沉積操作168,晶圓固持器1406及基板封裝1404作為陰極且包含Cu2+ ->Cu的還原,而陽極係從Cu->Cu2+ 加以氧化。在這些實施例中,陽極包含銅。In addition, when a catholyte having a combination of two or more of a conductive material 112 and an accelerator, an inhibitor, and a leveler is introduced into the chamber 1420 to the substrate package 1404, the host computer 804 controls the direct current (DC) of the system 1400. A power source 1434 to supply DC power to the counter electrode 1409 and the wafer holder 1406. The wafer holder 1406 is positively charged with DC power as a cathode, and the counter electrode 1409 is negatively charged with DC power as an anode to allow electrodeposition of catholyte ions on the substrate package 1404. In some embodiments, for the electrodeposition operation 168, the wafer holder 1406 and the substrate package 1404 serve as cathodes and include reduction of Cu 2+ -> Cu, and the anode is oxidized from Cu-> Cu 2+ . In these embodiments, the anode contains copper.

圖14B係用於說明電拋光操作170(圖1B)之系統1401之實施例的圖。系統1401的結構及元件係類似於系統1400(圖14A),除了系統1401包含腔室1421及容器1423。腔室1421的結構及元件係類似於腔室1420(圖14A),除了腔室1421包含相對電極1411。當酸(例如磷酸、氫氯酸、硫酸等)係儲存在容器1423內部且係用於取代陰極電解液且係引入腔室1421至基板封裝1404上時,主機電腦804控制系統1401的DC電源1434,以將DC功率供應至相對電極1411及晶圓固持器1406。晶圓固持器1406係藉由DC功率帶負電以作為陽極,而相對電極1411係藉由DC功率帶正電以作為陰極,以允許基板封裝1404之凸塊(諸如凸塊114)的電拋光。陽極反應係Cu->Cu2+ ,而陰極反應包含2H+ ->H2 。陰極係由惰性材料(諸如鈦、或鉑、或銥、或其兩種以上的組合)製成。FIG. 14B is a diagram illustrating an embodiment of a system 1401 for an electropolishing operation 170 (FIG. 1B). The structure and components of the system 1401 are similar to the system 1400 (FIG. 14A), except that the system 1401 includes a chamber 1421 and a container 1423. The structure and components of the chamber 1421 are similar to the chamber 1420 (FIG. 14A), except that the chamber 1421 includes a counter electrode 1411. When acids (such as phosphoric acid, hydrochloric acid, sulfuric acid, etc.) are stored inside the container 1423 and used to replace the catholyte and are introduced into the chamber 1421 to the substrate package 1404, the host computer 804 controls the DC power source 1434 of the system 1401 To supply DC power to the counter electrode 1411 and the wafer holder 1406. The wafer holder 1406 is negatively charged with DC power as the anode, and the counter electrode 1411 is positively charged with DC power as the cathode to allow the polishing of the bumps (such as bumps 114) of the substrate package 1404. The anode reaction is Cu-> Cu 2+ , while the cathode reaction includes 2H + -> H 2 . The cathode is made of an inert material such as titanium, or platinum, or iridium, or a combination of two or more thereof.

分流器1416係在CIRP 1414的頂部上,且輔助產生酸的橫向剪切流。酸係藉由在膜1410之上的流動埠1433從容器1423加以引入。從流動埠1433,酸通過CIRP 1414且在基板封裝1404之表面(例如在凸塊114的頂部上)之上產生衝擊流,以移除凸塊114而產生RDL層104的頂部表面120(圖1B)。此外,酸係經由泵1424從容器1423引進流動埠1430。功能結果是酸流係直接引進在CIRP 1414與基板封裝1404之間形成的區域,以增強整個基板封裝1404的橫向剪切流,如圖14B中之箭頭的方向1407所示。橫向剪切流係在毗鄰區域A1和A2之間施加至在齊平層LL1和LL2之間的凸塊114,以移除凸塊114以進一步產生齊平層LL3。A splitter 1416 is on top of the CIRP 1414 and assists in generating a transverse shear flow of the acid. The acid is introduced from the container 1423 through a flow port 1433 above the membrane 1410. From the flow port 1433, the acid passes through the CIRP 1414 and generates a shock flow over the surface of the substrate package 1404 (eg, on top of the bump 114) to remove the bump 114 to generate the top surface 120 of the RDL layer 104 (FIG. 1B) ). The acid is introduced into the flow port 1430 from the container 1423 via the pump 1424. The functional result is that the acid flow system directly introduces the area formed between the CIRP 1414 and the substrate package 1404 to enhance the lateral shear flow of the entire substrate package 1404, as shown by the arrow direction 1407 in FIG. 14B. The transverse shear flow is applied between the adjacent areas A1 and A2 to the bumps 114 between the flush layers LL1 and LL2 to remove the bumps 114 to further generate the flush layer LL3.

應注意當電沉積操作168(圖1B)係在基板封裝1404上加以執行時,基板封裝1404係基板封裝135的示例。此外,應注意當電拋光操作170(圖1B)係在基板封裝1404上加以執行時,基板封裝1404係基板封裝141(圖1B)的示例。It should be noted that when the electrodeposition operation 168 (FIG. 1B) is performed on the substrate package 1404, the substrate package 1404 is an example of the substrate package 135. In addition, it should be noted that when the electro-polishing operation 170 (FIG. 1B) is performed on the substrate package 1404, the substrate package 1404 is an example of the substrate package 141 (FIG. 1B).

本文描述的實施例可利用各種電腦系統配置加以實施,該等各種電腦系統配置包含手持硬體單元、微處理器系統、基於微處理器或可程式化的消費者電子產品、迷你電腦、大型電腦等。該等實施例亦可在分散的計算環境中加以實施,在該分散的計算環境中,任務係藉由經由網路鏈接的遠程處理硬體單元加以執行。The embodiments described herein can be implemented using a variety of computer system configurations including handheld hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, mini computers, mainframe computers Wait. The embodiments may also be implemented in a decentralized computing environment where tasks are performed by remote processing hardware units linked via a network.

在一些實施例中,控制器為系統的一部分,其可為上述例子的一部分。此等系統包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定的處理元件(晶圓基座、氣流系統等)。這些系統係與電子設備整合,該等電子設備用於在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。電子設備係稱作為「控制器」,其可控制系統的各種元件或子部分。依據系統的處理需求及/或類型,控制器係加以編程以控制本文揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與系統連接或介接的裝載鎖定部之晶圓轉移。In some embodiments, the controller is part of the system, which may be part of the example described above. These systems include semiconductor processing equipment that includes more than one processing tool, more than one chamber, more than one platform for processing, and / or specific processing elements (wafer pedestals, airflow systems, etc.). These systems are integrated with electronic equipment that is used to control the operation of these systems before, during, and after the processing of semiconductor wafers or substrates. Electronic devices are called "controllers" and they can control various elements or sub-parts of the system. Depending on the processing needs and / or type of system, the controller is programmed to control any process disclosed herein, including: process gas delivery, temperature settings (such as heating and / or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, access to a tool and other transfer tools, and / or wafer transfer of a load lock section connected or interfacing with the system .

廣義地說,在各種實施例中,控制器係定義為電子設備,具有各種積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為ASIC的晶片、PLD、及/或執行程式指令(例如軟體)的一個以上微處理器或微控制器。該等程式指令係以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特定製程的操作參數。在一些實施例中,該等操作參數係由製程工程師定義之配方的一部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一個以上處理步驟。Broadly speaking, in various embodiments, the controller is defined as an electronic device with various integrated circuits, logic, memory, and / or software that receives instructions, issues instructions, controls operations, enables cleaning operations, and enables terminals. Point measurement and so on. An integrated circuit includes a chip in the form of firmware that stores program instructions, a digital signal processor (DSP), a chip defined as an ASIC, a PLD, and / or one or more microprocessors or microcontrollers that execute program instructions (such as software) Device. These program instructions are instructions that communicate with the controller in the form of various individual settings (or program files). These settings define operating parameters for the semiconductor wafer or system to perform a specific process. In some embodiments, the operating parameters are part of a recipe defined by a process engineer to one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / or wafers More than one processing step is completed during the fabrication of the die.

在一些實施例中,控制器係電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。例如:控制器係在「雲端」或晶圓廠主機電腦系統的整體或一部分,允許晶圓處理的遠端存取。該電腦允許針對系統的遠端存取以監控製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。In some embodiments, the controller is part of or coupled to a computer, the computer is integrated with the system, coupled to the system, networked to the system in other ways, or a combination of the above. For example, the controller is in the "cloud" or the whole or part of the fab host computer system, allowing remote access to wafer processing. The computer allows remote access to the system to monitor the current progress of manufacturing operations, check the history of past manufacturing operations, check trends or performance metrics from multiple manufacturing operations, change the parameters currently being processed, and set the Process steps, or start a new process.

在一些實施例中,遠程電腦(例如伺服器)經由網路提供製程配方給系統,該網路包含區域網路或網際網路。遠程電腦包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些示例中,控制器接收數據形式的指令,該數據指定於一或多個操作期間將被執行之各個處理步驟的參數。應理解該等參數係專門用於將執行之製程的類型及配置控制器以介接或控制之工具的類型。因此,如上所述,控制器係分散式的,諸如藉由包含一個以上分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子包含腔室上的一個以上積體電路,連通位於遠端(諸如在平台級或作為遠程電腦之一部分)的一個以上積體電路,其結合以控制腔室中的製程。In some embodiments, a remote computer (eg, a server) provides the process recipe to the system via a network, which includes a local area network or the Internet. The remote computer includes a user interface that allows input or programming of parameters and / or settings that are then passed from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for various processing steps to be performed during one or more operations. It should be understood that these parameters are specific to the type of process to be performed and the type of tool that the controller is configured to interface or control. Therefore, as described above, the controllers are decentralized, such as by including more than one decentralized controller, which are connected together by a network and operate toward a common purpose, such as the processes and controls described herein. An example of a decentralized controller for these purposes includes more than one integrated circuit on a chamber that communicates with more than one integrated circuit at a remote location, such as at the platform level or as part of a remote computer, which combines Control the process in the chamber.

在各種實施例中,不受限制地,示例系統包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關聯或用於半導體晶圓的製造及/或生產中的任何其他半導體處理系統。In various embodiments, without limitation, the example system includes a plasma etching chamber or module, a deposition chamber or module, a spin-rinsing chamber or module, a metal plating chamber or module, a cleaning chamber Chamber or module, beveled etch chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or mold Groups, atomic layer etching (ALE) chambers or modules, ion implantation chambers or modules, orbital chambers or modules, and any other semiconductor processing associated with or used in the manufacture and / or production of semiconductor wafers system.

進一步注意在一些實施例中,上述操作可應用於一些類型的電漿腔室,例如:包含感應耦合電漿(ICP)反應器、變壓器耦合電漿反應器、電容耦合電漿反應器、導體工具、介電工具的電漿腔室;包含電子迴旋共振(ECR)反應器的電漿腔室等。舉例而言,一個以上RF產生器係耦接至在ICP反應器內的電感器。電感器之形狀的例子包含螺線形(solenoid)、圓頂形線圈、扁平形線圈等。It is further noted that in some embodiments, the above operations can be applied to some types of plasma chambers, for example: including inductively coupled plasma (ICP) reactors, transformer coupled plasma reactors, capacitively coupled plasma reactors, conductor tools , Plasma chambers for dielectric tools; plasma chambers containing electron cyclotron resonance (ECR) reactors, etc. For example, more than one RF generator is coupled to an inductor within the ICP reactor. Examples of the shape of the inductor include a spiral, a dome-shaped coil, a flat coil, and the like.

如上所述,依據將由工具執行的一個以上製程步驟,控制器與下列通訊:一個以上其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、毗鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。As mentioned above, based on more than one process step to be performed by the tool, the controller communicates with one or more other tool circuits or modules, other tool components, group tools, other tool interfaces, adjacent tools, adjacent tools, , A host computer, another controller, or a tool for material transfer. These tools for material transfer carry a wafer container into and out of a tool location and / or load end within a semiconductor manufacturing plant.

在考慮上述實施例後,應理解一些實施例使用包含儲存於電腦系統內之資料的各種可利用電腦實現的操作。這些操作係那些物理性操縱的物理量。任何此處描述之形成該等實施例之部分的操作係有用的機械操作。After considering the above embodiments, it should be understood that some embodiments use various computer-implementable operations including data stored in a computer system. These operations are physical quantities that are physically manipulated. Any operations described herein that form part of these embodiments are useful mechanical operations.

一些實施例亦關於用於執行這些操作的硬體單元或設備。該設備係針對特殊用途電腦而特別加以建構。當被界定成特殊用途電腦時,該電腦執行非為特殊用途之部分的其他處理、程式執行或常用程式,但仍然能夠針對特殊用途而加以操作。Some embodiments are also related to a hardware unit or device for performing these operations. This device is specially constructed for special purpose computers. When defined as a special-purpose computer, the computer performs other processes, program execution, or common programs that are not part of the special-purpose computer, but can still operate for the special purpose.

在一些實施例中,該等操作可藉由電腦加以處理,該電腦係藉由儲存在電腦記憶體、快取記憶體中或透過電腦網路獲得的一個以上電腦程式選擇性地加以啟動或配置。當資料係透過電腦網路而獲得時,該資料可藉由在電腦網路上的其他電腦(例如雲端計算資源)加以處理。In some embodiments, these operations may be processed by a computer that is selectively activated or configured by one or more computer programs stored in computer memory, cache memory, or obtained through a computer network . When data is obtained through a computer network, the data can be processed by other computers on the computer network, such as cloud computing resources.

一個以上實施例亦可被製作成在非暫態電腦可讀媒體上的電腦可讀碼。該非暫態電腦可讀媒體係儲存資料的任何資料儲存硬體單元(例如記憶體裝置等),該資料之後係藉由電腦系統加以讀取。非暫態電腦可讀媒體的示例包含硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟ROM(CD-ROM)、可錄式光碟(CD-R)、可讀寫式光碟(CD-RW)、磁帶及其他光學和非光學資料儲存硬體單元。在一些實施例中,該非暫態電腦可讀媒體包含分散在網路耦接電腦系統的電腦可讀實體媒體,使得電腦可讀碼係以分散的方式加以儲存及執行。One or more embodiments may also be made as computer-readable codes on a non-transitory computer-readable medium. The non-transitory computer-readable medium is any data storage hardware unit (such as a memory device, etc.) that stores data, and the data is then read by a computer system. Examples of non-transitory computer-readable media include hard drives, network-attached storage (NAS), ROM, RAM, compact disc ROM (CD-ROM), recordable discs (CD-R), and read-write discs (CD-RW), magnetic tape, and other optical and non-optical data storage hardware units. In some embodiments, the non-transitory computer-readable medium includes computer-readable physical media dispersed in a network coupled computer system, so that the computer-readable codes are stored and executed in a decentralized manner.

雖然以上方法操作係以特定順序加以描述,但應理解在各種實施例中,其他內務處理作業係在操作之間加以執行,或該等方法操作係加以調整使得該等操作發生在略微不同的時間點,或在允許該等方法操作發生在各種時距內的系統中加以分散,或以不同於上述的順序加以執行。Although the above method operations are described in a specific order, it should be understood that in various embodiments, other housekeeping tasks are performed between operations, or the method operations are adjusted such that the operations occur at slightly different times The points are either dispersed in a system that allows such method operations to occur over various time intervals, or performed in a different order than described above.

更應注意在一實施例中,來自上述任何實施例的一個以上特徵可與任何其他實施例的一個以上特徵結合而不背離在本揭示內容中所述之各種實施例描述的範圍。It should be further noted that in one embodiment, more than one feature from any of the above embodiments may be combined with more than one feature of any other embodiment without departing from the scope of the various embodiments described in this disclosure.

雖然上述實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修改可在隨附申請專利範圍的範疇內加以實施。因此,本發明實施例係被視為說明性而非限制性的,且該等實施例係非限於此處給定的細節,而是可在隨附申請專利範圍的範疇及等同物之內加以修改。Although the above embodiments have been described in some details for the purpose of clear understanding, it is obvious that certain changes and modifications can be implemented within the scope of the accompanying patent application. Accordingly, the embodiments of the present invention are to be considered as illustrative and not restrictive, and the embodiments are not limited to the details given herein, but may be included within the scope and equivalents of the scope of the accompanying patent application modify.

A1‧‧‧區域
A2‧‧‧區域
A3‧‧‧區域
A4‧‧‧區域
A5‧‧‧區域
LL1‧‧‧齊平層
LL2‧‧‧齊平層
LL3‧‧‧齊平區域(齊平層)
LVL1‧‧‧水平
LVL2‧‧‧水平
P1‧‧‧圖案
P2‧‧‧圖案
P3‧‧‧圖案
P4‧‧‧圖案
100‧‧‧方法
102‧‧‧基板
103‧‧‧基板封裝
104‧‧‧重分佈層(RDL)
105‧‧‧基板封裝
106‧‧‧貫孔
107‧‧‧基板封裝
108‧‧‧光阻層
109‧‧‧基板封裝
110‧‧‧區域
112‧‧‧導電材料
114‧‧‧凸塊
116‧‧‧水平
117‧‧‧水平
118‧‧‧水平
120‧‧‧頂部表面
122‧‧‧襯墊
123‧‧‧阻障和晶種層
124‧‧‧介電層
124A‧‧‧中間部分
124B‧‧‧中間部分
128‧‧‧部分
130‧‧‧部分
132A‧‧‧部分
132B‧‧‧部分
134A‧‧‧片段
134B‧‧‧片段
135‧‧‧基板封裝
136A‧‧‧部分
136B‧‧‧部分
136C‧‧‧部分
137‧‧‧基板封裝
138A‧‧‧部分
138B‧‧‧部分
139‧‧‧基板封裝
141‧‧‧基板封裝
150‧‧‧操作
152‧‧‧操作
154‧‧‧貫孔
156‧‧‧部分
158‧‧‧操作
160‧‧‧操作
162‧‧‧操作
164‧‧‧去渣操作
166‧‧‧預處理操作
168‧‧‧電沉積操作
170‧‧‧操作
172‧‧‧操作
174‧‧‧阻障和晶種層蝕刻操作
176‧‧‧操作
182A‧‧‧部分
182B‧‧‧部分
182C‧‧‧部分
182D‧‧‧部分
200‧‧‧方法
300‧‧‧基板封裝
302‧‧‧下表面
400‧‧‧基板封裝
402‧‧‧不均勻
403‧‧‧頂部表面
404A‧‧‧表面
404B‧‧‧表面
404C‧‧‧表面
406‧‧‧不均勻
500‧‧‧基板封裝
502‧‧‧介電層
503‧‧‧基板封裝
504‧‧‧貫孔
506‧‧‧RDL層
507‧‧‧頂部表面
600‧‧‧基板封裝
602‧‧‧介電層
603‧‧‧基板封裝
604‧‧‧貫孔
606‧‧‧RDL層
700‧‧‧積體電路堆疊
702‧‧‧頂部積體電路(IC)封裝
704‧‧‧底部IC封裝
706A‧‧‧晶片系統(SoC)
706B‧‧‧SoC
708‧‧‧SoC
710‧‧‧基板封裝
712‧‧‧UBM
714‧‧‧柱
716‧‧‧RDL
718‧‧‧巨柱
800‧‧‧系統
802‧‧‧旋轉器
804‧‧‧主機電腦
806‧‧‧馬達
808‧‧‧真空泵
810‧‧‧液體儲存器
812‧‧‧閥
814‧‧‧表面
815‧‧‧基板封裝
816‧‧‧支撐件
900‧‧‧晶圓步進器
902‧‧‧光源
904‧‧‧透鏡
906‧‧‧光遮罩
908‧‧‧投影透鏡
910‧‧‧基板支架
1000‧‧‧沉浸式容器
1100‧‧‧系統
1102‧‧‧射頻產生器(RFG)
1104‧‧‧阻抗匹配電路(IMC)
1106‧‧‧電漿腔室
1108‧‧‧容器
1112‧‧‧RFG
1114‧‧‧IMC
1116‧‧‧真空泵
1120‧‧‧卡盤
1122‧‧‧頂板
1123‧‧‧閥
1124‧‧‧基板封裝
1126‧‧‧RF電纜
1128‧‧‧RF傳輸線
1130‧‧‧RF電纜
1132‧‧‧RF傳輸線
1200‧‧‧系統
1202‧‧‧電漿腔室
1204‧‧‧容器
1205‧‧‧容器
1206‧‧‧閥
1207‧‧‧閥
1208‧‧‧基板封裝
1210‧‧‧噴淋頭
1300‧‧‧系統
1302‧‧‧腔室
1304‧‧‧馬達
1306‧‧‧容器
1308‧‧‧晶圓固持器
1310‧‧‧閥
1312‧‧‧基板封裝
1320‧‧‧系統
1322‧‧‧腔室
1324‧‧‧卡盤
1400‧‧‧系統
1401‧‧‧系統
1402‧‧‧側面
1404‧‧‧基板封裝
1406‧‧‧晶圓固持器
1407‧‧‧方向
1408‧‧‧電鍍槽
1409‧‧‧相對電極
1410‧‧‧膜
1411‧‧‧相對電極
1412‧‧‧支撐構件
1414‧‧‧離子通道型電阻板(CIRP)
1416‧‧‧分流器
1418‧‧‧可旋轉的軸
1420‧‧‧腔室
1421‧‧‧腔室
1422‧‧‧容器
1423‧‧‧容器
1424‧‧‧泵
1430‧‧‧流動埠
1432‧‧‧側壁
1433‧‧‧流動埠
1434‧‧‧直流(DC)電源
A1‧‧‧Area
A2‧‧‧ area
A3‧‧‧ area
A4‧‧‧ area
A5‧‧‧Area
LL1‧‧‧Flat floor
LL2‧‧‧Flat floor
LL3‧‧‧Flat area (Flat floor)
LVL1‧‧‧level
LVL2‧‧‧level
P1‧‧‧Pattern
P2‧‧‧ pattern
P3‧‧‧ pattern
P4‧‧‧ pattern
100‧‧‧ Method
102‧‧‧ substrate
103‧‧‧ Substrate Package
104‧‧‧ Redistribution Layer (RDL)
105‧‧‧ substrate package
106‧‧‧through hole
107‧‧‧ Substrate package
108‧‧‧Photoresistive layer
109‧‧‧ substrate package
110‧‧‧area
112‧‧‧Conductive materials
114‧‧‧ bump
116‧‧‧level
117‧‧‧level
118‧‧‧level
120‧‧‧ top surface
122‧‧‧ cushion
123‧‧‧Barrier and seed layer
124‧‧‧ Dielectric layer
124A‧‧‧ middle section
124B‧‧‧ Middle Section
128‧‧‧part
130‧‧‧part
132A‧‧‧part
132B‧‧‧part
134A‧‧‧ fragment
134B‧‧‧ fragment
135‧‧‧ substrate package
136A‧‧‧part
136B‧‧‧part
136C‧‧‧Part
137‧‧‧Substrate package
138A‧‧‧part
138B‧‧‧part
139‧‧‧ substrate package
141‧‧‧Substrate package
150‧‧‧ operation
152‧‧‧Operation
154‧‧‧Through Hole
156‧‧‧part
158‧‧‧operation
160‧‧‧ Operation
162‧‧‧Operation
164‧‧‧Slag removal operation
166‧‧‧Pre-processing operation
168‧‧‧electrodeposition operation
170‧‧‧operation
172‧‧‧Operation
174‧‧‧Barrier and seed layer etching operations
176‧‧‧operation
182A‧‧‧Part
182B‧‧‧part
182C‧‧‧Part
182D‧‧‧part
200‧‧‧ Method
300‧‧‧ Substrate Package
302‧‧‧ lower surface
400‧‧‧ substrate package
402‧‧‧ uneven
403‧‧‧top surface
404A‧‧‧ surface
404B‧‧‧ surface
404C‧‧‧ surface
406‧‧‧ uneven
500‧‧‧ substrate package
502‧‧‧ Dielectric layer
503‧‧‧ substrate package
504‧‧‧through hole
506‧‧‧RDL layer
507‧‧‧Top surface
600‧‧‧ substrate package
602‧‧‧ Dielectric layer
603‧‧‧ substrate package
604‧‧‧Through Hole
606‧‧‧RDL layer
700‧‧‧Integrated Circuit Stack
702‧‧‧Top Integrated Circuit (IC) Package
704‧‧‧Bottom IC Package
706A‧‧‧Chip System (SoC)
706B‧‧‧SoC
708‧‧‧SoC
710‧‧‧Substrate package
712‧‧‧UBM
714‧‧‧columns
716‧‧‧RDL
718‧‧‧Big Pillar
800‧‧‧ system
802‧‧‧rotator
804‧‧‧Host computer
806‧‧‧Motor
808‧‧‧Vacuum pump
810‧‧‧Liquid reservoir
812‧‧‧valve
814‧‧‧ surface
815‧‧‧substrate package
816‧‧‧Support
900‧‧‧ Wafer Stepper
902‧‧‧light source
904‧‧‧Lens
906‧‧‧light mask
908‧‧‧ projection lens
910‧‧‧ substrate holder
1000‧‧‧ immersed container
1100‧‧‧ system
1102‧‧‧Radio Frequency Generator (RFG)
1104‧‧‧Impedance Matching Circuit (IMC)
1106‧‧‧ Plasma Chamber
1108‧‧‧container
1112‧‧‧RFG
1114‧‧‧IMC
1116‧‧‧Vacuum Pump
1120‧‧‧chuck
1122‧‧‧Top plate
1123‧‧‧ Valve
1124‧‧‧Substrate Package
1126‧‧‧RF cable
1128‧‧‧RF transmission line
1130‧‧‧RF cable
1132‧‧‧RF transmission line
1200‧‧‧System
1202‧‧‧ Plasma Chamber
1204‧‧‧container
1205‧‧‧container
1206‧‧‧ Valve
1207‧‧‧valve
1208‧‧‧Substrate Package
1210‧‧‧Sprinkler
1300‧‧‧ system
1302‧‧‧ Chamber
1304‧‧‧ Motor
1306‧‧‧container
1308‧‧‧Wafer Holder
1310‧‧‧ Valve
1312‧‧‧Board Package
1320‧‧‧System
1322‧‧‧ Chamber
1324‧‧‧Chuck
1400‧‧‧system
1401‧‧‧System
1402‧‧‧ side
1404‧‧‧Substrate Package
1406‧‧‧Wafer Holder
1407‧‧‧direction
1408‧‧‧plating tank
1409‧‧‧ Counter electrode
1410‧‧‧ film
1411‧‧‧ Counter electrode
1412‧‧‧Support members
1414‧‧‧Ion Channel Type Resistor Plate (CIRP)
1416‧‧‧ Shunt
1418‧‧‧Rotatable shaft
1420‧‧‧ Chamber
1421‧‧‧ Chamber
1422‧‧‧container
1423‧‧‧container
1424‧‧‧Pump
1430‧‧‧Mobile port
1432‧‧‧ sidewall
1433‧‧‧Mobile port
1434‧‧‧DC Power Supply

該等實施例可藉由參照結合附圖的以下敘述最能理解。These embodiments can be best understood by referring to the following description in conjunction with the accompanying drawings.

圖1A係說明在基板上製造重分佈層(RDL)之方法之實施例的圖。FIG. 1A is a diagram illustrating an embodiment of a method of manufacturing a redistribution layer (RDL) on a substrate.

圖1B係說明延續製造RDL層之方法之實施例的圖。FIG. 1B is a diagram illustrating an embodiment of a method of continuously manufacturing an RDL layer.

圖2係說明在基板上製造RDL層之方法之實施例的圖。FIG. 2 is a diagram illustrating an embodiment of a method of manufacturing an RDL layer on a substrate.

圖3係基板封裝之實施例的圖,以說明包含凸塊的RDL層。FIG. 3 is a diagram of an embodiment of a substrate package to illustrate an RDL layer including bumps.

圖4係基板封裝之實施例的圖,以說明由底RDL層中的不均勻性產生之在頂RDL層中的不均勻性。FIG. 4 is a diagram of an embodiment of a substrate package to illustrate the unevenness in the top RDL layer caused by the unevenness in the bottom RDL layer.

圖5係基板封裝之實施例的圖,以說明一RDL層,在該RDL層的頂部表面上具有最小或沒有不均勻性。5 is a diagram of an embodiment of a substrate package to illustrate an RDL layer with minimal or no unevenness on the top surface of the RDL layer.

圖6係基板封裝之實施例的圖,以說明在基板上之多個RDL層的沉積。FIG. 6 is a diagram of an embodiment of a substrate package to illustrate the deposition of multiple RDL layers on a substrate.

圖7係積體電路堆疊之實施例的圖,以說明RDL層的使用。FIG. 7 is a diagram of an embodiment of an integrated circuit stack to illustrate the use of the RDL layer.

圖8係包含旋轉器之系統之實施例的圖,該旋轉器係用於在基板上沉積介電層或光阻層。FIG. 8 is a diagram of an embodiment of a system including a rotator for depositing a dielectric layer or a photoresist layer on a substrate.

圖9係晶圓步進器之實施例的圖,用於說明在介電層或光阻層上形成圖案。FIG. 9 is a diagram of an embodiment of a wafer stepper for explaining the formation of a pattern on a dielectric layer or a photoresist layer.

圖10係沉浸式容器之實施例的圖,以說明其上施加有圖案之介電層的一部分或光阻層的一部分之剝離。FIG. 10 is a diagram of an embodiment of an immersion container to illustrate peeling of a portion of a dielectric layer or a portion of a photoresist layer having a pattern applied thereto.

圖11係用於說明物理氣相沉積(PVD)製程之系統之實施例的圖。FIG. 11 is a diagram illustrating an embodiment of a system for a physical vapor deposition (PVD) process.

圖12係用於執行光阻剝離的操作、或去渣操作、或阻障和晶種層蝕刻之操作的系統之實施例的圖。FIG. 12 is a diagram of an embodiment of a system for performing a photoresist stripping operation, or a slag removing operation, or an operation of barrier and seed layer etching.

圖13A係用於執行預處理操作之系統之實施例的圖。FIG. 13A is a diagram of an embodiment of a system for performing a pre-processing operation.

圖13B係用於執行預處理操作之另一系統之實施例的圖。FIG. 13B is a diagram of an embodiment of another system for performing a pre-processing operation.

圖14A係用於說明電沉積操作之系統之實施例的圖。FIG. 14A is a diagram for explaining an embodiment of a system of an electrodeposition operation.

圖14B係用於說明電拋光操作之系統之實施例的圖。FIG. 14B is a diagram for explaining an embodiment of a system for an electropolishing operation.

A1‧‧‧區域 A1‧‧‧Area

A2‧‧‧區域 A2‧‧‧ area

LL1‧‧‧齊平層 LL1‧‧‧Flat floor

LL2‧‧‧齊平層 LL2‧‧‧Flat floor

LL3‧‧‧齊平區域(齊平層) LL3‧‧‧Flat area (Flat floor)

102‧‧‧基板 102‧‧‧ substrate

104‧‧‧重分佈層(RDL) 104‧‧‧ Redistribution Layer (RDL)

106‧‧‧貫孔 106‧‧‧through hole

108‧‧‧光阻層 108‧‧‧Photoresistive layer

110‧‧‧區域 110‧‧‧area

112‧‧‧導電材料 112‧‧‧Conductive materials

114‧‧‧凸塊 114‧‧‧ bump

116‧‧‧水平 116‧‧‧level

117‧‧‧水平 117‧‧‧level

118‧‧‧水平 118‧‧‧level

120‧‧‧頂部表面 120‧‧‧ top surface

122‧‧‧襯墊 122‧‧‧ cushion

123‧‧‧阻障和晶種層 123‧‧‧Barrier and seed layer

124‧‧‧介電層 124‧‧‧ Dielectric layer

130‧‧‧部分 130‧‧‧part

132A‧‧‧部分 132A‧‧‧part

132B‧‧‧部分 132B‧‧‧part

134A‧‧‧片段 134A‧‧‧ fragment

134B‧‧‧片段 134B‧‧‧ fragment

135‧‧‧基板封裝 135‧‧‧ substrate package

136A‧‧‧部分 136A‧‧‧part

136B‧‧‧部分 136B‧‧‧part

137‧‧‧基板封裝 137‧‧‧Substrate package

138A‧‧‧部分 138A‧‧‧part

138B‧‧‧部分 138B‧‧‧part

139‧‧‧基板封裝 139‧‧‧ substrate package

141‧‧‧基板封裝 141‧‧‧Substrate package

160‧‧‧操作 160‧‧‧ Operation

162‧‧‧操作 162‧‧‧Operation

164‧‧‧去渣操作 164‧‧‧Slag removal operation

166‧‧‧預處理操作 166‧‧‧Pre-processing operation

168‧‧‧電沉積操作 168‧‧‧electrodeposition operation

170‧‧‧電拋光操作 170‧‧‧Electric polishing operation

172‧‧‧光阻剝離操作 172‧‧‧Photoresistive stripping operation

174‧‧‧阻障和晶種層蝕刻操作 174‧‧‧Barrier and seed layer etching operations

176‧‧‧操作 176‧‧‧operation

Claims (20)

一種用於處理基板以改善在貫孔之上之重分佈層之地形均勻性的方法,包含: 在該基板上方圖案化一光阻層,該圖案化的步驟針對一導線界定一區域,該導線係該重分佈層的一層次; 沉積一導電材料,使得該導電材料填充該貫孔及該導線的區域,該沉積步驟係進一步加以控制以造成該導線的導電材料過生長,以在該貫孔正上方形成該導電材料的一凸塊; 平坦化該導線及該凸塊,且同時維持存在於該基板之上之該圖案化的光阻層,該平坦化的步驟係藉由在該導線及該凸塊上施加水平剪力的一液態化學品而加以協助;及 在執行該平坦化步驟之後剝離該光阻。A method for processing a substrate to improve the topographic uniformity of a redistribution layer over a through hole, comprising: patterning a photoresist layer over the substrate, the patterning step defining a region for a wire, the wire It is a layer of the redistribution layer; a conductive material is deposited so that the conductive material fills the area of the through hole and the wire, and the deposition step is further controlled to cause the conductive material of the wire to overgrow in the through hole A bump of the conductive material is formed directly above; the wires and the bumps are planarized, and at the same time the patterned photoresist layer existing on the substrate is maintained, and the step of planarizing is performed on the wires and A liquid chemical is applied to the bump to assist in the horizontal shearing force; and the photoresist is peeled off after performing the planarization step. 如申請專利範圍第1項之用於處理基板以改善在貫孔之上之重分佈層之地形均勻性的方法,更包含: 在該導線之平坦化的頂部表面之頂部上沉積一介電材料層; 圖案化該介電材料層以在該介電材料層內形成額外貫孔; 在該圖案化的介電材料層之頂部上沉積一阻障和晶種層,以在該介電材料層的頂部上形成一阻障和晶種薄膜層; 在包含該等額外貫孔的該阻障和晶種薄膜層之頂部上沉積一額外光阻層,以形成一厚層;及 圖案化該額外光阻層,使得在該額外光阻層之殘餘光阻材料的兩個毗鄰區域之間的距離係大於每一額外貫孔的最大寬度。For example, the method for processing a substrate to improve the topographic uniformity of a redistribution layer over a through hole in the scope of patent application, further comprising: depositing a dielectric material on top of the planarized top surface of the wire Layer; patterning the dielectric material layer to form additional through holes in the dielectric material layer; depositing a barrier and seed layer on top of the patterned dielectric material layer to form the dielectric material layer Forming a barrier and seed film layer on top of the substrate; depositing an additional photoresist layer on top of the barrier and seed film layer including the additional through holes to form a thick layer; and patterning the additional layer The photoresist layer is such that the distance between two adjacent areas of the residual photoresist material of the additional photoresist layer is greater than the maximum width of each additional through hole. 如申請專利範圍第2項之用於處理基板以改善在貫孔之上之重分佈層之地形均勻性的方法,更包含: 在殘餘光阻層的該兩個毗鄰區域之間沉積一額外導電材料,其中該沉積該額外導電材料的步驟包含: 填充該等額外貫孔; 在該額外光阻層的該兩個毗鄰區域之間產生一額外凸塊,其中該額外凸塊係在該等額外貫孔其中一者正上方產生; 在該額外凸塊與該殘餘光阻材料的該兩個毗鄰區域的其中一者之間形成一齊平層;及 在該額外凸塊與該兩個毗鄰區域的其中另一者之間形成一齊平層。For example, the method for processing a substrate to improve the topographic uniformity of a redistribution layer over a through hole in the second patent application scope further includes: depositing an additional conductive layer between the two adjacent areas of the residual photoresist layer Material, wherein the step of depositing the additional conductive material comprises: filling the additional through holes; generating an additional bump between the two adjacent regions of the additional photoresist layer, wherein the additional bump is on the additional A through hole is generated directly above one of the through holes; a flush layer is formed between the additional bump and one of the two adjacent regions of the residual photoresist material; and an additional bump is formed between the additional bump and the two adjacent regions. A flush layer is formed between the other one. 如申請專利範圍第3項之用於處理基板以改善在貫孔之上之重分佈層之地形均勻性的方法,更包含: 電拋光在該兩個毗鄰區域之間產生的該額外凸塊,以在該兩個毗鄰區域之間形成該額外導電材料的一齊平層。For example, the method for processing a substrate to improve the uniformity of the topography of a redistribution layer over a through hole in the third patent application scope further includes: electropolishing the additional bumps generated between the two adjacent regions, To form a flush layer of the additional conductive material between the two adjacent regions. 如申請專利範圍第4項之用於處理基板以改善在貫孔之上之重分佈層之地形均勻性的方法,其中,該電拋光的步驟係藉由在水平方向施加剪力而加以執行,該水平方向係平行於在該額外凸塊與該兩個毗鄰區域之該其中一者之間的一層。For example, the method for processing a substrate to improve the uniformity of the topography of a redistribution layer above a through-hole, in the scope of the patent application, wherein the step of electropolishing is performed by applying a shear force in a horizontal direction, The horizontal direction is parallel to a layer between the additional bump and the one of the two adjacent areas. 如申請專利範圍第4項之用於處理基板以改善在貫孔之上之重分佈層之地形均勻性的方法,更包含在該電拋光該額外凸塊的步驟之後,剝離該額外光阻層。For example, the method for processing a substrate to improve the topographic uniformity of a redistribution layer over a through hole in the patent application item 4 further includes stripping the additional photoresist layer after the step of electropolishing the additional bump. . 一種用於達成重分佈層(RDL)之均勻性的方法,包含: 在配置於一基板上之一襯墊的頂部上沉積一介電層; 在該介電層之內產生複數貫孔,以產生該介電層的複數中間部分; 在該介電層的頂部上沉積一阻障和晶種層,以在該介電層的頂部上形成一膜,其中該膜係在該等貫孔之內及在該等中間部分的頂部上加以形成; 在晶種層之該膜的頂部上沉積一光阻,以在該介電層之該等中間部分之上形成一層; 藉由移除該光阻的複數部分而圖案化該光阻的複數斷續區域,以露出在該等貫孔之內沉積之該膜的複數部分及在該介電層的該等中間部分的片段上沉積之該膜的複數額外部分; 沉積該重分佈層於在該等貫孔之內沉積之該膜的該等部分之頂部上及在該膜之該等額外部分的頂部上,使得該重分佈層的高度係小於該光阻形成之該層的高度,其中該重分佈層的高度及該光阻形成之該層的高度係自該基板加以測量,其中該沉積該重分佈層的步驟係加以執行以過填充該等貫孔,其中過填充係加以執行以產生該重分佈層的凸塊,其中該等凸塊係在該光阻的該等斷續區域之間加以產生;及 移除在該光阻的該等斷續區域之間的該等凸塊以達成均勻性。A method for achieving uniformity of a redistribution layer (RDL) includes: depositing a dielectric layer on top of a pad disposed on a substrate; and generating a plurality of through holes in the dielectric layer to Generating a plurality of intermediate portions of the dielectric layer; depositing a barrier and seed layer on top of the dielectric layer to form a film on top of the dielectric layer, wherein the film is on the through holes Formed on and on top of the intermediate portions; depositing a photoresist on top of the film of the seed layer to form a layer over the intermediate portions of the dielectric layer; by removing the light Patterning the plurality of discontinuous areas of the photoresist to expose the plurality of portions of the film deposited within the through holes and the film deposited on fragments of the intermediate portions of the dielectric layer The redistribution layer is deposited on top of the portions of the film deposited within the through holes and on top of the extra portions of the film such that the height of the redistribution layer is Less than the height of the layer formed by the photoresist, wherein the And the height of the layer formed by the photoresist are measured from the substrate, wherein the step of depositing the redistribution layer is performed to overfill the through holes, and overfilling is performed to generate the redistribution layer Bumps, wherein the bumps are generated between the discontinuous areas of the photoresist; and the bumps between the discontinuous areas of the photoresist are removed to achieve uniformity. 如申請專利範圍第7項之用於達成重分佈層(RDL)之均勻性的方法,更包含剝離該光阻以露出該阻障和晶種層的複數部分。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 7 of the patent application scope further includes peeling the photoresist to expose a plurality of portions of the barrier and the seed layer. 如申請專利範圍第8項之用於達成重分佈層(RDL)之均勻性的方法,更包含: 蝕刻該晶種層的該等部分,以露出在該介電層之頂部上之阻障層的複數部分; 蝕刻該阻障層的該等部分,以露出該介電層的複數部分。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 8 of the patent application scope further includes: etching the portions of the seed layer to expose a barrier layer on top of the dielectric layer The plurality of portions of the barrier layer are etched to expose the plurality of portions of the dielectric layer. 如申請專利範圍第7項之用於達成重分佈層(RDL)之均勻性的方法,其中該重分佈層係由銅製成。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 7 of the patent application scope, wherein the redistribution layer is made of copper. 如申請專利範圍第7項之用於達成重分佈層(RDL)之均勻性的方法,其中該重分佈層係由鈷,或不變鋼,或鎳,或鎳和鈷和鐵的合金,或其兩者以上的組合製成。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 7 of the patent application scope, wherein the redistribution layer is made of cobalt, or constant steel, or nickel, or an alloy of nickel and cobalt and iron, or It is made by a combination of two or more of them. 如申請專利範圍第7項之用於達成重分佈層(RDL)之均勻性的方法,其中沉積該重分佈層的步驟包含:在於該等貫孔之內沉積的該膜之該等部分及該膜之該等額外部分的頂部上藉由促進陰極電解液的橫向流動,經由一腔室的一側面沉積該陰極電解液。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 7 of the application, wherein the step of depositing the redistribution layer includes: the portions of the film deposited within the through holes and the The catholyte is deposited on one side of a chamber by promoting the lateral flow of the catholyte on top of the extra portions of the membrane. 如申請專利範圍第12項之用於達成重分佈層(RDL)之均勻性的方法,其中該陰極電解液包含加速劑,以過填充該等貫孔以產生該等凸塊。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 12 of the patent application, wherein the catholyte contains an accelerator to overfill the through holes to generate the bumps. 如申請專利範圍第12項之用於達成重分佈層(RDL)之均勻性的方法,其中該陰極電解液包含平整劑以產生該重分佈層的複數齊平部分,其中該等齊平部分的其中一者係在該等凸塊的其中一者與該光阻之該等斷續區域之其中一者之間。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 12 of the patent application, wherein the catholyte contains a leveling agent to generate a plurality of flush portions of the redistribution layer, wherein the flush portions are One of them is between one of the bumps and one of the discontinuous areas of the photoresist. 一種用於達成重分佈層(RDL)之均勻性的方法,包含: 在一阻障和晶種層之一膜的頂部上沉積一光阻,以填充複數貫孔及在一圖案化介電層之複數中間部分的頂部上形成一層; 藉由移除該光阻的複數部分而圖案化該光阻的複數斷續區域,以露出在該等貫孔之內沉積之該膜的複數部分及在該圖案化介電層的該等中間部分的片段上沉積之該膜的複數額外部分; 沉積該重分佈層於在該等貫孔之內沉積之該膜的該等部分之頂部上及在該膜之該等額外部分的頂部上,使得該重分佈層的高度係小於該光阻形成之該層的高度,其中該重分佈層的高度及該光阻形成之該層的高度係自一基板加以測量,其中該沉積該重分佈層的步驟係加以執行以過填充該等貫孔,其中過填充係加以執行以產生該重分佈層的凸塊,其中該等凸塊係在該光阻的該等斷續區域之間加以產生;及 移除在該光阻的該等斷續區域之間的該等凸塊以達成均勻性。A method for achieving uniformity of a redistribution layer (RDL), comprising: depositing a photoresist on top of one of a barrier and seed layer film to fill a plurality of through holes and a patterned dielectric layer A layer is formed on top of the plurality of middle portions; the plurality of intermittent portions of the photoresist are patterned by removing the plurality of portions of the photoresist to expose the plurality of portions of the film deposited within the through holes and the A plurality of additional portions of the film deposited on fragments of the intermediate portions of the patterned dielectric layer; depositing the redistribution layer on top of the portions of the film deposited within the through holes and on the On top of the extra portions of the film, the height of the redistribution layer is less than the height of the layer formed by the photoresist, where the height of the redistribution layer and the height of the layer formed by the photoresist are from a substrate It is measured, wherein the step of depositing the redistribution layer is performed to overfill the through holes, wherein the overfilling is performed to generate bumps of the redistribution layer, wherein the bumps are in the photoresist Interstitial areas And removing the region between such intermittent photoresist of the bumps to achieve uniformity. 如申請專利範圍第15項之用於達成重分佈層(RDL)之均勻性的方法,其中該重分佈層係由銅製成。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 15 of the patent application scope, wherein the redistribution layer is made of copper. 如申請專利範圍第15項之用於達成重分佈層(RDL)之均勻性的方法,其中該重分佈層係由鈷,或不變鋼,或鎳,或鎳和鈷和鐵的合金,或其兩者以上的組合製成。For example, a method for achieving uniformity of a redistribution layer (RDL) according to item 15 of the application, wherein the redistribution layer is made of cobalt, or constant steel, or nickel, or an alloy of nickel and cobalt and iron, or It is made by a combination of two or more of them. 如申請專利範圍第15項之用於達成重分佈層(RDL)之均勻性的方法,其中沉積該重分佈層的步驟包含:在於該等貫孔之內沉積的該膜之該等部分及該等額外部分的頂部上藉由促進陰極電解液的橫向流動,經由一腔室的一側面沉積該陰極電解液。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 15 of the application, wherein the step of depositing the redistribution layer includes: the portions of the film deposited within the through holes and the The catholyte is deposited on the top of the additional portion via a side of a chamber by promoting lateral flow of the catholyte. 如申請專利範圍第18項之用於達成重分佈層(RDL)之均勻性的方法,其中該陰極電解液包含加速劑,以過填充該等貫孔以產生該等凸塊。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 18 of the application, wherein the catholyte contains an accelerator to overfill the through holes to generate the bumps. 如申請專利範圍第18項之用於達成重分佈層(RDL)之均勻性的方法,其中該陰極電解液包含平整劑以產生該重分佈層的複數齊平部分,其中該等齊平部分的其中一者係在該等凸塊的其中一者與該光阻之該等斷續區域之其中一者之間。For example, the method for achieving uniformity of a redistribution layer (RDL) according to item 18 of the application, wherein the catholyte contains a leveling agent to generate a plurality of flush portions of the redistribution layer, wherein the flush portions are One of them is between one of the bumps and one of the discontinuous areas of the photoresist.
TW106116033A 2016-05-20 2017-05-16 Systems and methods for achieving uniformity across a redistribution layer TW201806037A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/161,081 2016-05-20
US15/161,081 US10233556B2 (en) 2010-07-02 2016-05-20 Dynamic modulation of cross flow manifold during electroplating
US15/458,833 2017-03-14
US15/458,833 US10714436B2 (en) 2012-12-12 2017-03-14 Systems and methods for achieving uniformity across a redistribution layer

Publications (1)

Publication Number Publication Date
TW201806037A true TW201806037A (en) 2018-02-16

Family

ID=60486482

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106116033A TW201806037A (en) 2016-05-20 2017-05-16 Systems and methods for achieving uniformity across a redistribution layer

Country Status (5)

Country Link
JP (1) JP2017216443A (en)
KR (1) KR102411777B1 (en)
CN (1) CN107452632B (en)
SG (1) SG10201704130YA (en)
TW (1) TW201806037A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820992B (en) * 2021-11-04 2023-11-01 胡迪群 Mm wave antenna module package structure and manufacturing method thereof

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102512832B1 (en) * 2018-02-07 2023-03-23 에이씨엠 리서치 (상하이), 인코포레이티드 Substrate cleaning method and apparatus
US11673161B2 (en) * 2019-03-11 2023-06-13 Technetics Group Llc Methods of manufacturing electrostatic chucks

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6946066B2 (en) 2001-07-20 2005-09-20 Asm Nutool, Inc. Multi step electrodeposition process for reducing defects and minimizing film thickness
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US7099293B2 (en) 2002-05-01 2006-08-29 Stmicroelectronics, Inc. Buffer-less de-skewing for symbol combination in a CDMA demodulator
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US6984587B2 (en) * 2004-01-08 2006-01-10 Cabot Microelectronics Corporation Integrated polishing and electroless deposition
US7125803B2 (en) * 2004-04-28 2006-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone mask method for post-CMP elimination of copper overburden
JP4777644B2 (en) 2004-12-24 2011-09-21 Okiセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
US7625814B2 (en) * 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
KR100850212B1 (en) 2007-04-20 2008-08-04 삼성전자주식회사 Method for a semiconductor device manufacturing having an even coating thickness in electroless plating
CN101969053B (en) * 2008-05-16 2012-12-26 精材科技股份有限公司 Semiconductor device and manufacturing method thereof
US8795480B2 (en) * 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN106947997B (en) * 2012-12-12 2019-08-27 诺发系统公司 Enhancement device in electroplating process for the electrolyte flow power of efficient mass transfer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820992B (en) * 2021-11-04 2023-11-01 胡迪群 Mm wave antenna module package structure and manufacturing method thereof

Also Published As

Publication number Publication date
KR20170131258A (en) 2017-11-29
KR102411777B1 (en) 2022-06-21
SG10201704130YA (en) 2017-12-28
JP2017216443A (en) 2017-12-07
CN107452632B (en) 2021-07-06
CN107452632A (en) 2017-12-08

Similar Documents

Publication Publication Date Title
US10714436B2 (en) Systems and methods for achieving uniformity across a redistribution layer
JP6832057B2 (en) Plated metal hardmask for vertical NAND hole etching
CN113260739A (en) Electrodeposition of nano-twin copper structures
US20220018036A1 (en) Low temperature direct copper-copper bonding
TW201806037A (en) Systems and methods for achieving uniformity across a redistribution layer
US20230212773A1 (en) Surface pretreatment for electroplating nanotwinned copper
CN111133568B (en) System and method for preventing fabrication of a redistribution layer of an etch redistribution layer
US10332850B2 (en) Method for producing contact areas on a semiconductor substrate
JP2017216443A5 (en)
US20060226014A1 (en) Method and process for improved uniformity of electrochemical plating films produced in semiconductor device processing
TWI729660B (en) Method of fine redistribution interconnect formation for advanced packaging applications
KR102662129B1 (en) Systems and methods for manufacturing a redistribution layer to prevent etching of the redistribution layer
US20220396894A1 (en) Wafer shielding for prevention of lipseal plate-out
US20240141541A1 (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate
TW202319566A (en) Micro inert anode array for die level electrodeposition thickness distribution control
TW202244317A (en) Electrochemical assembly for forming semiconductor features
CN113424309A (en) Gold through silicon mask plating