TW201734651A - Multilayer reflector, method of manufacturing a multilayer reflector and lithographic apparatus - Google Patents

Multilayer reflector, method of manufacturing a multilayer reflector and lithographic apparatus Download PDF

Info

Publication number
TW201734651A
TW201734651A TW105135618A TW105135618A TW201734651A TW 201734651 A TW201734651 A TW 201734651A TW 105135618 A TW105135618 A TW 105135618A TW 105135618 A TW105135618 A TW 105135618A TW 201734651 A TW201734651 A TW 201734651A
Authority
TW
Taiwan
Prior art keywords
multilayer reflector
refractive index
index layer
high refractive
layer
Prior art date
Application number
TW105135618A
Other languages
Chinese (zh)
Inventor
艾默邁迪 薩耶迪
瓊斯特 威爾漢穆斯 瑪莉亞 法蘭肯
克麗斯汀娜 斯福李高吉
真 唯霍夫
Original Assignee
荷蘭基金會科研院所
荷蘭阿姆斯特丹大學
荷蘭Vu基金會
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭基金會科研院所, 荷蘭阿姆斯特丹大學, 荷蘭Vu基金會, Asml荷蘭公司 filed Critical 荷蘭基金會科研院所
Publication of TW201734651A publication Critical patent/TW201734651A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/085Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal
    • G02B5/0875Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal the reflecting layers comprising two or more metallic layers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A multilayer reflector for use in EUV lithography, for example, comprises alternating layers of Mo and RbxSiy. The RbxSiyand Mo interface is thermodynamically stable, reducing intermingling of the layers and preventing reduction in reflectivity. The RbxSiylayer can be hydrogenated to form RbSiH3. For the case of Mo/RbSiH3an interlayer of RbH between Mo and RbSiH3layers can be used. An Mo/RbH multilayer mirror is also useful.

Description

多層反射器,製造多層反射器之方法及微影裝置Multilayer reflector, method for manufacturing multilayer reflector and lithography device

本發明係關於用於EUV或X射線輻射之多層反射器、製造此類多層反射器之方法及使用此類多層反射器之微影裝置。The present invention relates to multilayer reflectors for EUV or X-ray radiation, methods of making such multilayer reflectors, and lithographic apparatus using such multilayer reflectors.

微影裝置為經建構以將所要圖案塗覆至基板上之機器。微影裝置可用於(例如)積體電路(IC)之製造中。微影裝置可(例如)將圖案自圖案化器件(例如,遮罩)投影至提供於基板上之輻射敏感材料(抗蝕劑)層上。 由微影裝置使用以將圖案投影至基板上的輻射之波長判定可形成於彼基板上的特徵之最小大小。相比於習知微影裝置(其可(例如)使用具有為193 nm之波長之電磁輻射),使用為具有在5 nm至20 nm之範圍內的波長之電磁輻射之EUV輻射的微影裝置可用以在基板上形成較小特徵。 難以將EUV輻射收集成光束;將其導引至圖案化器件(例如,遮罩)上以及將經圖案化光束投影至基板上,此係由於製造用於EUV輻射之折射光學元件係不可能的。因此,必須使用反射器(亦即,鏡面)執行此等功能。甚至難以建構用於EUV輻射之反射器。用於EUV輻射之最佳可用反射器為包含在相對高折射率層與相對低折射率層之間交替的大量層之多層反射器(亦被稱作分佈式布拉格(Bragg)反射器)。由高折射率層及低折射率層組成之每一週期具有等於待反射之輻射之波長之一半(λ/2)的厚度,以使得在高至低折射率邊界處反射之輻射之間存在相長干擾。此多層反射器仍未達成尤其高的反射率。 使用鉬(Mo)及矽(Si)之交替層的用於EUV之目前可用多層反射器可在理論上實現74.77%之反射率(週期數=100,週期厚度=6.9 nm,Mo層與週期厚度比率γ=0.4)。然而,實際上,已知Mo/Si多層反射器受害於三個共同缺陷:Si及Mo在其界面處之互混;矽化鉬間層之形成;以及Mo/Si界面在多層反射器之製造期間之粗糙化。此等效應之組合將Mo/Si多層反射器之反射率降低至約70%或小於70%。 由於在EUV光源與基板之間的EUV微影裝置中串聯地使用約10個多層反射器,因此每一多層反射器的約70%之反射率導致最初所產生的EUV輻射之小於3%到達基板,而其他97%在多層反射器中經吸收且被浪費掉。由於難以提高電源功率,因此實際上達至基板之低比例之電源輸出限制微影裝置之輸貫量。 經吸收輻射(包括亦藉由輻射源發射之紅外線輻射)可使得多層反射器之溫度上升,此觸發進一步互混、矽化物形成及在界面處之粗糙化。由於矽化鉬相相較於元素Si及Mo熱力地較為穩定而出現此情形。因此,有必要提供對多層反射器之實質性冷卻以避免其EUV反射率在其操作期間之進一步降低,及因此其經濟使用期限之降低。A lithography apparatus is a machine that is constructed to apply a desired pattern onto a substrate. The lithography apparatus can be used, for example, in the manufacture of integrated circuits (ICs). The lithography apparatus can, for example, project a pattern from a patterned device (eg, a mask) onto a layer of radiation-sensitive material (resist) provided on the substrate. The wavelength of the radiation used by the lithography apparatus to project the pattern onto the substrate determines the minimum size of features that can be formed on the substrate. A lithography apparatus using EUV radiation that is electromagnetic radiation having a wavelength in the range of 5 nm to 20 nm, compared to conventional lithography apparatus (which may, for example, use electromagnetic radiation having a wavelength of 193 nm) It can be used to form smaller features on the substrate. It is difficult to collect EUV radiation into a beam; direct it onto a patterned device (eg, a mask) and project a patterned beam onto a substrate, which is not possible due to the fabrication of a refractive optical element for EUV radiation. . Therefore, it is necessary to perform these functions using a reflector (i.e., a mirror). It is even difficult to construct a reflector for EUV radiation. The most useful reflector for EUV radiation is a multilayer reflector (also referred to as a distributed Bragg reflector) comprising a plurality of layers alternating between a relatively high refractive index layer and a relatively low refractive index layer. Each period consisting of a high refractive index layer and a low refractive index layer has a thickness equal to one-half (λ/2) of the wavelength of the radiation to be reflected, so that there is a phase between the reflected radiation at the high to low refractive index boundary. Long interference. This multilayer reflector still does not achieve particularly high reflectivity. The currently available multilayer reflector for EUV using alternating layers of molybdenum (Mo) and yttrium (Si) can theoretically achieve a reflectivity of 74.77% (cycle number = 100, period thickness = 6.9 nm, Mo layer and period thickness) The ratio γ = 0.4). However, in practice, Mo/Si multilayer reflectors are known to suffer from three common defects: intermixing of Si and Mo at their interfaces; formation of a molybdenum telluride interlayer; and Mo/Si interface during the manufacture of multilayer reflectors. Roughening. The combination of these effects reduces the reflectivity of the Mo/Si multilayer reflector to about 70% or less. Since about 10 multilayer reflectors are used in series in the EUV lithography apparatus between the EUV source and the substrate, about 70% of the reflectivity of each multilayer reflector results in less than 3% of the EUV radiation initially produced. The substrate, while the other 97% is absorbed in the multilayer reflector and was wasted. Since it is difficult to increase the power supply power, a low proportion of the power supply output to the substrate actually limits the throughput of the lithography apparatus. The absorption of radiation (including infrared radiation also emitted by the radiation source) causes the temperature of the multilayer reflector to rise, which triggers further intermixing, vapor formation, and roughening at the interface. This occurs because the molybdenum telluride phase is more thermally stable than the elements Si and Mo. Therefore, it is necessary to provide substantial cooling of the multilayer reflector to avoid further degradation of its EUV reflectivity during its operation, and thus its economical useful life.

本發明之目標為提供經改良多層反射器。 根據本發明,提供包含複數個週期之多層反射器,每一週期包含低折射率層及高折射率層,其中:在至少一個週期中,低折射率層包含Mo且高折射率層包含化合物,該化合物包含選自由Si、Rb及H組成之群之至少兩個元素之組合。 根據本發明,提供經配置以將圖案自圖案化器件投影至基板上之微影裝置,該裝置包含如上文所描述之至少一個多層反射器。 根據本發明,提供製造包含複數個週期之多層反射器之方法,每一週期包含低折射率層及高折射率層,該方法包含物理氣相沈積製程以形成至少一個高折射率層,該物理氣相沈積步驟使用包含Si及Rb之蒸鍍標靶。It is an object of the present invention to provide improved multilayer reflectors. According to the present invention, there is provided a multilayer reflector comprising a plurality of periods, each period comprising a low refractive index layer and a high refractive index layer, wherein: in at least one period, the low refractive index layer comprises Mo and the high refractive index layer comprises a compound, The compound comprises a combination of at least two elements selected from the group consisting of Si, Rb, and H. In accordance with the present invention, a lithography apparatus configured to project a pattern from a patterned device onto a substrate is provided, the apparatus comprising at least one multilayer reflector as described above. According to the present invention, there is provided a method of fabricating a multilayer reflector comprising a plurality of cycles, each cycle comprising a low refractive index layer and a high refractive index layer, the method comprising a physical vapor deposition process to form at least one high refractive index layer, the physics The vapor deposition step uses a vapor deposition target containing Si and Rb.

圖1展示包括根據本發明之一實施例的多層反射器之微影系統。微影系統包含輻射源SO及微影裝置LA。輻射源SO經組態以產生遠紫外(EUV)輻射光束B。微影裝置LA包含照明系統IL、經組態以支撐圖案化器件MA (例如,遮罩)之支撐結構MT、投影系統PS,及經組態以支撐基板W之基板台WT。照明系統IL經組態以在輻射光束B入射於圖案化器件MA上之前調節輻射光束B。投影系統經組態以將輻射光束B (現在由遮罩MA而圖案化)投影至基板W上。基板W可包括先前形成之圖案。在此種狀況下,微影裝置將經圖案化輻射光束B與先前形成於基板W上之圖案對準。 輻射源SO、照明系統IL及投影系統PS可皆經建構且經配置使得其可與外部環境隔離。處於低於大氣壓力之壓力下之氣體(例如,氫氣)可提供於輻射源SO中。真空可提供於照明系統IL及/或投影系統PS中。在遠低於大氣壓力之壓力下之少量氣體(例如,氫氣)可提供於照明系統IL及/或投影系統PS中。 圖1中所展示之輻射源SO屬於可被稱作雷射產生電漿(LPP)源之類型。可例如為CO2 雷射之雷射1經配置以經由雷射光束2將能量沈積至諸如錫(Sn)之燃料中,該燃料自燃料發射器3提供。儘管在以下描述中提及錫,但可使用任何合適之燃料。燃料可(例如)呈液體形式,且可(例如)為金屬或合金。燃料發射器3可包含經組態以導引例如呈小滴形式之錫沿著軌道朝向電漿形成區域4之噴嘴。雷射光束2在電漿形成區域4處入射於錫上。雷射能量沈積至錫中在電漿形成區域4處產生電漿7。包括EUV輻射之輻射在電漿之離子之去激發及重組期間自電漿7發射。 EUV輻射係由近正入射輻射收集器5 (有時更通常被稱作正入射輻射收集器)收集及聚焦。收集器5可具有經配置以反射EUV輻射(例如,具有諸如13.5 nm之所需波長之EUV輻射)的多層結構(在下文中進一步描述)。收集器5可具有橢圓形組態,其具有兩個橢圓焦點。第一焦點可處於電漿形成區4處,且第二焦點可處於中間焦點6處,如下文所論述。 雷射1可與輻射源SO分離。在此種狀況下,雷射光束2可憑藉包含(例如)合適導引鏡面及/或光束擴展器及/或其他光學件之光束遞送系統(未展示)而自雷射1傳遞至輻射源SO。雷射1及輻射源SO可一起被認為是輻射系統。 由收集器5反射之輻射形成輻射光束B。輻射光束B聚焦在點6處以形成充當照明系統IL之虛擬輻射源之電漿形成區域4之影像。輻射光束B聚焦之點6可被稱作中間焦點。輻射源SO經配置使得中間焦點6位於輻射源之圍封結構9中之開口8處或附近。 輻射光束B自輻射源SO傳遞至照明系統IL中,該照明系統IL經組態以調節輻射光束。照明系統IL可包括琢面化場鏡面器件10及琢面化光瞳鏡面器件。琢面化場鏡面器件10及琢面化光瞳鏡面器件11共同提供具有所需橫截面形狀及所需角度分佈之輻射光束B。輻射光束B自照明系統IL傳遞且入射於由支撐結構MT固持之圖案化器件MA上。圖案化器件MA反射且圖案化輻射光束B。照明系統IL可包括除琢面化場鏡面器件10及琢面化光瞳鏡面器件11之外或並非琢面化場鏡面器件10及琢面化光瞳鏡面器件11的其他鏡面或器件。琢面化場鏡面器件10、琢面化光瞳鏡面器件11及照明系統之其他反射器可具有如下文進一步描述之多層結構。 在自圖案化器件MA反射之後,經圖案化輻射光束B進入投影系統PS。圖案化器件可包括具有如下文進一步描述之多層結構之反射器。投影系統包含複數個鏡面,該複數個鏡面經組態以將輻射光束B投影至由基板台WT固持之基板W上。投影系統PS可將減小因數應用於輻射光束,從而形成具有小於圖案化器件MA上之對應特徵之特徵的影像。舉例而言,可應用為4之減小因數。儘管投影系統PS在圖1中具有兩個鏡面,但投影系統可包括任何數目個鏡面(例如,六個鏡面)。鏡面及投影系統PS之任何其他反射器可具有如下文進一步描述之多層結構。 圖2展示具有圖1中展示的輻射源之替代組態之雷射產生電漿(LPP)輻射源SO。輻射源SO包括經組態以將燃料遞送至電漿形成區域4之燃料發射器3。燃料可例如為錫,但可使用任何合適之燃料。預脈衝雷射16發射預脈衝雷射光束17,預脈衝雷射光束17入射於燃料上。預脈衝雷射光束17用以預加熱燃料,藉此改變燃料之性質,諸如,燃料之大小及/或形狀。主雷射18發射在預脈衝雷射光束17之後入射於燃料上之主雷射光束19。主雷射光束將能量遞送至燃料且藉此將燃料轉換成EUV輻射發射電漿7。 可為所謂的掠入射收集器之輻射收集器20經組態以收集EUV輻射,且將EUV輻射聚焦於可被稱作中間焦點之點6處。因此,輻射發射電漿7之影像形成在中間焦點6處。輻射源SO之圍封體結構21包括在中間焦點6處或附近之開口22。EUV輻射穿過開口22到達微影裝置(例如,屬於圖1中所示意性地展示之形式)之照明系統。 輻射收集器20可為巢套式收集器,其具有複數個掠入射反射器23、24及25 (例如,如示意性地所描繪)。掠入射反射器23、24及25可經安置成圍繞光軸O軸向地對稱。所說明輻射收集器20僅僅作為實例被展示,且可使用其他輻射收集器。 污染物截留器26位於電漿形成區域4與輻射收集器20之間。污染物截留器26可例如為旋轉箔片截留器,或可為任何其他合適形式之污染物截留器。在一些實施例中,可省略污染物截留器26。 輻射源SO之圍封體21包括預脈衝雷射光束17可傳遞至電漿形成區域4所通過的窗口27,及主雷射光束19可傳遞至電漿形成區域所通過的窗口28。鏡面29係用以將主雷射光束19經由污染物截留器26中之開口而導引至電漿形成區域4。 圖1及圖2中所展示之輻射源SO可包括未說明之組件。舉例而言,光譜濾光器可提供於輻射源中。光譜濾光器可實質上透射EUV輻射,但實質上阻擋其他波長之輻射,諸如,紅外線輻射。 圖3描繪根據本發明之一實施例之多層反射器30。多層反射器30包含複數個交替的高折射率層32 (有時被稱作間隔層)及低折射率層34 (有時被稱作折射層)。一對鄰近層在本文中被稱作週期。週期之厚度大致等於需要反射之輻射之波長之一半(λ/2)(例如,6.9 nm)以反射在13.5 nm下之EUV輻射。多層反射器充當分佈式布拉格反射器,在高折射率層與低折射率層之間的每一邊界處所反射之輻射之間具有相長干擾。多層反射器可形成於基板38上且可具備罩蓋層36。罩蓋層36可由各種已知材料形成且有助於保護多層反射器免受化學及物理損壞影響。 在本發明之實施例中,低折射率層為Mo且高折射率層為矽,其中至少一個高折射率層已添加銣(Rb)。理想地,至少50%、至少80%、至少90%或所有高折射率層已添加銣。在並非所有高折射率層具有銣之實施例中,具有銣之層較佳地為最接近於入射表面之彼等者。相較於習知Mo/Si多層反射器,經添加Rb增大多層反射器之反射率及熱穩定性兩者。因此,本發明藉由矽化銣替代矽層且所得多層反射器具有Mo/Rbx Siy 之通式結構。Rb之使用產生四個值得注意的優點。 首先,Rb在Mo中之溶解性經預測為缺陷受控的且可假定幾乎為零(參見W.Moffatt,The Handbook of Binary Phase Diagrams,Genium Pub公司,美國,1984)。另一方面,Rb極具反應性且與Si生成強鍵結。RbSi之形成之焓在撰寫時尚未報告在文獻中,但對於密切相關的化合物RbGe (其具有類似於RbSi之秦特(zintl)晶體結構),值為-100千焦/莫耳(參見J.Sangster及A.D.Pelton,Journal of Phase Equilibria 18 (1997) 298)。應注意,此處所表示之形成能量以RbSi (或RbGe)『分子』之千焦/莫耳為單位。已知鹼金屬之秦特鍺化物相較於其矽化物對應物略微較為穩定(參見E.Hohman,Z.Anorg.Allg.Chem.257 (1948) 113)。另外,矽化鋇(其亦具有秦特晶體結構)之形成自由能已報告為鍺化鋇之約80% (參見H.Peng等人,Physics Letters A 374 (2010) 3797)。因此,將RbSi之形成能量預測為-80 千焦/莫耳將為安全的。 存在具有以下形成焓之三個已知矽化鉬相(H.Fujiwara,Y.Ueda,J.Alloys Compd.441 (2007) 168): 1/4 Si + 3/4 Mo → 1/4 Mo3 Si     ΔH0 r = 1/4 ΔH0 f (Mo3 Si) = 1/4 (-122.1 千焦/莫耳) =  -30.5 千焦/Mox Siy 中原子莫耳 3/8 Si + 5/8 Mo → 1/8 Mo5 Si3 ΔH0 r = 1/8 ΔH0 f (Mo5 Si3 ) = 1/8 (-313.5 千焦/莫耳) =  -39.2 千焦/Mox Siy 中原子莫耳 2/3 Si + 1/3 Mo → 1/3 MoSi2 ΔH0 r = 1/3 ΔH0 f (MoSi2 ) = 1/3 (-135.8 千焦/莫耳) =  -45.3 千焦/Mox Siy 中原子莫耳 選擇莫耳係數使得在每一反應方程式之任一側處存在一莫耳之原子,且因此反應焓在此處按Mox Siy 中每莫耳之原子表示。負焓值展示全部三種矽化鉬相相較於其元素組分較為穩定。MoSi2 具有每莫耳之原子的最高負形成焓,且此亦為在Mo/Si多層反射器中形成之主要及最穩定的矽化物相,從而在Mo/Si界面處引起顯著的銳度損耗。然而,若吾人用RbSi替換Si,則用於形成矽化鉬相之反應焓大幅度改變: 1/4 RbSi + 3/4 Mo → 1/4 Mo3 Si + 1/4 Rb     ΔH0 r = 1/4 (-122.1) - 1/4 (-80.0) =  -10.5 千焦/Mox Siy 中原子莫耳 (65%降低) 3/8 RbSi + 5/8 Mo → 1/8 Mo5 Si3 + 3/8 Rb     ΔH0 r = 1/8 (-313.5) - 3/8 (-80.0) =  -9.2 千焦/Mox Siy 中原子莫耳 (76%降低) 2/3 RbSi + 1/3 Mo → 1/3 MoSi2 + 2/3 Rb ΔH0 r = 1/3 (-135.8) - 2/3 (-80.0) = +8.0 千焦/Mox Siy 中原子莫耳 (完全抑制)。 此等資料展示Si層中Rb之存在應抑制Si與Mo之間的互混及矽化鉬相在每一Si/Mo界面處之形成兩者。更確切而言,Mo/RbSi多層反射器中之Mo3 Si及Mo5 Si3 形成背後的驅動力將比Mo/Si系統低65%及76%。同時,歸因於反應之正焓,將完全抑制大多數成問題的矽化物(亦即,MoSi2 )之形成。換言之,Rb之存在將熱力地改良及保護Mo/Rbx Siy 界面之銳度(及因此反射率)。此亦將暗示熱/化學穩定性之顯著提高及因此多層反射器之使用期限之顯著提高。 其次,對於反射EUV光,元素Rb相較於Si具有優良光學性質。此主要歸因於Rb相較於Si對於13.5 nm光之較低光譜吸收率,如圖4中所示。理論上,Mo/Rb多層反射器可達至大於77%之EUV反射率。令人遺憾地,Mo/Rb多層反射器由於Rb具有僅39.3℃之熔點而無法用於實踐。然而,矽化銣之所有相預期具有高於600℃之熔點(參見上文所引用之Sangster等人文獻)。在EUV能譜中,化合物之光學性質(確切而言,折射率n)可經估計為個別組分之線性函數(參見D.L.Windt,Computers in Physics 12 (1998) 360):其中ρ為密度,f1 f2 為原子散射因數,總和包括構成化合物之化學元素中之每一者,xj 為每一元素之相對濃度,及Aj 為相關聯的原子密度;eme cNa 分別為電子電荷、電子質量、光速及亞佛加厥數(Avogadro's number)。 因此,Rbx Siy 相之折射率將取決於其化學計量比率及密度。為了給出實例,Mo/RbSi多層反射器之反射率經預測高於72.9% (雙層數=100,雙層厚度=6.9 nm,Mo層與雙層厚度比率γ=1/3,界面粗糙度=4 Å,RbSi密度=2.72 gr/cm3 -參見H.G.von Schnering等人,Z.Kristallogr.NCS 220 (2005) 525)。應注意,此值低於普通Mo/Si多層反射器之最大理論反射率(74.77%)。然而,如先前所提及,Mo/Si系統之熱力不穩定性導致界面銳度之損耗及因此降至約70%之反射率損耗。相比之下,Mo/Rbx Siy 之熱力穩定性應保護界面之銳度及防止反射率之損耗。應注意,由於吾人仍已假定在所有界面處之4 Å之粗糙度/擴散度(約3個單層),因此Mo/RbSi多層反射器之上文所提到的72.9%反射率之計算係基於對界面之銳度之適中估計。 第三,經由「化學位移」改良多層反射器之反射率。已知化學鍵可位移原子之電子能階。此效應通常被稱為「化學位移」(CS)。 圖5展示Mo與Si之間的折射率差(對比度)(實線),其光譜吸收率之總和(點線)及具有6.9 nm週期,γ=0.4之Mo/Si多層鏡面之反射率(陰影區)。定性言之,多層反射器對於13.5 nm波長光之較高對比度及較低吸收率將導致多層反射器對於此波長之較高反射率。對於較低能量之輻射(朝向矽之L3 吸收邊緣(定位在約99 eV處)),Mo及Si之吸收率總和(點線)下降。此似乎暗示對於愈接近於L3 邊緣的光能量,Mo/Si多層反射器將具有愈高反射率。令人遺憾地,情況並非如此,因為Mo/Si對比度(實線)對於愈接近於L3 邊緣的光能量亦減小。然而,藉由將矽之L3 邊緣(對應於Si2p2 / 3 電子軌域)位移至較低能階,可對於13.5 nm波長增大折射率差值,同時保持總吸收率幾乎恆定(參見圖6,其對應於圖5但具有Si2p 軌域之假想-4 eV化學位移)。此將導致對於13.5 nm輻射之較高反射率。 由於Rb具有週期表中最低負電性值中的一者,因此Rbx Siy 矩陣(為離子固體)中之矽原子變得帶負電。此應推動矽之電子能階(包括Si2p 軌域)朝向較低鍵結能量。預期能階之改變將至少在一定程度上反映在L3 吸收邊緣之向下位移中。此情形源於具有較高鍵結能量之軌域(此處,更接近於核心之Si2p 軌域)之化學位移通常大於具有較低鍵結能量之軌域(此處,未佔據軌域)之化學位移的事實。L3 邊緣之位移應提高此矽化物中Si之折射率(之實部),而其吸收率幾乎保持恆定。藉由方程式1所計算之有效複折射率並不考慮由藉由Rb形成化合物引起的Si2p 能階之此化學位移之有益效應。Si2p 軌域之化學位移將取決於Rbx Siy 中Si之氧化狀態及矽化物相之晶格結構。需要X射線光電子光譜實驗或密度函數理論計算以判定用於特定Rbx Siy 膜的Si2p 能階之CS之精確值及L3 吸收邊緣之所得位移。 Si L-邊緣位移之確切定量取決於矽化物內原子之配置及界限之性質。定性地,吸收邊緣之化學位移與吸收離子之有效電荷成比例(參見M.N.Ghatikar,B.D.Padalia及R.M.Nayak,「Chemical shifts and effective charges in ternary and complex systems」,J.Phys.C:Solid State Phys.,10 (1977) 4173至4180)。預期對於Rbn Sim ,至Si之負電荷轉移較強,由於Rb具有週期表中最低負電性值中的一者。絮歇(Suchet)的經驗規則近似得出二元化合物中離子之有效電荷q ,如:其中zrn 表示電子之總數目、離子半徑及離子之氧化狀態。此處,ac 下標表示陰離子及陽離子。對於RbSi,Rb之經預測有效電荷(Å、)及Si (Å、)為每原子電子。此處,對於,已經使用Rb+ 1 及Si- 1 之鮑林(Pauling)離子半徑(參見Inorganic Chemistry:Principles of Structure and Reactivity,第4版,HarperCollins,美國,紐約,1993中之J.E.Huheey,E.A.Keiter及R.L.Keiter;J.E.Huheey,Inorganic Chemistry:Principles of structure and reactivity,第3版,Harper International,紐約,1983,ISBN 0-06-042987-9)。自SiC、Si3 N4 、SiO2 及SiF4 之實驗L-邊緣吸收率資料(參見I.Waki及Y.Hirai,「The silicon L-edge photoabsorption spectrum of silicon carbide」,J.Phys.:Condens.Matter 1 (1989) 6755至6762),L-邊緣化學位移與有效電荷之間的比例因數經估計為每電子每原子1.24 eV (對於線性擬合,R2 =0.12)。此暗示RbSi中Si L-邊緣之約-1.0 eV化學位移。 可計算出,相較於當前目前先進技術(亦即,Mo/B4 C/Si/B4 C多層反射器之70.15%反射率),此化學位移應將12.5 nm至14.5 nm範圍內之單個多層反射器之積分反射率改良約0.27%之額外量且將一系列10個鏡面之積分反射率改良0.58%。因此,在相同EUV光電源功率,但使用Mo/RbSi多層反射器而非Mo/Si多層反射器之情況下,EUV微影裝置之輸貫量可提高60%或多於60%。 第四,Rb之添加允許多層反射器之進一步最佳化。對於為Mo層與均勻Rbx Siy 層之組合的多層反射器,總體反射率及熱/化學穩定性為Rbx Siy 化學計量及矽化物相(例如,Rb4 Si4 、Rb6 Si46 等)之晶體結構之函數。矽化物相可為非晶形的且由Rb與Si之間的任何化學計量比率構成。可經最佳化以得到最高反射率及穩定性之額外可能性為在多層反射器中使用多個矽化物相,例如{Mo/Rb4 Si4 /Rb6 Si46 /Rb4 Si4 }n 、{Mo/Rb4 Si4 /Si/Rb4 Si4 }n 、{Mo/Rb6 Si46 /Si/Rb6 Si46 }n 等(其中{…/…/…/…}n 表示週期之層,n為週期數)。在此實施例中,高Rb含量矽化物間層用作擴散障壁以保護具有較低Rb含量之核心矽層或核心矽化物層免於與Mo直接接觸。此策略可係實用的,由於儘管與Mo結合,具有較高Rb含量之Rbx Siy 相將具有較高穩定性,但相較於純矽或具有較低Rb含量之矽化物,Rbx Siy 相亦傾向於具有較高密度。因此,藉由使得Si (或低Rb濃度矽化物)層包夾於高Rb濃度矽化物間層之間,有可能獲得具有反射率與穩定性之甚至更優良組合之多層反射器。 為了給出實例,具有結構{Mo[22.6 Å]/B4 C[3.0 Å]/Si[40.40 Å]/B4 C[3.0 Å]}100 之多層反射器對於13.5 nm光之最大理論反射率經預測大於74.75% (假定所有界面極佳地銳利且平坦)。然而,藉由用Rb4 Si4 替換B4 C擴散障壁,反射率可升高高於75.35%,此反映Rb4 Si4 相較於B4 C之優良光學性質。此反射率甚至高於{Mo[22 Å]/Rb4 Si4 [46 Å]}100 多層反射器之最大理論反射率,其為74.74% (同樣假定所有界面極佳地銳利且平坦,且忽略化學位移)。儘管如此,預期{Mo/Rb4 Si4 /Si/Rb4 Si4 }相較於{Mo/Rb4 Si4 }具有稍微較低熱力穩定性,由於Rb4 Si4 間層中之Rb可擴散至Si核心層中,此將給予間層中剩餘Si朝向Mo擴散及形成MoSi2 的機會。 概述而言,添加銣至Mo/Si多層反射器之Si層之效應包括: 1 - 熱力地改良及保護多層反射器界面之銳度。此導致: a - 藉由抑制Si與Mo之間的互混及藉由抑制MoSi2 在界面處之形成而提高多層反射器之反射率。 b- 歸因於Mo/Rbx Siy 系統之增強型熱及化學穩定性而提高多層反射器在高溫下之使用期限。 2 - 藉由由於用Rb形成化合物所引起的Si2p 軌域之負化學位移提高RbSi層中Si之折射率而提高Mo/Si多層反射器之反射率。 藉由在Mo/Si多層反射器中使用銣添加物,自光源轉移至基板的EUV光之強度及因此EUV微影裝置之輸貫量可改良至少45%。(比較Mo/B4 C/Si/B4 C之70.15%之所報告世界記錄反射率與Mo/RbSi/Mo多層鏡面之72.9%之經預測反射率,假定雙層數=100,雙層厚度=6.9 nm,Mo層與雙層厚度比率γ=1/3,界面粗糙度/擴散度=4 Å,RbSi密度=2.72 gr/cm3 ) 諸如B4 C及碳之不同間層已經用作Si層與Mo層之間的擴散障壁,以便動力地防止MoSi2 之形成。在此途徑中,MoSi2 仍傾向於形成但僅在較慢速度下形成。本發明在熱力地防止MoSi2 形成之意義上為優良的。因此,不存在MoSi2 形成之驅動力。與Rb (其相較於Si具有優良光學性質)形成對比,B及C兩者均導致Si層之光學性質之輕微降級。Si層中Rb之存在亦更改Si層之光學性質,以使得除上文所描述之有益、熱力效應之外其亦引起較高反射率。 根據本發明之另一實施例,氫包括於高折射率層中。將氫引入至高折射率層可減小其密度及提高多層反射器之反射率。Si層中Rb之存在可顯著刺激Si層之氫吸取。在室溫下,RbSi可吸收高達60 at% (原子%)之濃度的氫。藉由簡單地將RbSi曝露於僅40毫巴壓力之氫氣而實現此情形。所得RbSiH3 相具有比純Si之密度小20%的僅1.84 gr/cm3 之密度(參見W.S.Tang,J.-N Chotard,P.Raybaud,R.Janot,J.Phys.Chem.C,118 (2014) 3409)。密度(連同Rb之其他益處)之此減小可導致單個Mo/RbSiH3 多層反射器之反射率提高達至76.1% (雙層數=100,雙層厚度=6.9 nm,Mo層與雙層厚度比率γ=1/3,界面粗糙度/擴散度=4 Å)。 圖7展示隨溫度及氫氣壓力而變的RbSi與RbSiH3 相之間的相邊界。在室溫下,僅40毫巴之氫氣壓力足以使RbSi變成RbSiH3 及穩定氫化物相。此亦暗示若Mo/RbSiH3 結構中存在空隙或裂縫,則氫氣之偏析及釋放至空隙中可導致空隙內部僅40毫巴之氫壓力。此對應於遠小於所涉及之固體材料及其界面之典型斷裂強度(其處於MPa至GPa範圍內)之4.0 KPa應力。因此,儘管具有30 at.% H的植入氫之矽易於H偏析及起泡,但具有60 at.% H的RbSiH3 中H2 之穩態壓力太低以至於不會引起空隙之成核及/或生長及導致起泡損壞。 在特定EUV微影裝置中Mo/RbSi或Mo/RbSiH3 多層反射器是否較為合適取決於操作溫度及圍繞彼裝置中之多層反射器之H自由基之活性。若在微影裝置中提供H自由基產生器(例如,出於Sn清潔目的),則預期增強的H活性會穩定RbSiH3 相。此將為有益的,由於Mo/RbSiH3 多層反射器相較於Mo/RbSi及Mo/Si多層反射器兩者將具有顯著較高反射率(76.1%,分別相較於72.9%及70.15%)。因此,在微影裝置中用Mo/RbSiH3 多層反射器替換10個Mo/Si多層反射器將導致基板上EUV光之強度的多於125%之提高。 根據本發明之一實施例,用於反射13.5 nm EUV光之Mo/RbSiH3 多層反射器具有優於傳統的Mo/Si多層反射器之若干優點。 如上文所論述,Rb之存在將Mo/Si多層反射器之反射率自70.15%提高至72.9%,由於:1) 作為多層反射器中Mo層之間的間隔原子,所添加的Rb原子具有優於Si之光學性質的光學性質;2) Rb之存在由於Si2p 軌域之負化學位移而提高RbSi層中Si之折射率;以及3)矽化銣之形成抑制Si與Mo之間的互混及MoSi2 之形成,且因此保護多層反射器中所有界面之銳度。後一性質亦將歸因於Mo/Rbx Siy 系統相較於Mo/Si之增強型熱及化學穩定性而導致多層反射器在高溫下之使用期限之預期提高。 可歸因於經由氫之吸取降低高折射率層之密度而實現三個額外益處。 首先,Si高折射率層中Rb之存在經由RbSiH3 相之自發形成而刺激氫吸取達至60 at.%。此氫化物相之密度比純Si之密度低約20%,此使整個多層反射器之反射率升高達至76.1%。此為相較於Si層之H植入之替代途徑的顯著改良,此可導致7%之密度降低,但已知並不提高反射率,很可能歸因於離子轟擊誘發之界面粗糙化(V.Rigato等人,Surf.Coat.Tech.174至175 (2003) 40)。 其次,可藉由簡單地在相對低壓力下將RbSi曝露於氫氣而實現RbSi相之達至60 at.%的氫吸取。對於純矽之狀況,氫之溶解性僅為3至4 at.%。在純矽中達成較高H含量之唯一方式為使用上文所提及之具有難以解決的結果之氫離子植入迫使H原子進入Si層中。 第三,不同於植入H之Si層(其過飽和及熱力地不穩定),RbSiH3 相在僅40毫巴之氫壓力下在室溫下為穩定的。此轉化為在多層反射器結構中之潛在性空隙及裂縫內部僅4.0 KPa之低H2 壓力,該壓力將太低以至於不會引起多層反射器中之起泡損壞。 本發明之另一態樣提供包含Mo/RbH之多層堆疊之多層層鏡面。 元素Rb與氫氣之反應導致RbH相之形成(NaCl晶體結構類型),其具有2.60 gr·cm- 3 (2600 kg/m3 )之密度及443 K之分解溫度。類似於RbSi,RbH與空氣接觸時強烈反應(參見D.R.Lide,Handbook of Chemistry and Physics (87版),Boca Raton,FL:CRC出版社,(1998)第4至79頁)。此處,分別為反應之標準焓及熵(298.15 K,101.3 kPa)。此反應中之熵項無法忽略,因為其涉及氫自氣相中之分子形式至固相中之原子填隙形式之改變。自Rb及RbH之標準莫耳熵(亦即,)計算形成RbH之標準熵。未報告關於RbH的熱力資料之誤差。為了安全起見,吾人已假定誤差為標準焓及熵值之10%。 為了檢查RbH對於EUV MLM應用之相容性,檢查隨溫度而變的RbH之穩態氫壓力為至關重要的。每種材料不可避免地具有多個空腔或微裂。若在給定溫度下之穩態H2 壓力高於裂縫之生長所需的應力(斷裂強度),則H2 氣體釋放至此等空隙中可導致起泡及MLM失效。使用形成RbH之標準焓及熵,有可能計算隨溫度(T )而變之氫氣之穩態壓力():此處,R 為氣體常數,及k 為反應中H2 之莫耳係數。對於與EUVL相關的溫度範圍,小於1E-6 Pa。此值遠低於固體之典型斷裂強度(MPa至GPa之範圍)。因此,自此態樣,RbH在MLM中之應用似乎為安全的。 此外,不預期Mo/RbH MLM經歷任何互混,由於Rb及H均不與Mo形成任何化合物,及其在Mo中之溶解性為可忽略的。出於此原因,吾人預期將充分抑制在Mo/RbH界面處之互混及熱力地保護界面銳度。此暗示可假定界面之σ在其最小可能值處(亦即,2.0 Å)。 此可藉由計算σ=4.5 Å (對應於互混之僅50%減小)之Mo/RbH MLM之反射率值進行確認。結果呈現在表3中,表3給出在存在及不存在B4 C保護性間層之情況下Mo/RbA (A=Si、H及SiH3 ) MLM相較於Mo/Si之所計算反射率值(正入射角,13.5 nm波長光)。考慮在界面處之互混之效應。對於每一Mo/Rbn Am MLM,呈現兩組反射率值:具有低σ值之一組反射率值,對於其自熱力分析導出對互混驅動力之抑制;及具有較高σ值之另一組反射率值,其中假定抑制僅為第一組的抑制之一半。對於每一MLM,最佳化dΓ 組合以在13.5 nm波長下達至最高可能峰值反射率。對於所有狀況,N=100及假定基板及頂部表面兩者之σ為2.0 Å。對於不具有間層之Mo/Si (根據其標準化其他積分反射率值),單個鏡面及10個連續鏡面之在12.5 nm至14.5 nm範圍內之積分反射率分別為37.6及0.584[% nm]。參見補充資訊中反射率峰值之圖。 儘管對於Mo/RbSi、Mo/RbH及Mo/RbSiH3 MLM,反射率值具有遞增次序,但其熱穩定性按降序,因為RbSi、RbH及RbSiH3 分別在623、443及401 K[34]下分解。 在EUVL機器之環境下,預期RbH及RbSiH3 相兩者相對於Rb及RbSi相較為穩定。在MLM中使用RbSiH3 或RbH層而非Si可減少或消除對於當前Mo/Si MLM而言常見之氫起泡問題。RbH及RbSiH3 (在適當之服務溫度下)之穩態H2 壓力相當低,且預期此等相中之H擴散較高(尤其對於RbSiH3 ,歸因於H原子之高濃度、低氫化自由能及其開放式晶體結構)。此為額外優點,由於其創造了MLM裂縫中之過量H2 壓力或額外H原子(其藉由H+轟擊而被迫至MLM中)可經由RbSiH3 層有效地排出至MLM之外,因此防止起泡之出現的可能性。另一方面,對於純Si層,低H溶解性及擴散係數導致氫之聚積及最終導致起泡。 根據本發明之一實施例,提議將RbH間層添加至具有包含Si及Rb之高折射層之多層鏡面。此類間層抑制熱力地驅動之間層混合。RbH間層可具有在自0.1 nm至0.4 nm範圍內,理想地約0.2 nm之厚度。 藉由實施所提議Mo/RbSi、Mo/RbH及Mo/RbSiH3 (後者具有RbH間層) MLM或其組合,總EUV輸貫量相對於當前Mo/Si MLM解決方案可提高高達2倍。 另外,使用RbH或RbSiH3 相可創造減少或消除對於當前Mo/Si MLM常見之氫起泡問題的新可能性。 在本發明之實施例中,多層反射器為用於圖案化EUV光束之反射遮罩。用於EUV之反射遮罩包含確切如上文所描述之多層反射器,其中經圖案化吸收器層提供於入射表面上。經圖案化吸收器層經設計成以使得所需圖案可轉印至基板的方式曝露輻射敏感層。吸收器層中之圖案不一定直接對應於轉印至基板之圖案。吸收器層中之圖案可包括非印刷光學近接校正(OPC)特徵及/或特徵大小(例如,線寬)之變化以補償顯影及圖案轉印步驟之效應。 根據本發明之一實施例之多層反射器可使用已知用於製造Mo/Si多層反射器之習知技術製造。形成Mo層之製程不存在改變。為了形成含有Rb之Si層,可使用使用含有適當比例的Si及Rb之標靶的諸如脈衝雷射沈積或濺鍍沈積之沈積技術。為了氫化Rbx Siy 層,可在氫氣氛圍下執行沈積。一般而言,不需要採取特定措施以確保Mo層與Rbx Siy 層之間的黏著;凡得瓦爾力為足夠的。 在實施例中,本發明可形成遮罩檢測裝置之部分。遮罩檢測裝置可使用EUV輻射以照明遮罩且使用成像感測器以監視自遮罩反射之輻射。由成像感測器接收的影像用於判定遮罩中是否存在缺陷。遮罩檢測裝置可包括上文所描述之類型之多層反射器,其經組態以自EUV輻射源接收EUV輻射且使EUV輻射形成為待導引遮罩之輻射光束。遮罩檢測裝置可進一步包括上文所描述之類型之多層反射器,其經組態以收集自遮罩反射之EUV輻射且在成像感測器處形成遮罩之影像。遮罩檢測裝置可包括處理器,該處理器經組態以分析成像感測器處之遮罩之影像且自彼分析判定遮罩上是否存在任何缺陷。處理器可經進一步組態以判定在由微影裝置使用遮罩時經偵測到之遮罩缺陷是否將在投影至基板上之影像中造成不可接受的缺陷。 在實施例中,本發明可形成度量衡裝置之部分。度量衡裝置可用於量測形成於基板上之抗蝕劑中之投影圖案相對於已經存在於基板上之圖案之對準。相對對準之此量測可被稱作疊對。度量衡裝置可(例如)經定位成緊鄰於微影裝置且可用以在基板(及抗蝕劑)已被處理之前量測疊對。度量衡裝置可使用EUV輻射以用於提高解析度且因此可使用上文所描述之類型之多層反射器以形成及導引EUV輻射光束。 儘管可在本文中特定地參考在微影裝置之內容背景中之本發明之實施例,但本發明之實施例可用於其他裝置中。本發明之實施例可形成遮罩檢測裝置、度量衡裝置或量測或處理諸如晶圓(或其他基板)或遮罩(或其他圖案化器件)之物件之任何裝置之部分。此等裝置可通常被稱作微影工具。此微影工具可使用真空條件或環境(非真空)條件。 本發明亦可應用於在除EUV微影之外的其他領域中(例如,在用於天文應用之X射線光學件中)使用之多層反射器。 術語「EUV輻射」可被認為涵蓋具有在5 nm至20 nm之範圍內(例如,在13 nm至14 nm之範圍內)之波長之電磁輻射。EUV輻射可具有小於10 nm之波長,例如,在5 nm至10 nm之範圍內之波長(諸如,6.7 nm或6.8 nm)。 儘管圖1及圖2將輻射源SO描繪為雷射產生電漿LPP源,但可使用任何合適源以產生EUV輻射。舉例而言,可藉由使用放電以將燃料(例如,錫)轉換成電漿狀態來產生EUV發射電漿。此類型之輻射源可被稱作放電產生電漿(DPP)源。可由電源供應器產生放電,該電源供應器可形成輻射源之部分,或可為經由電連接而連接至輻射源SO之單獨實體。 儘管可在本文中特定地參考在IC製造中微影裝置之使用,但應理解,本文中所描述之微影裝置可具有其他應用。可能的其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等。 儘管上文已描述本發明之特定實施例,但將瞭解,可以與所描述不同之其他方式來實踐本發明。以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。1 shows a lithography system including a multilayer reflector in accordance with an embodiment of the present invention. The lithography system comprises a radiation source SO and a lithography device LA. The radiation source SO is configured to produce a far ultraviolet (EUV) radiation beam B. The lithography apparatus LA includes a lighting system IL, a support structure MT configured to support a patterned device MA (eg, a mask), a projection system PS, and a substrate table WT configured to support the substrate W. The illumination system IL is configured to adjust the radiation beam B before the radiation beam B is incident on the patterned device MA. The projection system is configured to project a radiation beam B (now patterned by the mask MA) onto the substrate W. The substrate W may include a previously formed pattern. In this case, the lithography device aligns the patterned radiation beam B with the pattern previously formed on the substrate W. The radiation source SO, the illumination system IL, and the projection system PS can all be constructed and configured such that they can be isolated from the external environment. A gas (e.g., hydrogen) at a pressure below atmospheric pressure may be provided in the radiation source SO. Vacuum can be provided in the illumination system IL and/or the projection system PS. A small amount of gas (eg, hydrogen) at a pressure well below atmospheric pressure may be provided in the illumination system IL and/or the projection system PS. The radiation source SO shown in Figure 1 is of a type that can be referred to as a laser generated plasma (LPP) source. Can be, for example, CO2 The laser 1 of the laser is configured to deposit energy via a laser beam 2 into a fuel such as tin (Sn) that is supplied from the fuel emitter 3. Although tin is mentioned in the following description, any suitable fuel may be used. The fuel can, for example, be in liquid form and can be, for example, a metal or an alloy. The fuel emitter 3 can include a nozzle configured to direct, for example, tin in the form of droplets along the track toward the plasma forming region 4. The laser beam 2 is incident on the tin at the plasma forming region 4. The deposition of laser energy into the tin produces a plasma 7 at the plasma forming region 4. Radiation including EUV radiation is emitted from the plasma 7 during excitation and recombination of ions of the plasma. The EUV radiation is collected and focused by a near normal incidence radiation collector 5 (sometimes more commonly referred to as a normal incidence radiation collector). The collector 5 can have a multilayer structure (described further below) configured to reflect EUV radiation (eg, EUV radiation having a desired wavelength such as 13.5 nm). The collector 5 can have an elliptical configuration with two elliptical focal points. The first focus may be at the plasma forming zone 4 and the second focus may be at the intermediate focus 6, as discussed below. Laser 1 can be separated from the radiation source SO. In this case, the laser beam 2 can be transmitted from the laser 1 to the radiation source SO by means of a beam delivery system (not shown) comprising, for example, a suitable guiding mirror and/or beam expander and/or other optics. . Laser 1 and the radiation source SO can be considered together as a radiation system. The radiation reflected by the collector 5 forms a radiation beam B. The radiation beam B is focused at point 6 to form an image of the plasma forming region 4 that serves as a virtual radiation source for the illumination system IL. The point 6 at which the radiation beam B is focused may be referred to as an intermediate focus. The radiation source SO is configured such that the intermediate focus 6 is located at or near the opening 8 in the enclosure 9 of the radiation source. The radiation beam B is transmitted from the radiation source SO into the illumination system IL, which is configured to adjust the radiation beam. The illumination system IL can include a faceted field mirror device 10 and a faceted pupil mirror device. The faceted field mirror device 10 and the pupilized pupil mirror device 11 together provide a radiation beam B having a desired cross-sectional shape and a desired angular distribution. The radiation beam B is transmitted from the illumination system IL and is incident on the patterned device MA held by the support structure MT. The patterned device MA reflects and patterns the radiation beam B. The illumination system IL may include other mirrors or devices other than the facetted field mirror device 10 and the pupilized pupil mirror device 11 or not the faceted field mirror device 10 and the pupilized pupil mirror device 11. The faceted field mirror device 10, the pupilized pupil mirror device 11 and other reflectors of the illumination system can have a multilayer structure as further described below. After being reflected from the patterned device MA, the patterned radiation beam B enters the projection system PS. The patterned device can include a reflector having a multilayer structure as described further below. The projection system includes a plurality of mirrors configured to project a radiation beam B onto a substrate W held by a substrate table WT. The projection system PS can apply a reduction factor to the radiation beam to form an image having features that are less than corresponding features on the patterned device MA. For example, a reduction factor of 4 can be applied. Although the projection system PS has two mirrors in Figure 1, the projection system can include any number of mirrors (e.g., six mirrors). Any other reflector of the mirror and projection system PS can have a multilayer structure as further described below. 2 shows a laser generated plasma (LPP) radiation source SO having an alternate configuration of the radiation source shown in FIG. The radiation source SO includes a fuel emitter 3 that is configured to deliver fuel to the plasma forming region 4. The fuel can be, for example, tin, but any suitable fuel can be used. The pre-pulse laser 16 emits a pre-pulsed laser beam 17, which is incident on the fuel. The pre-pulsed laser beam 17 is used to preheat the fuel, thereby altering the properties of the fuel, such as the size and/or shape of the fuel. The main laser 18 emits a main laser beam 19 incident on the fuel after the pre-pulsed laser beam 17. The main laser beam delivers energy to the fuel and thereby converts the fuel into EUV radiation emitting plasma 7. The radiation collector 20, which may be a so-called grazing incidence collector, is configured to collect EUV radiation and focus the EUV radiation at a point 6 that may be referred to as an intermediate focus. Therefore, the image of the radiation-emitting plasma 7 is formed at the intermediate focus 6. The enclosure structure 21 of the radiation source SO includes an opening 22 at or near the intermediate focus 6. The EUV radiation passes through the opening 22 to the illumination system of the lithographic apparatus (e.g., in the form shown schematically in Figure 1). Radiation collector 20 can be a nested collector having a plurality of grazing incidence reflectors 23, 24, and 25 (e.g., as schematically depicted). The grazing incidence reflectors 23, 24, and 25 can be disposed to be axially symmetric about the optical axis O. The illustrated radiation collector 20 is shown by way of example only, and other radiation collectors can be used. A contaminant trap 26 is located between the plasma forming zone 4 and the radiation collector 20. The contaminant trap 26 can be, for example, a rotating foil trap, or can be any other suitable form of contaminant trap. In some embodiments, the contaminant trap 26 can be omitted. The enclosure 21 of the radiation source SO includes a window 27 through which the pre-pulsed laser beam 17 can be passed to the plasma forming region 4, and a window 28 through which the main laser beam 19 can be passed to the plasma formation region. The mirror 29 is used to direct the main laser beam 19 through the opening in the contaminant trap 26 to the plasma forming region 4. The radiation source SO shown in Figures 1 and 2 can include components not illustrated. For example, a spectral filter can be provided in the radiation source. The spectral filter can substantially transmit EUV radiation, but substantially blocks radiation of other wavelengths, such as infrared radiation. FIG. 3 depicts a multilayer reflector 30 in accordance with an embodiment of the present invention. The multilayer reflector 30 includes a plurality of alternating high refractive index layers 32 (sometimes referred to as spacer layers) and a low refractive index layer 34 (sometimes referred to as a refractive layer). A pair of adjacent layers is referred to herein as a cycle. The thickness of the period is approximately one-half (λ/2) (eg, 6.9 nm) of the wavelength of the radiation that needs to be reflected to reflect EUV radiation at 13.5 nm. The multilayer reflector acts as a distributed Bragg reflector with constructive interference between the radiation reflected at each boundary between the high refractive index layer and the low refractive index layer. The multilayer reflector can be formed on the substrate 38 and can be provided with a cap layer 36. The cover layer 36 can be formed from a variety of known materials and helps protect the multilayer reflector from chemical and physical damage. In an embodiment of the invention, the low refractive index layer is Mo and the high refractive index layer is germanium, wherein at least one high refractive index layer has been added with antimony (Rb). Desirably, at least 50%, at least 80%, at least 90%, or all of the high refractive index layers have been added with ruthenium. In embodiments where not all of the high refractive index layers have germanium, the layers having germanium are preferably those closest to the incident surface. Compared to conventional Mo/Si multilayer reflectors, the addition of Rb increases both the reflectivity and thermal stability of the multilayer reflector. Therefore, the present invention replaces the ruthenium layer by ruthenium telluride and the resulting multilayer reflector has Mo/Rbx Siy The general structure. The use of Rb produces four notable advantages. First, the solubility of Rb in Mo is predicted to be defect controlled and can be assumed to be almost zero (see W. Moffatt, The Handbook of Binary Phase Diagrams, Genium Pub, USA, 1984). On the other hand, Rb is extremely reactive and forms a strong bond with Si. The formation of RbSi has not been reported in the literature at the time of writing, but for the closely related compound RbGe (which has a zintl crystal structure similar to RbSi), the value is -100 kJ/mole (see J. Sangster and ADPelton, Journal of Phase Equilibria 18 (1997) 298). It should be noted that the energy formed here is expressed in kilojoules per mole of RbSi (or RbGe) "molecule". It is known that the alkali metal chalcantide is slightly more stable than its telluride counterpart (see E. Hohman, Z. Anorg. Allg. Chem. 257 (1948) 113). In addition, the free energy of formation of bismuth telluride (which also has a Qinte crystal structure) has been reported to be about 80% of bismuth telluride (see H. Peng et al., Physics Letters A 374 (2010) 3797). Therefore, it is safe to predict the formation energy of RbSi to be -80 kJ/mole. There are three known molybdenum molybdenum phases having the following formation enthalpy (H. Fujiwara, Y. Ueda, J. Alloys Compd. 441 (2007) 168): 1/4 Si + 3/4 Mo → 1/4 Mo3 Si ΔH0 r = 1/4 ΔH0 f (Mo3 Si) = 1/4 (-122.1 kJ/m) = -30.5 kJ/Mox Siy Medium Atom Molar 3/8 Si + 5/8 Mo → 1/8 Mo5 Si3 ΔH0 r = 1/8 ΔH0 f (Mo5 Si3 ) = 1/8 (-313.5 kJ/m) = -39.2 kJ/Mox Siy Medium atom Mohr 2/3 Si + 1/3 Mo → 1/3 MoSi2 ΔH0 r = 1/3 ΔH0 f (MoSi2 ) = 1/3 (-135.8 kJ/m) = -45.3 kJ/Mox Siy The atomic mole selects the molar coefficient such that there is one mole of atoms at either side of each reaction equation, and thus the reaction enthalpy is pressed here.x Siy In the atomic representation of each mole. The negative enthalpy shows that all three molybdenum molybdenum phases are more stable than their elemental components. MoSi2 The highest negative enthalpy of formation of atoms per mole, and this is also the predominant and most stable telluride phase formed in the Mo/Si multilayer reflector, resulting in significant sharpness loss at the Mo/Si interface. However, if we replace Si with RbSi, the reaction enthalpy used to form the molybdenum telluride phase changes drastically: 1/4 RbSi + 3/4 Mo → 1/4 Mo3 Si + 1/4 Rb ΔH0 r = 1/4 (-122.1) - 1/4 (-80.0) = -10.5 kJ/Mox Siy Medium atomic molar (65% reduction) 3/8 RbSi + 5/8 Mo → 1/8 Mo5 Si3 + 3/8 Rb ΔH0 r = 1/8 (-313.5) - 3/8 (-80.0) = -9.2 kJ/Mox Siy Medium atomic molar (76% reduction) 2/3 RbSi + 1/3 Mo → 1/3 MoSi2 + 2/3 Rb ΔH0 r = 1/3 (-135.8) - 2/3 (-80.0) = +8.0 kJ/Mox Siy Medium atomic mole (complete suppression). These data show that the presence of Rb in the Si layer should inhibit both the intermixing of Si and Mo and the formation of the molybdenum molybdenum phase at each Si/Mo interface. More specifically, Mo in Mo/RbSi multilayer reflectors3 Si and Mo5 Si3 The driving force behind the formation will be 65% and 76% lower than the Mo/Si system. At the same time, due to the positive reaction, most of the problematic tellurides (ie, MoSi) will be completely suppressed.2 The formation of). In other words, the presence of Rb will thermally improve and protect Mo/Rbx Siy The sharpness of the interface (and therefore the reflectivity). This will also imply a significant increase in thermal/chemical stability and thus a significant increase in the useful life of the multilayer reflector. Secondly, for reflective EUV light, the element Rb has superior optical properties compared to Si. This is mainly due to the lower spectral absorption of Rb compared to Si for 13.5 nm light, as shown in Figure 4. In theory, Mo/Rb multilayer reflectors can achieve EUV reflectivity greater than 77%. Unfortunately, the Mo/Rb multilayer reflector cannot be used in practice because Rb has a melting point of only 39.3 °C. However, all phases of bismuth telluride are expected to have a melting point above 600 °C (see the Sangster et al. reference cited above). In the EUV spectrum, the optical properties of the compound (exactly, the refractive index n) can be estimated as a linear function of the individual components (see D. L. Windt, Computers in Physics 12 (1998) 360):Where ρ is the density,f 1 andf 2 For the atomic scattering factor, the sum includes each of the chemical elements constituting the compound,x j For the relative concentration of each element, andA j For the associated atomic density;e ,m e ,c andN a They are electronic charge, electron mass, speed of light, and Avogadro's number. Therefore, Rbx Siy The refractive index of the phase will depend on its stoichiometric ratio and density. To give an example, the reflectivity of the Mo/RbSi multilayer reflector is predicted to be higher than 72.9% (double layer number = 100, double layer thickness = 6.9 nm, Mo layer to double layer thickness ratio γ = 1/3, interface roughness) =4 Å, RbSi density = 2.72 gr/cm3 - See H. G. von Schnering et al., Z. Kristallogr. NCS 220 (2005) 525). It should be noted that this value is lower than the maximum theoretical reflectance (74.77%) of a conventional Mo/Si multilayer reflector. However, as previously mentioned, the thermal instability of the Mo/Si system results in a loss of interface sharpness and thus a loss of reflectance of about 70%. In contrast, Mo/Rbx Siy The thermal stability should protect the sharpness of the interface and prevent the loss of reflectivity. It should be noted that since we have assumed a roughness/diffusion of 4 Å at all interfaces (about 3 monolayers), the calculation of the 72.9% reflectivity mentioned above for the Mo/RbSi multilayer reflector is Based on a moderate estimate of the sharpness of the interface. Third, the reflectivity of the multilayer reflector is improved by "chemical shift". Chemical bonds are known to displace the electron energy levels of atoms. This effect is often referred to as "chemical shift" (CS). Figure 5 shows the refractive index difference (contrast) between Mo and Si (solid line), the sum of the spectral absorptances (dotted lines) and the reflectivity of the Mo/Si multilayer mirror with a period of 6.9 nm and γ = 0.4 (shadow) Area). Qualitatively, the higher contrast and lower absorption of a multilayer reflector for 13.5 nm wavelength light will result in a higher reflectivity of the multilayer reflector for this wavelength. For lower energy radiation (toward the L3 The absorption edge (positioned at about 99 eV)), the sum of the absorption rates of Mo and Si (dotted line) decreased. This seems to imply that the closer to L3 The light energy at the edge, the higher the reflectivity of the Mo/Si multilayer reflector. Unfortunately, this is not the case, because the Mo/Si contrast (solid line) is closer to L3 The light energy at the edges is also reduced. However, by3 Edge (corresponding to Si2p 2 / 3 The electronic orbital domain shifts to a lower energy level, which increases the refractive index difference for the 13.5 nm wavelength while keeping the total absorption rate almost constant (see Figure 6, which corresponds to Figure 5 but with Si2p Imagination of the orbital domain - 4 eV chemical shift). This will result in a higher reflectivity for 13.5 nm radiation. Since Rb has one of the lowest electronegativity values in the periodic table, Rbx Siy The germanium atoms in the matrix (which is an ionic solid) become negatively charged. This should promote the electronic energy level of 矽 (including Si2p Track domain) towards lower bonding energy. The expected level of change will be reflected at least to some extent in L3 The downward displacement of the absorption edge. This situation stems from the orbital domain with higher bonding energy (here, closer to the core Si)2p The chemical shift of the orbital region is generally greater than the chemical shift of the orbital domain (here, the unoccupied orbital domain) with lower bonding energy. L3 The displacement of the edge should increase the refractive index of Si in the telluride (the real part), while its absorption rate remains almost constant. The effective complex refractive index calculated by Equation 1 does not consider Si caused by the formation of a compound by Rb2p The beneficial effect of this chemical shift of the energy level. Si2p The chemical shift of the orbital domain will depend on Rbx Siy The oxidation state of Si and the lattice structure of the telluride phase. X-ray photoelectron spectroscopy or density function theoretical calculations are required to determine the specific Rbx Siy Membrane Si2p The exact value of the energy level CS and L3 The resulting displacement of the absorption edge. The exact quantification of the Si L-edge displacement depends on the nature of the arrangement and boundaries of the atoms in the telluride. Qualitatively, the chemical shift of the absorption edge is proportional to the effective charge of the absorbed ion (see MNGhatikar, BDPadalia and RMNayak, "Chemical shifts and effective charges in ternary and complex systems", J. Phys. C: Solid State Phys. , 10 (1977) 4173 to 4180). Expected for Rbn Sim The negative charge transfer to Si is stronger because Rb has one of the lowest electronegativity values in the periodic table. The rule of thumb of Suche approximates the effective charge of ions in binary compounds.q ,Such as:among themz ,r andn Indicates the total number of electrons, the ionic radius, and the oxidation state of the ions. Here,a andc Subscripts indicate anions and cations. For RbSi, the predicted effective charge of Rb (,Å,) and Si (,Å,) for each atomandelectronic. Here, forand, already using Rb+ 1 And Si- 1 Pauling ionic radius (see Inorganic Chemistry: Principles of Structure and Reactivity, 4th edition, HarperCollins, USA, New York, 1993 JE Huheey, EAKeiter and RL Keiter; JE Huheey, Inorganic Chemistry: Principles of structure And reactivity, 3rd edition, Harper International, New York, 1983, ISBN 0-06-042987-9). From SiC, Si3 N4 SiO2 And SiF4 Experimental L-edge absorption data (see I. Waki and Y. Hirai, "The silicon L-edge photoabsorption spectrum of silicon carbide", J. Phys.: Condens. Matter 1 (1989) 6755 to 6762), L- The scaling factor between the edge chemical shift and the effective charge is estimated to be 1.24 eV per atom per atom (for linear fit,R 2 =0.12). This implies a chemical shift of about -1.0 eV for the Si L-edge in RbSi. Can be calculated, compared to current state of the art (ie, Mo/B4 C/Si/B4 C. 70.15% reflectivity of the multilayer reflector), this chemical shift should improve the integral reflectivity of a single multilayer reflector in the range of 12.5 nm to 14.5 nm by an additional amount of approximately 0.27% and an integral reflectance of a series of 10 mirrors Improved by 0.58%. Therefore, in the case of the same EUV optical power supply, but using a Mo/RbSi multilayer reflector instead of a Mo/Si multilayer reflector, the EUV lithography apparatus can increase the throughput by 60% or more. Fourth, the addition of Rb allows for further optimization of the multilayer reflector. For the Mo layer and uniform Rbx Siy Multilayer reflector with a combination of layers, overall reflectivity and thermal/chemical stability for Rbx Siy Stoichiometric and telluride phases (for example, Rb4 Si4 , Rb6 Si46 A function of the crystal structure. The telluride phase can be amorphous and consist of any stoichiometric ratio between Rb and Si. An additional possibility that can be optimized for maximum reflectivity and stability is the use of multiple telluride phases in multilayer reflectors, such as {Mo/Rb4 Si4 /Rb6 Si46 /Rb4 Si4 }n , {Mo/Rb4 Si4 /Si/Rb4 Si4 }n , {Mo/Rb6 Si46 /Si/Rb6 Si46 }n Etc. (where {.../.../.../...}n Indicates the layer of the period, n is the number of cycles). In this embodiment, the high Rb content telluride interlayer acts as a diffusion barrier to protect the core ruthenium layer or core ruthenium layer having a lower Rb content from direct contact with Mo. This strategy can be practical because Rb with a higher Rb content, despite being combined with Mox Siy The phase will have higher stability, but compared to pure tantalum or a halide with a lower Rb content, Rbx Siy Phases also tend to have higher densities. Therefore, by sandwiching a Si (or low Rb concentration telluride) layer between the high Rb concentration telluride interlayers, it is possible to obtain a multilayer reflector having an even better combination of reflectance and stability. To give an example, have the structure {Mo[22.6 Å]/B4 C[3.0 Å]/Si[40.40 Å]/B4 C[3.0 Å]}100 The multilayer reflector has a predicted maximum theoretical reflectance of 13.5 nm for light greater than 74.75% (assuming all interfaces are extremely sharp and flat). However, by using Rb4 Si4 Replace B4 C diffusion barrier, the reflectivity can rise above 75.35%, which reflects Rb4 Si4 Compared to B4 Excellent optical properties of C. This reflectivity is even higher than {Mo[22 Å]/Rb4 Si4 [46 Å]}100 The maximum theoretical reflectivity of the multilayer reflector is 74.74% (again assumed that all interfaces are extremely sharp and flat and ignore chemical shifts). Still, expectation {Mo/Rb4 Si4 /Si/Rb4 Si4 } compared to {Mo/Rb4 Si4 } has a slightly lower thermal stability due to Rb4 Si4 Rb in the interlayer can diffuse into the Si core layer, which will give the remaining Si in the interlayer diffusion toward Mo and form MoSi2 chance. In summary, the effects of adding a Si layer to a Mo/Si multilayer reflector include: 1 - thermally improving and protecting the sharpness of the multilayer reflector interface. This results in: a - by suppressing the intermixing between Si and Mo and by suppressing MoSi2 The formation at the interface increases the reflectivity of the multilayer reflector. B- attributed to Mo/Rbx Siy The enhanced thermal and chemical stability of the system increases the life of the multilayer reflector at elevated temperatures. 2 - by Si due to formation of a compound with Rb2p The negative chemical shift of the rail region increases the refractive index of Si in the RbSi layer and increases the reflectivity of the Mo/Si multilayer reflector. By using the ruthenium additive in the Mo/Si multilayer reflector, the intensity of the EUV light transferred from the source to the substrate and thus the throughput of the EUV lithography apparatus can be improved by at least 45%. (Compare Mo/B4 C/Si/B4 70.15% of C reported world recorded reflectance and 72.9% predicted reflectivity of Mo/RbSi/Mo multilayer mirror, assuming double layer number = 100, double layer thickness = 6.9 nm, Mo layer to double layer thickness ratio γ =1/3, interface roughness/diffusion = 4 Å, RbSi density = 2.72 gr/cm3 ) such as B4 Different layers of C and carbon have been used as diffusion barriers between the Si layer and the Mo layer to dynamically prevent MoSi2 Formation. In this approach, MoSi2 It still tends to form but only forms at slower speeds. The invention thermally prevents MoSi2 The formation is excellent in the sense. Therefore, there is no MoSi2 The driving force for formation. In contrast to Rb, which has superior optical properties compared to Si, both B and C result in a slight degradation in the optical properties of the Si layer. The presence of Rb in the Si layer also alters the optical properties of the Si layer to cause higher reflectivity in addition to the beneficial, thermodynamic effects described above. According to another embodiment of the invention, hydrogen is included in the high refractive index layer. Introducing hydrogen into the high refractive index layer reduces its density and increases the reflectivity of the multilayer reflector. The presence of Rb in the Si layer can significantly stimulate the hydrogen uptake of the Si layer. At room temperature, RbSi can absorb hydrogen at concentrations up to 60 at% (atomic %). This is achieved by simply exposing RbSi to hydrogen at a pressure of only 40 mbar. The resulting RbSiH3 The phase has only 1.84 gr/cm which is 20% smaller than the density of pure Si.3 Density (see W.S. Tang, J.-N Chotard, P. Raybaud, R. Janot, J. Phys. Chem. C, 118 (2014) 3409). This reduction in density (along with other benefits of Rb) can result in a single Mo/RbSiH3 The reflectivity of the multilayer reflector is increased to 76.1% (double layer = 100, double layer thickness = 6.9 nm, Mo layer to double layer thickness ratio γ = 1/3, interface roughness / diffusivity = 4 Å). Figure 7 shows RbSi and RbSiH as a function of temperature and hydrogen pressure.3 The phase boundary between the phases. At room temperature, only 40 mbar of hydrogen pressure is sufficient to make RbSi into RbSiH3 And stabilize the hydride phase. This also implies that if Mo/RbSiH3 There are voids or cracks in the structure, and the segregation and release of hydrogen into the voids can result in a hydrogen pressure of only 40 mbar inside the void. This corresponds to a 4.0 KPa stress that is much smaller than the typical fracture strength of the solid material involved and its interface, which is in the range of MPa to GPa. Therefore, although implanted hydrogen with 30 at.% H is prone to H segregation and foaming, RbSiH with 60 at.% H3 Medium H2 The steady state pressure is too low to cause nucleation and/or growth of the voids and cause foaming damage. Mo/RbSi or Mo/RbSiH in a specific EUV lithography device3 Whether a multilayer reflector is suitable depends on the operating temperature and the activity of the H radicals surrounding the multilayer reflector in the device. If an H radical generator is provided in a lithography apparatus (for example, for Sn cleaning purposes), it is expected that enhanced H activity will stabilize RbSiH.3 phase. This would be beneficial due to Mo/RbSiH3 The multilayer reflector will have significantly higher reflectivity (76.1% compared to 72.9% and 70.15%, respectively) compared to both Mo/RbSi and Mo/Si multilayer reflectors. Therefore, Mo/RbSiH is used in the lithography apparatus.3 Substituting a multilayer reflector for 10 Mo/Si multilayer reflectors would result in an increase in the intensity of EUV light on the substrate of more than 125%. Mo/RbSiH for reflecting 13.5 nm EUV light according to an embodiment of the present invention3 Multilayer reflectors have several advantages over conventional Mo/Si multilayer reflectors. As discussed above, the presence of Rb increases the reflectivity of the Mo/Si multilayer reflector from 70.15% to 72.9% due to: 1) as a spacer atom between the Mo layers in the multilayer reflector, the added Rb atoms are excellent. Optical properties of the optical properties of Si; 2) the presence of Rb due to Si2p Negative chemical shift in the orbital domain to increase the refractive index of Si in the RbSi layer; and 3) formation of antimony telluride suppresses intermixing between Si and Mo and MoSi2 It forms, and thus protects, the sharpness of all interfaces in the multilayer reflector. The latter nature will also be attributed to Mo/Rbx Siy The system has an expected increase in the life of the multilayer reflector at elevated temperatures compared to the enhanced thermal and chemical stability of Mo/Si. Three additional benefits can be realized attributable to reducing the density of the high refractive index layer by hydrogen absorption. First, the presence of Rb in the Si high refractive index layer via RbSiH3 Spontaneous formation of the phase stimulates hydrogen uptake to 60 at.%. The density of this hydride phase is about 20% lower than the density of pure Si, which increases the reflectivity of the entire multilayer reflector up to 76.1%. This is a significant improvement over the alternative approach to H implantation of the Si layer, which can result in a 7% density reduction, but is known not to increase the reflectivity, most likely due to ion bombardment induced interface roughening (V Rigato et al., Surf. Coat. Tech. 174 to 175 (2003) 40). Second, hydrogen uptake of up to 60 at.% of the RbSi phase can be achieved by simply exposing RbSi to hydrogen at relatively low pressure. For pure conditions, the solubility of hydrogen is only 3 to 4 at.%. The only way to achieve a higher H content in pure helium is to force the H atoms into the Si layer using the hydrogen ion implants mentioned above with intractable results. Third, unlike the Si layer implanted with H (which is supersaturated and thermally unstable), RbSiH3 The phase is stable at room temperature under a hydrogen pressure of only 40 mbar. This translates into a potential void in the multilayer reflector structure and a low inside of the crack of only 4.0 KPa.2 The pressure, which will be too low to cause blistering damage in the multilayer reflector. Another aspect of the invention provides a multilayer mirror comprising a multilayer stack of Mo/RbH. The reaction of the element Rb with hydrogen results in the formation of a RbH phase (NaCl crystal structure type) having 2.60 gr·cm- 3 (2600 kg/m3 The density and the decomposition temperature of 443 K. Similar to RbSi, RbH reacts strongly when in contact with air (see D. R. Lide, Handbook of Chemistry and Physics (version 87), Boca Raton, FL: CRC Press, (1998) pages 4 to 79).Here,andThey are the standard enthalpy of reaction and entropy (298.15 K, 101.3 kPa). The entropy term in this reaction cannot be ignored because it involves a change in the form of hydrogen intercalation from the molecular form of hydrogen in the gas phase to the solid phase. Standard Moir entropy from Rb and RbH (ie,andCalculate the standard entropy that forms RbH. The error on the thermal data of RbH was not reported. For the sake of safety, we have assumed that the error is 10% of the standard 熵 and entropy. In order to check the compatibility of RbH for EUV MLM applications, it is critical to check the steady-state hydrogen pressure of RbH as a function of temperature. Each material inevitably has multiple cavities or microcracks. Steady state H at a given temperature2 The pressure is higher than the stress (breaking strength) required for the growth of the crack, then H2 The release of gas into these voids can lead to foaming and MLM failure. Using the standard enthalpy and entropy that form RbH, it is possible to calculate with temperature (T And change the steady state pressure of hydrogen ():Here,R Is a gas constant, andk For the reaction in H2 Mohr coefficient. For the temperature range associated with EUVL,Less than 1E-6 Pa. This value is much lower than the typical breaking strength of the solid (the range of MPa to GPa). Therefore, from this aspect, the application of RbH in MLM seems to be safe. Furthermore, Mo/RbH MLM is not expected to undergo any intermixing, since neither Rb nor H forms any compound with Mo, and its solubility in Mo is negligible. For this reason, it is expected that the intermixing at the Mo/RbH interface and the thermal sharpness of the interface will be sufficiently suppressed. This implies that the σ of the interface can be assumed to be at its smallest possible value (ie, 2.0 Å). This can be confirmed by calculating the reflectance value of Mo/RbH MLM with σ = 4.5 Å (corresponding to a 50% reduction of the mismix). The results are presented in Table 3, and Table 3 gives the presence and absence of B.4 Mo/RbA in the case of a C-protective interlayer (A=Si, H and SiH)3 The calculated reflectance value (normal incidence angle, 13.5 nm wavelength light) of MLM compared to Mo/Si. Consider the effect of intermixing at the interface. For each Mo/Rbn Am MLM, exhibiting two sets of reflectance values: one set of reflectance values with a low σ value, the suppression of the intermixed driving force for its self-heating analysis; and another set of reflectance values with a higher σ value, where Inhibition is only one-half of the inhibition of the first group. Optimized for each MLMd andΓ Combine to achieve the highest possible peak reflectance at 13.5 nm. For all conditions, N = 100 and the sigma of both the assumed substrate and the top surface is 2.0 Å. For Mo/Si without inter-layer (according to its normalized other integral reflectance values), the integral reflectance of a single mirror and 10 continuous mirrors in the range of 12.5 nm to 14.5 nm is 37.6 and 0.584 [% nm], respectively. See the graph of peak reflectance in the supplemental information. Although for Mo/RbSi, Mo/RbH and Mo/RbSiH3 MLM, reflectivity values have an increasing order, but their thermal stability is in descending order because RbSi, RbH, and RbSiH3 Decomposed under 623, 443, and 401 K [34], respectively. In the context of the EUVL machine, RbH and RbSiH are expected3 Both phases are relatively stable relative to the Rb and RbSi phases. Use RbSiH in MLM3 Or the RbH layer, rather than Si, can reduce or eliminate the hydrogen blistering problem common to current Mo/Si MLMs. RbH and RbSiH3 Steady state H (at the appropriate service temperature)2 The pressure is quite low and the H diffusion in these phases is expected to be high (especially for RbSiH3 , due to the high concentration of H atoms, low hydrogenation free energy and its open crystal structure). This is an additional advantage because it creates an excess of H in the MLM crack.2 Pressure or extra H atoms (which are forced into the MLM by H+ bombardment) via RbSiH3 The layer is effectively discharged outside the MLM, thus preventing the possibility of occurrence of foaming. On the other hand, for a pure Si layer, low H solubility and diffusion coefficient result in the accumulation of hydrogen and eventually foaming. According to an embodiment of the present invention, it is proposed to add an RbH interlayer to a multilayer mirror having a high refractive layer comprising Si and Rb. Such interlayer suppression thermally drives the inter-layer mixing. The RbH interlayer may have a thickness ranging from 0.1 nm to 0.4 nm, desirably about 0.2 nm. By implementing the proposed Mo/RbSi, Mo/RbH and Mo/RbSiH3 (The latter has an RbH interlayer) MLM or a combination thereof, the total EUV throughput can be increased by up to 2 times compared to current Mo/Si MLM solutions. In addition, use RbH or RbSiH3 Phases create new possibilities to reduce or eliminate hydrogen blistering problems common to current Mo/Si MLM. In an embodiment of the invention, the multilayer reflector is a reflective mask for patterning EUV beams. A reflective mask for EUV comprises a multilayer reflector as described above, wherein a patterned absorber layer is provided on the incident surface. The patterned absorber layer is designed to expose the radiation sensitive layer in a manner such that the desired pattern can be transferred to the substrate. The pattern in the absorber layer does not necessarily correspond directly to the pattern transferred to the substrate. The pattern in the absorber layer can include changes in non-printing optical proximity correction (OPC) features and/or feature sizes (eg, line width) to compensate for the effects of the development and pattern transfer steps. A multilayer reflector in accordance with an embodiment of the present invention can be fabricated using conventional techniques known for fabricating Mo/Si multilayer reflectors. There is no change in the process of forming the Mo layer. In order to form a Si layer containing Rb, a deposition technique such as pulsed laser deposition or sputtering deposition using a target having an appropriate ratio of Si and Rb may be used. In order to hydrogenate Rbx Siy The layer can be deposited under a hydrogen atmosphere. In general, no specific measures are required to ensure the Mo layer and Rbx Siy Adhesion between layers; Van Valli is sufficient. In an embodiment, the invention may form part of a mask detection device. The mask detection device can use EUV radiation to illuminate the mask and an imaging sensor to monitor the radiation reflected from the mask. The image received by the imaging sensor is used to determine if there is a defect in the mask. The mask detection device can include a multilayer reflector of the type described above configured to receive EUV radiation from an EUV radiation source and to form EUV radiation as a radiation beam to be guided. The mask detecting device may further comprise a multilayer reflector of the type described above configured to collect EUV radiation reflected from the mask and form an image of the mask at the imaging sensor. The mask detection device can include a processor configured to analyze the image of the mask at the imaging sensor and determine from the analysis whether there are any defects on the mask. The processor can be further configured to determine whether a mask defect detected when the mask is used by the lithography apparatus will cause unacceptable defects in the image projected onto the substrate. In an embodiment, the invention may form part of a metrology device. The metrology device can be used to measure the alignment of the projected pattern in the resist formed on the substrate relative to the pattern already present on the substrate. This measurement of relative alignment can be referred to as a stack. The metrology device can, for example, be positioned proximate to the lithography device and can be used to measure the overlay before the substrate (and resist) has been processed. The metrology device can use EUV radiation for improved resolution and thus a multilayer reflector of the type described above can be used to form and direct the EUV radiation beam. Although embodiments of the invention in the context of the content of a lithographic apparatus may be specifically referenced herein, embodiments of the invention may be utilized in other apparatus. Embodiments of the invention may form part of a mask detection device, a metrology device, or any device that measures or processes an article such as a wafer (or other substrate) or a mask (or other patterned device). Such devices may be commonly referred to as lithography tools. This lithography tool can use vacuum conditions or environmental (non-vacuum) conditions. The invention is also applicable to multilayer reflectors used in fields other than EUV lithography (e.g., in X-ray optics for astronomical applications). The term "EUV radiation" can be considered to encompass electromagnetic radiation having a wavelength in the range of 5 nm to 20 nm (eg, in the range of 13 nm to 14 nm). The EUV radiation can have a wavelength of less than 10 nm, for example, a wavelength in the range of 5 nm to 10 nm (such as 6.7 nm or 6.8 nm). Although FIGS. 1 and 2 depict the radiation source SO as a laser-generated plasma LPP source, any suitable source can be used to generate EUV radiation. For example, an EUV emitting plasma can be produced by using a discharge to convert a fuel (eg, tin) to a plasma state. This type of radiation source can be referred to as a discharge generated plasma (DPP) source. The discharge may be generated by a power supply that may form part of the radiation source or may be a separate entity that is connected to the radiation source SO via an electrical connection. Although reference may be made herein specifically to the use of lithographic apparatus in IC fabrication, it should be understood that the lithographic apparatus described herein may have other applications. Other possible applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat panel displays, liquid crystal displays (LCDs), thin film magnetic heads, and the like. Although the specific embodiments of the invention have been described above, it will be understood that the invention may be practiced otherwise. The above description is intended to be illustrative, and not restrictive. Therefore, it will be apparent to those skilled in the art that the present invention may be modified without departing from the scope of the appended claims.

1‧‧‧雷射
2‧‧‧雷射光束
3‧‧‧燃料發射器
4‧‧‧電漿形成區域
5‧‧‧收集器
6‧‧‧中間焦點/點
7‧‧‧電漿
8‧‧‧開口
9‧‧‧圍封結構
10‧‧‧琢面化場鏡面器件
11‧‧‧琢面化光瞳鏡面器件
16‧‧‧預脈衝雷射
17‧‧‧預脈衝雷射光束
18‧‧‧主雷射
19‧‧‧主雷射光束
20‧‧‧輻射收集器
21‧‧‧圍封結構
22‧‧‧開口
23‧‧‧掠入射反射器
24‧‧‧掠入射反射器
25‧‧‧掠入射反射器
26‧‧‧污染物截留器
27‧‧‧窗口
28‧‧‧窗口
29‧‧‧鏡面
30‧‧‧多層反射器
32‧‧‧高折射率層
34‧‧‧低折射率層
36‧‧‧罩蓋層
38‧‧‧基板
B‧‧‧輻射光束
IL‧‧‧照明系統
LA‧‧‧微影裝置
MA‧‧‧圖案化器件
MT‧‧‧支撐結構
O‧‧‧光軸
PS‧‧‧投影系統
SO‧‧‧輻射源
W‧‧‧基板
WT‧‧‧基板台
1‧‧ ‧ laser
2‧‧‧Laser beam
3‧‧‧fuel emitter
4‧‧‧ Plasma formation area
5‧‧‧ Collector
6‧‧‧Intermediate focus/point
7‧‧‧ Plasma
8‧‧‧ openings
9‧‧‧Enclosed structure
10‧‧‧琢面面镜镜装置
11‧‧‧ Faceted Optic Mirror Device
16‧‧‧Pre-pulse laser
17‧‧‧Pre-pulse laser beam
18‧‧‧Main laser
19‧‧‧Main laser beam
20‧‧‧radiation collector
21‧‧‧Enclosed structure
22‧‧‧ openings
23‧‧‧ grazing incident reflector
24‧‧‧ grazing incident reflector
25‧‧‧grazing incident reflector
26‧‧‧Contaminant trap
27‧‧‧ window
28‧‧‧ window
29‧‧‧Mirror
30‧‧‧Multilayer reflector
32‧‧‧High refractive index layer
34‧‧‧Low refractive index layer
36‧‧‧ Cover layer
38‧‧‧Substrate
B‧‧‧radiation beam
IL‧‧‧Lighting System
LA‧‧‧ lithography device
MA‧‧‧patterned device
MT‧‧‧Support structure
O‧‧‧ optical axis
PS‧‧‧Projection System
SO‧‧‧radiation source
W‧‧‧Substrate
WT‧‧‧ substrate table

現將參看隨附示意性圖式而僅藉助於實例來描述本發明之實施例,在該等圖式中: - 圖1描繪根據本發明之一實施例的包含微影裝置及輻射源之微影系統; - 圖2描繪根據本發明之一實施例之輻射源; - 圖3描繪根據本發明之一實施例之多層反射器; - 圖4為展示銣及矽之隨波長而變的折射率及吸收率的圖; - 圖5描繪Mo及Si之折射率差值及光譜吸收率總和; - 圖6描繪在化學位移之情況下Mo及Si之折射率差值及光譜吸收率總和; - 圖7為展示隨溫度及氫氣壓力而變的RbSi與RbSiH3 相之間的相邊界的圖; - 圖8為各種不同化合物作為A之組合物Mo/Rbn Am 之多層鏡面的經計算反射率值對比波長的圖;以及 -  圖9為各種不同化合物作為A之組合物Mo/Rbn Am 之一系列10個多層鏡面的經計算反射率值對比波長的圖。Embodiments of the present invention will now be described, by way of example only, with reference to the accompanying drawings, in which: FIG. 1 depicts a micro-shaving device and a radiation source in accordance with an embodiment of the present invention. Figure 2 depicts a radiation source in accordance with an embodiment of the present invention; - Figure 3 depicts a multilayer reflector in accordance with one embodiment of the present invention; - Figure 4 shows the refractive index as a function of wavelength for 铷 and 矽And the graph of the absorptivity; - Figure 5 depicts the difference in refractive index and spectral absorptance of Mo and Si; - Figure 6 depicts the difference in refractive index and spectral absorptance of Mo and Si in the case of chemical shift; 7 is a graph showing the phase boundary between RbSi and RbSiH 3 phases as a function of temperature and hydrogen pressure; - Figure 8 is a calculated reflectance of a multilayer mirror of various compositions of composition A as Mo/Rb n A m A plot of values versus wavelength; and - Figure 9 is a plot of calculated reflectance values versus wavelength for 10 multilayer mirrors of a series of different compositions of composition A, Mo/Rb n A m .

30‧‧‧多層反射器 30‧‧‧Multilayer reflector

32‧‧‧高折射率層 32‧‧‧High refractive index layer

34‧‧‧低折射率層 34‧‧‧Low refractive index layer

36‧‧‧罩蓋層 36‧‧‧ Cover layer

38‧‧‧基板 38‧‧‧Substrate

Claims (20)

一種包含複數個週期之多層反射器,每一週期包含一低折射率層及一高折射率層,其中:在至少一個週期中,該低折射率層包含Mo且該高折射率層包含一化合物,該化合物包含選自由Si、Rb以及H組成之群的至少兩個元素之一組合。A multilayer reflector comprising a plurality of periods, each period comprising a low refractive index layer and a high refractive index layer, wherein: in at least one period, the low refractive index layer comprises Mo and the high refractive index layer comprises a compound The compound comprises a combination of at least two elements selected from the group consisting of Si, Rb, and H. 如請求項1之多層反射器,其中至少一個週期之該高折射率層包含RbSi。The multilayer reflector of claim 1, wherein the high refractive index layer of at least one period comprises RbSi. 如請求項1之多層反射器,其中至少一個週期之該高折射率層包含Rb6 Si46The multilayer reflector of claim 1, wherein the high refractive index layer of at least one of the cycles comprises Rb 6 Si 46 . 2或3之多層反射器,其中至少一個週期之該高折射率層進一步包含氫化銣矽。A multilayer reflector of 2 or 3, wherein the high refractive index layer of at least one cycle further comprises ruthenium hydride. 如請求項4之多層反射器,其中至少一個週期之該高折射率層包含RbSiH3The multilayer reflector of claim 4, wherein the high refractive index layer of at least one period comprises RbSiH 3 . 如請求項4之多層反射器,其進一步包含該低折射率層與該高折射率層之間的一RbH間層。The multilayer reflector of claim 4, further comprising an inter-RbH interlayer between the low refractive index layer and the high refractive index layer. 2或3之多層反射器,其中該高折射率層為非晶形的。A multilayer reflector of 2 or 3 wherein the high refractive index layer is amorphous. 如請求項2之多層反射器,其中該高折射率層處於一秦特相中。The multilayer reflector of claim 2, wherein the high refractive index layer is in a Qin phase. 2或3之多層反射器,其中至少一個週期之該高折射率層按次序包含一第一子層、一第二子層及一第三子層,其中該第一子層及該第三子層相較於該第二子層具有一較高Rb含量。a multilayer reflector of 2 or 3, wherein the high refractive index layer of at least one period comprises a first sublayer, a second sublayer and a third sublayer in order, wherein the first sublayer and the third sub The layer has a higher Rb content than the second sub-layer. 如請求項1之多層反射器,其中該高折射率層包含RbH。The multilayer reflector of claim 1, wherein the high refractive index layer comprises RbH. 2或3之多層反射器,其中所有該等週期之該等高折射率層均具有基本上相同的組成物。A multilayer reflector of 2 or 3 wherein all of said high refractive index layers of said periods have substantially the same composition. 2或3之多層反射器,其進一步包含該多層反射器之一輻射接收表面上之至少一個罩蓋層。A multilayer reflector of 2 or 3, further comprising at least one cap layer on one of the radiation receiving surfaces of the multilayer reflector. 一種經配置以將一圖案自一圖案化器件投影至一基板上之微影裝置,該裝置包含如請求項1至12中任一項之至少一個多層反射器。A lithography apparatus configured to project a pattern from a patterned device onto a substrate, the apparatus comprising at least one multilayer reflector of any one of claims 1 to 12. 一種微影工具,其包含如請求項1至12中任一項之至少一個多層反射器。A lithography tool comprising at least one multilayer reflector according to any one of claims 1 to 12. 一種供用於一微影裝置中之遮罩,該遮罩包含如請求項1至12中任一項之至少一個多層反射器及一經圖案化吸收器層。A mask for use in a lithography apparatus, the mask comprising at least one multilayer reflector and a patterned absorber layer of any one of claims 1 to 12. 一種方法,其包含將一圖案化輻射光束投影至一基板上,其中使用如請求項1至12中任一項之至少一個多層反射器來導引或圖案化該經圖案化光束。A method comprising projecting a patterned beam of radiation onto a substrate, wherein the patterned beam is guided or patterned using at least one multilayer reflector as claimed in any one of claims 1 to 12. 一種製造包含複數個週期之一多層反射器之方法,每一週期包含一低折射率層及一高折射率層,該方法包含一物理氣相沈積製程以形成至少一個高折射率層,該物理氣相沈積步驟使用包含Si及Rb之一蒸鍍標靶。A method of fabricating a multilayer reflector comprising a plurality of cycles, each cycle comprising a low refractive index layer and a high refractive index layer, the method comprising a physical vapor deposition process to form at least one high refractive index layer, The physical vapor deposition step uses a vapor deposition target comprising one of Si and Rb. 如請求項17之方法,其中該物理氣相沈積製程包含脈衝雷射沈積。The method of claim 17, wherein the physical vapor deposition process comprises pulsed laser deposition. 如請求項17之方法,其中該物理氣相沈積製程包含濺鍍沈積。The method of claim 17, wherein the physical vapor deposition process comprises sputter deposition. 如請求項17、18或19之方法,其中在一氫氣氛圍中執行該物理氣相沈積製程。The method of claim 17, 18 or 19, wherein the physical vapor deposition process is performed in a hydrogen atmosphere.
TW105135618A 2015-11-02 2016-11-02 Multilayer reflector, method of manufacturing a multilayer reflector and lithographic apparatus TW201734651A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP15192512 2015-11-02

Publications (1)

Publication Number Publication Date
TW201734651A true TW201734651A (en) 2017-10-01

Family

ID=54365122

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105135618A TW201734651A (en) 2015-11-02 2016-11-02 Multilayer reflector, method of manufacturing a multilayer reflector and lithographic apparatus

Country Status (3)

Country Link
NL (1) NL2017602A (en)
TW (1) TW201734651A (en)
WO (1) WO2017076694A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220342293A1 (en) * 2019-09-26 2022-10-27 Hoya Corporation Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109613637B (en) * 2017-09-30 2021-10-26 张家港康得新光电材料有限公司 Decorative film

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4684565A (en) * 1984-11-20 1987-08-04 Exxon Research And Engineering Company X-ray mirrors made from multi-layered material
EP1446811A1 (en) * 2001-10-24 2004-08-18 Carl Zeiss SMT AG Process for manufacturing multilayer systems
FR2853418B1 (en) * 2003-04-01 2005-08-19 Commissariat Energie Atomique OPTICAL DEVICE WITH REINFORCED MECHANICAL STABILITY OPERATING IN THE EXTREME ULTRAVIOLET AND LITHOGRAPHY MASK COMPRISING SUCH A DEVICE
JP2007198782A (en) * 2006-01-24 2007-08-09 Nikon Corp Multilayer-film reflecting mirror and exposure system
JP5340321B2 (en) * 2011-01-01 2013-11-13 キヤノン株式会社 Mirror and manufacturing method thereof, exposure apparatus, and device manufacturing method
US9773578B2 (en) * 2013-02-15 2017-09-26 Asml Netherlands B.V. Radiation source-collector and method for manufacture

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220342293A1 (en) * 2019-09-26 2022-10-27 Hoya Corporation Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
WO2017076694A1 (en) 2017-05-11
NL2017602A (en) 2017-05-23

Similar Documents

Publication Publication Date Title
KR101383464B1 (en) Reflective optical element and method for operating an euv lithography apparatus
TWI604228B (en) Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
JP6416129B2 (en) Radiation source collector and manufacturing method
TWI427334B (en) Reflective optical element for euv lithography devices
EP2710415B1 (en) Reflective optical element and optical system for euv lithography
US9880476B2 (en) Method for producing a capping layer composed of silicon oxide on an EUV mirror, EUV mirror, and EUV lithography apparatus
JP2019525240A (en) Extreme ultraviolet mask blank having multilayer absorber and method for producing the same
CN109154771A (en) Diaphragm for EUV lithography art
US20130010275A1 (en) Lithographic apparatus and spectral purity filter
TW201606335A (en) Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
KR20070006805A (en) Euv light source optical elements
US20120147350A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
KR20110087269A (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
TW201245902A (en) Radiation source-collector and lithographic apparatus
JP2023545014A (en) Extreme UV mask absorber material
US20100328639A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
JP2018116301A (en) Optical element
KR20230029878A (en) Optical elements, optical devices and methods of manufacturing optical elements for the VUV wavelength range
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
TW201734651A (en) Multilayer reflector, method of manufacturing a multilayer reflector and lithographic apparatus
TW201142372A (en) Spectral purity filter
JP2021502585A (en) EUV pellicle
Kuznetsov et al. Blistering behavior in Mo/Si multilayers
KR20140069016A (en) Euv mirror comprising an oxynitride capping layer having a stable composition, euv lithography apparatus, and operating method
TW201122569A (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter