TW201733007A - Approaches for patterning metal line ends for back end of line (BEOL) interconnects - Google Patents

Approaches for patterning metal line ends for back end of line (BEOL) interconnects Download PDF

Info

Publication number
TW201733007A
TW201733007A TW105138467A TW105138467A TW201733007A TW 201733007 A TW201733007 A TW 201733007A TW 105138467 A TW105138467 A TW 105138467A TW 105138467 A TW105138467 A TW 105138467A TW 201733007 A TW201733007 A TW 201733007A
Authority
TW
Taiwan
Prior art keywords
dielectric
layer
trench
metal line
ild
Prior art date
Application number
TW105138467A
Other languages
Chinese (zh)
Other versions
TWI742018B (en
Inventor
伊利耶特 譚
墨西特 哈朗
安卓 楊
麥可 阿索羅
馬修 普林斯
亞伯琪那 翠貝西
馬克 布勒
傑森 帕克
史蒂夫 柯比
馬力 貝爾
狄帕克 希瑞哈爾
安喬洛 坎達斯
古賓納 哈瑪撒帝
雅皮札 格蘭雅多
里特許 達斯
李奧納 古勒
麥可 哈波
林澈賢
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201733007A publication Critical patent/TW201733007A/en
Application granted granted Critical
Publication of TWI742018B publication Critical patent/TWI742018B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Approaches for patterning metal line ends for back end of line (BEOL) interconnects, and the resulting structures, are described. In an example, a metallization layer of an interconnect structure for a semiconductor die includes a metal line disposed in a trench of an interlayer dielectric (ILD) material layer. The ILD material layer is composed of a first dielectric material. A conductive via is disposed in the ILD material layer, below and electrically connected to the metal line. A dielectric plug is directly adjacent to the metal line and the conductive via. The dielectric plug is composed of a second dielectric material different from the first dielectric material.

Description

用於圖案化後段(BEOL)互連之金屬線端的方法 Method for patterning metal wire ends of back end (BEOL) interconnects

本發明的實施例是在半導體結構和處理的領域,特別是,用於圖案化後段(BEOL)互連金屬線端的方法。 Embodiments of the present invention are in the field of semiconductor structures and processing, and in particular, methods for patterning back end of line (BEOL) interconnect metal lines.

在過去的數十年中,積體電路中的特性縮放一直是不斷增長的半導體產業背後的驅動力。縮放到越來越小的特性使得能夠在半導體晶圓的有限面積上增加功能單元的密度。例如,縮小電晶體尺寸使得併入增加數量的晶片上的記憶體或邏輯裝置,導致製造具有增加的容量之產品。驅動了更大的容量,但也不是沒有問題。最佳化每個裝置的效能的必要性變得日益顯著。 In the past few decades, the scaling of features in integrated circuits has been the driving force behind the growing semiconductor industry. Scaling to smaller and smaller features enables the density of functional units to be increased over a limited area of the semiconductor wafer. For example, shrinking the transistor size allows for the incorporation of memory or logic devices on an increased number of wafers, resulting in the manufacture of products with increased capacity. Drives more capacity, but it's not without problems. The need to optimize the performance of each device has become increasingly significant.

積體電路通常包括導電微電子結構,其在本領域中稱為通孔,其用於電性連接通孔之上的金屬線或其它互連到通孔之下的金屬線或其它互連。通孔通常藉由微影程序形成。代表性地,光阻層可以旋塗在介電層上方, 該光阻層可以暴露於藉由圖形化之掩模的圖形光化輻射,然後暴露的層可以被發展以形成光阻層中的開口。接著,藉由使用光阻層中的開口作為蝕刻掩模,用於通孔的開口可以在介電層中被蝕刻。該開口被稱為通孔開口。最後,通孔開口可被一或多種金屬或其他導電材料填充以形成通孔。 Integrated circuits typically include a conductive microelectronic structure, referred to in the art as a via, for electrically connecting metal lines over a via or other metal lines or other interconnects that are interconnected under the via. Through holes are typically formed by lithography procedures. Typically, the photoresist layer can be spin coated over the dielectric layer. The photoresist layer can be exposed to patterned actinic radiation by a patterned mask, and then the exposed layer can be developed to form openings in the photoresist layer. Next, the opening for the via can be etched in the dielectric layer by using the opening in the photoresist layer as an etch mask. This opening is referred to as a through hole opening. Finally, the via opening can be filled with one or more metals or other conductive materials to form vias.

在過去,通孔的大小和間距已逐步減少,並且預計在未來,對於至少一些類型的積體電路(例如,先進的微處理器、晶片組元件、圖形晶圓等),通孔的大小和間距將繼續逐步下降。通孔大小的一種測量為通孔開口的臨界尺寸。通孔間距的一種測量為通孔間距。通孔間距表示最近的相鄰通孔之間的中心到中心距離。應當理解,縮放到更小的通孔、縮放到更小的由通孔連接的金屬線之間的非導電空間或中斷(稱為“線端”、“插塞”或“切口”)也可能需要被執行。 In the past, the size and spacing of vias have been gradually reduced, and it is expected that in the future, for at least some types of integrated circuits (eg, advanced microprocessors, chipset components, graphics wafers, etc.), the size of the vias and The spacing will continue to decline gradually. One measure of the size of the via is the critical dimension of the via opening. One measure of the via pitch is the via pitch. The via spacing represents the center-to-center distance between the nearest adjacent vias. It should be understood that scaling to smaller vias, scaling to smaller non-conducting spaces or breaks between metal lines connected by vias (referred to as "line ends", "plugs" or "cuts") may also Need to be executed.

當藉由這種微影程序以極小的間距來圖案化極小的線端(“插塞”或“切口”),數種挑戰逕自呈現,特別是當間距約為70奈米(nm)或更小時和/或當線端的臨界尺寸約為35奈米或更小時。此外,當線端間距隨著時間縮放到越來越小,覆蓋容差往往比微影裝置能夠跟上的以更快的速度來縮放。 When such a lithography procedure is used to pattern very small line ends ("plugs" or "cuts") at very small pitches, several challenges are self-presenting, especially when the pitch is about 70 nanometers (nm) or more. The hour and/or when the critical dimension of the wire end is about 35 nm or less. In addition, as the line spacing is scaled smaller and smaller over time, the coverage tolerance is often scaled faster than the lithography device can keep up.

另一種這樣的挑戰是,線端的臨界尺寸一般往往於比微影掃描器的解析能力較快縮放。縮小技術存在以縮小通孔開口的臨界尺寸。然而,縮小量往往被最小的 線端間距,以及由具有足夠的光學鄰近校正(OPC)中性之縮小製程的能力所限制,並且不顯著折衷線寬粗糙度(LWR)和/或臨界尺寸均勻性(CDU)。 Another such challenge is that the critical dimension of the line ends tends to scale faster than the resolution capabilities of the lithography scanner. The shrinking technique exists to reduce the critical size of the via opening. However, the amount of reduction is often the smallest The line end spacing, as well as the ability to have a sufficient optical proximity correction (OPC) neutral reduction process, does not significantly compromise line width roughness (LWR) and/or critical dimension uniformity (CDU).

又另一種這樣的挑戰是,當線端的臨界尺寸減小,光阻的LWR和/或CDU特性通常需要改善,以保持臨界尺寸預算的相同整體部份。然而,目前多數光阻的LWR和/或CDU特性並沒有隨著線端的臨界尺寸減小的速度改善。 Yet another such challenge is that as the critical dimension of the line ends decreases, the LWR and/or CDU characteristics of the photoresist typically need to be improved to maintain the same overall portion of the critical dimension budget. However, the LWR and/or CDU characteristics of most photoresists today do not improve as the critical dimension of the line ends decreases.

再一種這樣的挑戰是,極小的通孔間距一般往往甚至低於極紫外光(EUV)微影掃描器的解析度能力。其結果是,通常兩個、三個或更多個不同的微影掩模可能被使用,這往往會增加成本。在某些時候,如果間距不斷降低,即使有複數個掩模,使用EUV掃描器印刷這些非常小間距的線端可能是不可能的。 Yet another such challenge is that very small via pitches tend to be even lower than the resolution capabilities of extreme ultraviolet (EUV) lithography scanners. As a result, typically two, three or more different lithographic masks may be used, which tends to increase cost. At some point, if the spacing is continuously reduced, even with multiple masks, it may not be possible to print these very small pitch ends with an EUV scanner.

因此,需要在線端製造技術的領域改進。 Therefore, there is a need for improvements in the field of online manufacturing technology.

100‧‧‧金屬化層 100‧‧‧metallization

102‧‧‧金屬線 102‧‧‧Metal wire

103‧‧‧底層通孔 103‧‧‧Bottom through hole

104‧‧‧介電層 104‧‧‧ dielectric layer

105‧‧‧插塞區域 105‧‧‧ Plug area

106‧‧‧線溝槽 106‧‧‧Line trench

108‧‧‧通孔溝槽 108‧‧‧through hole trench

110‧‧‧硬掩模層 110‧‧‧ hard mask layer

112‧‧‧線溝槽 112‧‧‧Line trench

114‧‧‧通孔溝槽 114‧‧‧through hole trench

116‧‧‧單一大暴露 116‧‧‧ Single large exposure

200‧‧‧底層金屬化層 200‧‧‧ underlying metallization

202‧‧‧金屬線 202‧‧‧Metal wire

204‧‧‧介電層 204‧‧‧Dielectric layer

206‧‧‧層間介電質(ILD)材料 206‧‧‧Interlayer dielectric (ILD) materials

208‧‧‧線溝槽 208‧‧‧Line groove

208'‧‧‧線溝槽 208'‧‧‧ line trench

210‧‧‧下部 210‧‧‧ lower part

210'‧‧‧下部 210'‧‧‧ lower

212A‧‧‧通孔溝槽 212A‧‧‧through hole trench

212B‧‧‧通孔溝槽 212B‧‧‧through hole trench

214‧‧‧犧牲材料 214‧‧‧Sacrificial materials

214'‧‧‧犧牲材料 214'‧‧‧Sacrificial materials

216‧‧‧圖案化的硬掩模層 216‧‧‧ patterned hard mask layer

218‧‧‧介電材料 218‧‧‧ dielectric materials

220A‧‧‧介電插塞 220A‧‧‧ dielectric plug

220B‧‧‧介電插塞 220B‧‧‧ dielectric plug

222‧‧‧金屬線 222‧‧‧Metal wire

224‧‧‧導電通孔 224‧‧‧ conductive vias

300‧‧‧接縫 300‧‧‧ seams

320‧‧‧介電插塞 320‧‧‧ dielectric plug

320A‧‧‧介電插塞 320A‧‧‧ dielectric plug

320B‧‧‧介電插塞 320B‧‧‧ dielectric plug

400‧‧‧計算裝置 400‧‧‧ computing device

402‧‧‧板 402‧‧‧ board

404‧‧‧處理器 404‧‧‧ processor

406‧‧‧通訊晶片 406‧‧‧Communication chip

500‧‧‧中介層 500‧‧‧Intermediary

502‧‧‧第一基板 502‧‧‧First substrate

504‧‧‧第二基板 504‧‧‧second substrate

506‧‧‧球閘陣列(BGA) 506‧‧‧Ball Gate Array (BGA)

508‧‧‧金屬互連 508‧‧‧Metal interconnection

510‧‧‧通孔 510‧‧‧through hole

512‧‧‧穿透矽通孔(TSV) 512‧‧‧through through hole (TSV)

514‧‧‧嵌入式裝置 514‧‧‧ embedded devices

圖1A顯示現有技術的半導體裝置的金屬化層的平面圖與沿著該平面圖的a-a'軸所取的對應橫截面圖。 1A shows a plan view of a metallization layer of a prior art semiconductor device and corresponding cross-sectional views taken along the a-a' axis of the plan view.

圖1B顯示使用現有技術的處理方案製造的線端或插塞的橫截面圖。 Figure 1B shows a cross-sectional view of a wire end or plug made using a prior art processing scheme.

圖1C顯示使用現有技術的處理方案製造的線端或插塞的另一橫截面圖。 Figure 1C shows another cross-sectional view of a wire end or plug made using a prior art processing scheme.

圖2A至2G顯示根據本發明的實施例的表示 在用於圖案化後段(BEOL)互連的金屬線端的程序中的各種操作的橫截面圖,其中:圖2A顯示具有形成於在底層金屬化層上方形成的層間介電質(ILD)材料層的上部中的線溝槽的起始結構;圖2B顯示在形成ILD材料層的下部中的通孔溝槽形成之後,圖2A的結構;圖2C顯示在ILD材料層上方和線溝槽和通孔溝槽中的犧牲材料形成之後,圖2B的結構;圖2D顯示在圖案化犧牲材料以形成暴露底層金屬化層的兩條金屬線之間的下部金屬化層的一部份的開口之後,圖2C的結構;圖2E顯示以介電材料填充犧牲材料的開口之後,圖2C的結構;圖2F顯示在除去犧牲材料以提供介電插塞之後,圖2E的結構;以及圖2G顯示以導電材料填充線溝槽和通孔溝槽之後,圖2F的結構。 2A through 2G show representations in accordance with an embodiment of the present invention. A cross-sectional view of various operations in a process for patterning a metal line end of a back end of section (BEOL) interconnect, wherein: FIG. 2A shows having an interlayer dielectric (ILD) material layer formed over the underlying metallization layer The starting structure of the line trench in the upper portion; FIG. 2B shows the structure of FIG. 2A after the formation of the via trench in the lower portion of the layer forming the ILD material; FIG. 2C shows the layer above the ILD material layer and the trench After the sacrificial material in the via trench is formed, the structure of FIG. 2B; FIG. 2D shows after patterning the sacrificial material to form an opening of a portion of the lower metallization layer between the two metal lines exposing the underlying metallization layer, 2C shows the structure of FIG. 2C after filling the opening of the sacrificial material with a dielectric material; FIG. 2F shows the structure of FIG. 2E after removing the sacrificial material to provide a dielectric plug; and FIG. 2G shows conductive After the material fills the line trenches and via trenches, the structure of Figure 2F.

圖3A顯示根據本發明的實施例的包含其中具有接縫的介電線端或插塞之半導體晶粒的互連結構的金屬化層的橫截面圖。 3A shows a cross-sectional view of a metallization layer comprising an interconnect structure of a semiconductor die having a dielectric end or a plug therein having a seam, in accordance with an embodiment of the present invention.

圖3B顯示根據本發明的實施例的包含不緊鄰於導電通孔的介電線端或插塞的半導體晶粒的互連結構的金屬化層的橫截面圖。 3B shows a cross-sectional view of a metallization layer of an interconnect structure including semiconductor dies that are not in close proximity to the dielectric ends or plugs of the conductive vias, in accordance with an embodiment of the present invention.

圖4顯示根據本發明的實施例的一種實現的 計算裝置。 Figure 4 shows an implementation in accordance with an embodiment of the present invention. Computing device.

圖5是實現本發明的一或多個實施例的中介層。 Figure 5 is an interposer that implements one or more embodiments of the present invention.

【發明內容及實施方式】 SUMMARY OF THE INVENTION AND EMBODIMENT

描述了用於圖案化後段(BEOL)互連的金屬線端的方法與所得結構。在下面的描述中,許多具體的細節被闡述,如特定整合和材料制度,以便提供對本發明的實施例的透徹理解。對於本領域技術人員將是顯而易見的,本發明的實施例可以在沒有這些具體細節的情況下實施。在其他實例中,為了不要不必要地模糊本發明的實施例,沒有詳細描述諸如積體電路設計佈局的眾所周知的特徵。此外,應當理解,在圖中所示的各種實施例是說明性表示且不一定按比例繪製。 Methods and resulting structures for patterning the back end of a (BEOL) interconnect wire are described. In the following description, numerous specific details are set forth, such as a It will be apparent to those skilled in the art that the embodiments of the invention may be practiced without the specific details. In other instances, well-known features such as integrated circuit design layout have not been described in detail in order not to unnecessarily obscure the embodiments of the present invention. In addition, it should be understood that the various embodiments are illustrated and

本文描述的一或多個實施例關於圖案化金屬線端之技術。實施例可包含接點製造、鑲嵌處理、雙鑲嵌處理、互連製造和金屬線溝槽圖案化中的一或多個的態樣。 One or more embodiments described herein relate to techniques for patterning metal wire ends. Embodiments may include aspects of one or more of contact fabrication, damascene processing, dual damascene processing, interconnect fabrication, and metal line trench patterning.

為了提供情境,在半導體製造的先進節點中,低層互連係由線光柵、線端和通孔的分離圖案化程序所建立。當通孔侵占線端,複合圖案的保真度趨於降低,反之亦然。本文所述實施例提供了線端程序也稱為插塞程序,其消除了相關的鄰近規則。實施例可以允許通孔被放置在該線端,且大通孔用以帶跨線端。 In order to provide context, in advanced nodes in semiconductor fabrication, low-level interconnects are established by separate patterning procedures for line gratings, line ends, and vias. When the through hole encroaches on the line end, the fidelity of the composite pattern tends to decrease, and vice versa. The embodiments described herein provide a line end program, also known as a plug program, which eliminates the associated proximity rules. Embodiments may allow a through hole to be placed at the wire end and a large through hole to be used with a wire end.

為了提供進一步的情境,圖1A顯示沿著現有技術半導體裝置的金屬化層的平面圖的a-a'軸截取的平面圖和對應的橫截面圖。圖1B顯示使用現有技術的處理方案製造的線端或插塞的橫截面圖。圖1C顯示使用現有技術的處理方案製造的線端或插塞的另一橫截面圖。 To provide a further context, FIG. 1A shows a plan view and corresponding cross-sectional views taken along the a-a' axis of a plan view of a metallization layer of a prior art semiconductor device. Figure 1B shows a cross-sectional view of a wire end or plug made using a prior art processing scheme. Figure 1C shows another cross-sectional view of a wire end or plug made using a prior art processing scheme.

參見圖1A,金屬化層100包含形成在介電層104中的金屬線102。金屬線102可被耦接到底層通孔103。介電層104可以包含線端或插塞區域105。參見圖1B,介電層104的最先進的線端或插塞區域105可以藉由在介電層104上圖案化硬掩模層110,接著蝕刻介電層104的暴露部分來製造。介電層104的暴露部分可以被蝕刻到適於形成線溝槽106的深度或進一步蝕刻到適於形成通孔溝槽108的深度。參見圖1C,線端或插塞105的與相對側壁相鄰的兩個通孔可以被製造在單一大暴露116中以最終形成線溝槽112和通孔溝槽114。 Referring to FIG. 1A, metallization layer 100 includes metal lines 102 formed in dielectric layer 104. Metal line 102 can be coupled to underlying via 103. Dielectric layer 104 can include a wire end or plug region 105. Referring to FIG. 1B, the most advanced line end or plug region 105 of the dielectric layer 104 can be fabricated by patterning the hard mask layer 110 over the dielectric layer 104, followed by etching the exposed portions of the dielectric layer 104. The exposed portions of the dielectric layer 104 can be etched to a depth suitable for forming the line trenches 106 or further etched to a depth suitable to form the via trenches 108. Referring to FIG. 1C, two vias of the wire end or plug 105 adjacent the opposing sidewalls can be fabricated in a single large exposure 116 to ultimately form the wire trench 112 and the via trench 114.

然而,再次參見圖1A至1C,保真度問題和/或硬掩模侵蝕問題可能致使不完美的圖案化方案。相對地,本文所描述的一或多個實施例包含關於在溝槽和通孔圖案化程序之後建構線端介電質(插塞)的程序流程的實現。 However, referring again to Figures 1A through 1C, fidelity issues and/or hard mask erosion problems may result in imperfect patterning schemes. In contrast, one or more embodiments described herein include an implementation of a program flow for constructing a line-end dielectric (plug) after a trench and via patterning process.

在一種態樣中,接著,本文所述的一或多個實施例係關於構建金屬線(在一些實施例中,及相關的導電通孔)之間的非導電性的空間或中斷(稱為“線端”、“插塞”或“切口”)的方法。按照定義,導電通孔係用來落 在先前層的金屬圖形上。在這方面,由於藉由微影設備的對準依賴於較小的程度,本文所描述的實施例致使更加穩健的互連製造方案。這樣的互連的製造方法可用於放寬對準/暴露的限制,可以用於改善電接觸(例如,藉由減少通孔電阻),並且可用於降低總體程序操作以及使用傳統方法來圖案化這些特徵需要的處理時間。 In one aspect, next, one or more embodiments described herein relate to a space or interruption of non-conductivity between the construction of metal lines (in some embodiments, and associated conductive vias) (referred to as The method of "line end", "plug" or "cut". By definition, conductive vias are used to drop On the metal pattern of the previous layer. In this regard, the embodiments described herein result in a more robust interconnect manufacturing scheme since the alignment by the lithography apparatus is less dependent. Such interconnect fabrication methods can be used to relax alignment/exposure limitations, can be used to improve electrical contact (eg, by reducing via resistance), and can be used to reduce overall program operation and to pattern these features using conventional methods. The processing time required.

在範例性處理方案中,圖2A至2G顯示了根據本發明的實施例的代表圖案化後段(BEOL)互連的金屬線端的程序的各種操作的橫截面圖。 In an exemplary processing scheme, Figures 2A through 2G show cross-sectional views of various operations of a program representative of a wire end of a patterned back-end (BEOL) interconnect, in accordance with an embodiment of the present invention.

參見圖2A,一種製造半導體晶粒的互連結構的金屬化層的方法包含在形成於底層金屬化層200之上的層間介電質(ILD)材料層206的上部(下部210之上)中形成線溝槽208。底層金屬化層200包含設置在介電層204的金屬線202。 Referring to FIG. 2A, a method of fabricating a metallization layer of an interconnect structure of a semiconductor die is included in an upper portion (above the lower portion 210) of an interlayer dielectric (ILD) material layer 206 formed over the underlying metallization layer 200. A line trench 208 is formed. The underlying metallization layer 200 includes metal lines 202 disposed on the dielectric layer 204.

參照圖2B,通孔溝槽212A和212B係形成在ILD材料層206的下部210,以形成ILD材料層206的圖案化下部210'。作為範例性實施例,通孔溝槽212A暴露底層金屬化層200的兩個金屬線202,而通孔溝槽212B暴露底層金屬化層200的一個金屬線202。 Referring to FIG. 2B, via trenches 212A and 212B are formed in a lower portion 210 of the ILD material layer 206 to form a patterned lower portion 210' of the ILD material layer 206. As an exemplary embodiment, via trenches 212A expose two metal lines 202 of underlying metallization layer 200, while via trenches 212B expose one metal line 202 of underlying metallization layer 200.

參見圖2C,諸如基質材料的犧牲材料214係形成在ILD材料層上方(顯示在圖2C的部分210')且在該線溝槽208和通孔溝槽212A和212B中。在實施例中,如圖2C所示,圖案化的硬掩模層216係形成在犧牲材料214上。 Referring to FIG. 2C, a sacrificial material 214, such as a host material, is formed over the ILD material layer (shown in portion 210' of FIG. 2C) and in the line trench 208 and via trenches 212A and 212B. In an embodiment, as shown in FIG. 2C, a patterned hard mask layer 216 is formed over sacrificial material 214.

參見圖2D,犧牲材料214被圖案化以形成暴露與圖2B的通孔溝槽212A相關的底層金屬化層200的兩個金屬線202之間的下部金屬化層200的一部分的開口(圖2D的左側開口)。在顯示的範例性實施例中,犧牲材料214被進一步圖案化以形成暴露相鄰於圖2B的通孔溝槽212B的ILD材料層的圖案化的下部210'的一部分的開口(圖2D的右側開口)。在實施例中,犧牲材料214係藉由轉印藉由蝕刻程序將圖案化的硬掩模216圖案化到犧牲材料214而被圖案化。 Referring to FIG. 2D, the sacrificial material 214 is patterned to form an opening exposing a portion of the lower metallization layer 200 between the two metal lines 202 of the underlying metallization layer 200 associated with the via trench 212A of FIG. 2B (FIG. 2D) The opening on the left side). In the exemplary embodiment shown, the sacrificial material 214 is further patterned to form an opening that exposes a portion of the patterned lower portion 210' of the ILD material layer adjacent to the via trench 212B of FIG. 2B (right side of FIG. 2D) Opening). In an embodiment, the sacrificial material 214 is patterned by patterning the patterned hard mask 216 to the sacrificial material 214 by an etch process.

參見圖2E,犧牲材料214(現在顯示為圖案化並填充犧牲材料214')的開口被填充有介電材料218。在一個實施例中,犧牲材料214的開口使用選自原子層沉積(ALD)和化學氣相沉積(CVD)組成之群組的沉積程序被填充有介電材料218。在一個實施例中,犧牲材料214的開口被填充有第一介電材料成分的介電材料218。在一個這樣的實施例中,ILD材料層206包含由與該第一介電材料成分不同的材料組成的第二介電材料。在另一個這樣的實施例中,然而,ILD材料層206係由第一介電材料組成。 Referring to FIG. 2E, the opening of the sacrificial material 214 (now shown as patterning and filling the sacrificial material 214') is filled with a dielectric material 218. In one embodiment, the opening of the sacrificial material 214 is filled with a dielectric material 218 using a deposition process selected from the group consisting of atomic layer deposition (ALD) and chemical vapor deposition (CVD). In one embodiment, the opening of the sacrificial material 214 is filled with a dielectric material 218 of a first dielectric material composition. In one such embodiment, the ILD material layer 206 comprises a second dielectric material comprised of a different material than the first dielectric material composition. In another such embodiment, however, the ILD material layer 206 is comprised of a first dielectric material.

參見圖2F,填充的犧牲材料214'被去除,以提供介電插塞220A和220B。在顯示的範例性實施例中,介電插塞220A被配置在底層金屬化層200的兩個金屬線202之間的下部金屬化層200的部分。介電插塞220A係相鄰於通孔溝槽212A和線溝槽208'並且在圖2F所示的 情況中,係介於基本對稱的通孔溝槽212A和線溝槽208'之間。介電插塞220B係配置在ILD材料層206的圖案化下部210'的一部分上。介電插塞220B相鄰於通孔溝槽212B和對應的線溝槽(介電插塞220B的右側)。在實施例中,圖2E的結構受到用於除去介電材料218的覆蓋層區域的平坦化程序以去除該圖案化的硬掩模216,並減少犧牲材料214'的高度和在其中的該介電材料218的部分。犧牲材料214'接著藉由使用選擇性濕式或乾式處理蝕刻技術被去除。 Referring to Figure 2F, the filled sacrificial material 214' is removed to provide dielectric plugs 220A and 220B. In the exemplary embodiment shown, dielectric plug 220A is disposed in a portion of lower metallization layer 200 between two metal lines 202 of underlying metallization layer 200. Dielectric plug 220A is adjacent to via trench 212A and trench 208' and is shown in FIG. 2F In the case, it is between the substantially symmetrical via trench 212A and the trench 208'. Dielectric plug 220B is disposed on a portion of patterned lower portion 210' of ILD material layer 206. The dielectric plug 220B is adjacent to the via trench 212B and the corresponding line trench (on the right side of the dielectric plug 220B). In an embodiment, the structure of FIG. 2E is subjected to a planarization process for removing the cap layer region of dielectric material 218 to remove the patterned hard mask 216 and reduce the height of sacrificial material 214' and the dielectric therein. A portion of electrical material 218. The sacrificial material 214' is then removed by using selective wet or dry process etching techniques.

參見圖2G,線溝槽208'和通孔溝槽212A與212B係填充有導電材料。在一個實施例中,將線溝槽208'和通孔溝槽212A與212B填充有導電材料形成在圖案化的介電層210'中的金屬線222和導電通孔224。在示範性實施例中,參照插塞220A,第一金屬線222和第一導電通孔224係直接相鄰於介電插塞220A的左側壁。第二金屬線222和第二導電通孔224係直接相鄰於介電插塞220A的右側壁。參見插塞220B,第一金屬線222係直接相鄰於介電插塞220B的右側壁,並且ILD層的圖案化的下部210'的底層部分係直接相鄰於第一導電通孔224。然而,在介電插塞220B的左側,僅有金屬線22而不是相關的導電通孔與介電插塞220B相關。在實施例中,藉由在圖2F的結構上沉積並且接著平面化一或多個金屬層來執行金屬填充程序。 Referring to FIG. 2G, the line trench 208' and the via trenches 212A and 212B are filled with a conductive material. In one embodiment, the line trenches 208' and via trenches 212A and 212B are filled with a metal material 222 and conductive vias 224 formed of a conductive material in the patterned dielectric layer 210'. In an exemplary embodiment, with reference to plug 220A, first metal line 222 and first conductive via 224 are directly adjacent to the left side wall of dielectric plug 220A. The second metal line 222 and the second conductive via 224 are directly adjacent to the right side wall of the dielectric plug 220A. Referring to plug 220B, first metal line 222 is directly adjacent to the right side wall of dielectric plug 220B, and the bottom portion of patterned lower portion 210' of the ILD layer is directly adjacent to first conductive via 224. However, on the left side of the dielectric plug 220B, only the metal line 22, rather than the associated conductive via, is associated with the dielectric plug 220B. In an embodiment, the metal fill process is performed by depositing on the structure of FIG. 2F and then planarizing one or more metal layers.

再次參見圖2G,可以使用圖示來說明數個不 同的實施例。例如,在實施例中,圖2G的結構表示最終金屬化層結構。在另一實施例中,介電插塞220A和22B被移除以提供氣隙結構。在另一實施例中,介電插塞220A和22B被另一介電材料代替。在另一個實施例中,介電插塞220A和22B可以是最終轉移到另一個底部層間介電材料層的犧牲圖案。 Referring again to Figure 2G, the illustration can be used to illustrate several The same embodiment. For example, in an embodiment, the structure of Figure 2G represents the final metallization layer structure. In another embodiment, the dielectric plugs 220A and 22B are removed to provide an air gap structure. In another embodiment, dielectric plugs 220A and 22B are replaced by another dielectric material. In another embodiment, the dielectric plugs 220A and 22B may be sacrificial patterns that are ultimately transferred to another bottom interlayer dielectric material layer.

在示範性實施例中,再次參照圖2G(以及先前的處理操作),半導體晶粒的互連結構的金屬化層包含設置在層間介電質(ILD)材料層206的溝槽208'中的金屬線222。該ILD材料層206係由第一介電材料製成。導電通孔224係設置在ILD材料層206中,在金屬線222下方且電連接到金屬線222。介電插塞220A(或220B)係直接相鄰於金屬線222和導電通孔224。第二金屬線222和導電通孔224也可以直接鄰近於介電插塞(例如,介電插塞220A)。在一個實施例中,介電插塞220A(或220B)係由與該第一介電材料不同的第二介電材料製成。 In an exemplary embodiment, referring again to FIG. 2G (and previous processing operations), the metallization layer of the interconnect structure of the semiconductor die includes a trench 208' disposed in the interlayer dielectric (ILD) material layer 206. Metal wire 222. The ILD material layer 206 is made of a first dielectric material. Conductive vias 224 are disposed in ILD material layer 206, under metal lines 222, and electrically connected to metal lines 222. Dielectric plug 220A (or 220B) is directly adjacent to metal line 222 and conductive via 224. The second metal line 222 and the conductive via 224 may also be directly adjacent to the dielectric plug (eg, dielectric plug 220A). In one embodiment, the dielectric plug 220A (or 220B) is made of a second dielectric material that is different than the first dielectric material.

應當理解將犧牲材料214的開口填充有介電材料可致使形成約在所得介電插塞的中心的介電材料中的接縫。例如,圖3A顯示根據本發明的實施例的包含其中具有接縫的介電線端或插塞之半導體晶粒的互連結構的金屬化層的橫截面圖。 It will be appreciated that filling the opening of the sacrificial material 214 with a dielectric material can result in a seam formed in the dielectric material at the center of the resulting dielectric plug. For example, FIG. 3A shows a cross-sectional view of a metallization layer comprising an interconnect structure of a semiconductor die having a dielectric end or a plug therein having a seam, in accordance with an embodiment of the present invention.

參照圖3A,半導體晶粒的互連結構的金屬化層包含設置在層間介電質(ILD)材料層的溝槽中的金屬線220(所示下部210')。導電通孔224係設置在ILD材 料層210'中,在金屬線222下方且電連接到金屬線222。介電插塞320A和320B係直接相鄰於金屬線222和導電通孔224。介電插塞320A和320B各包含約在介電插塞的中心的接縫300,例如,由於藉由化學氣相沉積(CVD)或原子層沉積(ALD)來沉積形成介電插塞。 Referring to FIG. 3A, the metallization layer of the interconnect structure of the semiconductor die includes a metal line 220 (shown in the lower portion 210') disposed in a trench of an interlayer dielectric (ILD) material layer. Conductive through holes 224 are provided in the ILD material In the material layer 210', under the metal line 222 and electrically connected to the metal line 222. Dielectric plugs 320A and 320B are directly adjacent to metal line 222 and conductive via 224. Dielectric plugs 320A and 320B each include a seam 300 about the center of the dielectric plug, for example, due to deposition by chemical vapor deposition (CVD) or atomic layer deposition (ALD) to form a dielectric plug.

應當理解,線端或插塞可以與不具有緊鄰於介電插塞的底層通孔的金屬線相關。例如,圖3B顯示根據本發明的實施例的包含不緊鄰於導電通孔的介電線端或插塞的半導體晶粒的互連結構的金屬化層的橫截面圖。參見圖3B,介電插塞320與不具有緊鄰於介電插塞320的底層通孔(諸如通孔224)的金屬線222相關。 It should be understood that the wire ends or plugs may be associated with metal wires that do not have an underlying via that is in close proximity to the dielectric plug. For example, FIG. 3B shows a cross-sectional view of a metallization layer of an interconnect structure including semiconductor dies that are not in close proximity to the dielectric ends or plugs of the conductive vias, in accordance with an embodiment of the present invention. Referring to FIG. 3B, the dielectric plug 320 is associated with a metal line 222 that does not have an underlying via such as via 224 that is in close proximity to the dielectric plug 320.

諸如與圖2G關聯描述的所得結構,圖3A或圖3B可以隨後被用作用於形成後續金屬線/通孔和ILD層的基礎。可替代地,圖2G、圖3A或圖3B的結構可表示在積體電路中的最終金屬互連層。應當理解,上述程序操作可以用替代的順序實施,而不是每個操作都需要被執行和/或額外的程序操作可以被執行。在實施例中,由於傳統微影/雙鑲嵌圖案化必須被容忍的偏移量,對於在本文描述的所得結構是減輕的因子。也是可以理解的是,在隨後的製造操作中,(多個)介電層可被除去以提供所得金屬線之間的空氣間隙。 3A or 3B can then be used as a basis for forming subsequent metal lines/vias and ILD layers, such as the resulting structure described in association with FIG. 2G. Alternatively, the structure of FIG. 2G, FIG. 3A, or FIG. 3B may represent the final metal interconnect layer in the integrated circuit. It should be understood that the above described program operations may be performed in alternative sequences, rather than each operation being performed and/or additional program operations being performed. In an embodiment, the offset that must be tolerated by conventional lithography/dual damascene patterning is a factor that is mitigated for the resulting structure described herein. It will also be appreciated that in subsequent fabrication operations, the dielectric layer(s) may be removed to provide an air gap between the resulting metal lines.

在實施例中,如在貫穿本說明書使用的,層間介電質(ILD)材料係由介電或絕緣材料組成或包含介電或絕緣材料。合適的介電材料的範例包含但不限於,矽 的氧化物(例如,二氧化矽(SiO2))、矽的氮化物(例如,氮化矽(Si3N4))、矽的摻雜氧化物、矽的氟化氧化物、矽的碳摻雜氧化物,本領域已知的各種低k介電材料,及其組合。該層間介電材料可以藉由傳統技術,諸如,例如,化學氣相沉積(CVD)、物理氣相沉積(PVD)或藉由其它的沉積方法形成。 In an embodiment, as used throughout this specification, an interlayer dielectric (ILD) material is comprised of or comprises a dielectric or insulating material. Examples of suitable dielectric materials include, but are not limited to, an oxide of cerium (eg, cerium oxide (SiO 2 )), a nitride of cerium (eg, cerium nitride (Si 3 N 4 )), doping of cerium Oxides, cerium fluoride oxides, cerium carbon doped oxides, various low k dielectric materials known in the art, and combinations thereof. The interlayer dielectric material can be formed by conventional techniques such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or by other deposition methods.

在實施例中,如也在貫穿本說明書使用的,互連材料是由一或多種金屬或其它導電結構組成。常見的範例是銅線和結構的使用,其可以或可以不包含銅和周圍ILD材料之間的阻擋層。如本文所使用的,用語金屬包含合金、堆疊和多種金屬的其它組合。例如,金屬互連線可以包含阻擋層、不同金屬的堆疊或合金等。互連線在本領域中有時也稱為跡線、佈線、線、金屬或簡單的互連。 In an embodiment, as also used throughout this specification, the interconnect material is comprised of one or more metals or other electrically conductive structures. A common example is the use of copper wires and structures that may or may not include a barrier between copper and surrounding ILD material. As used herein, the term metal includes alloys, stacks, and other combinations of various metals. For example, the metal interconnects can include barrier layers, stacks of different metals, alloys, and the like. Interconnect lines are sometimes referred to in the art as traces, wires, wires, metals, or simple interconnects.

在實施例中,如也在貫穿本說明書使用的,硬掩模材料係由不同於層間介電材料的介電材料組成。在一個實施例中,不同的硬掩模材料可以在不同的區域中使用,以提供對彼此和對底層介電質和金屬層不同的生長或蝕刻選擇性。在一些實施例中,硬掩模層包含矽的氮化物層(例如,氮化矽)或矽的氧化物層、或兩者或其組合。其它合適的材料可包含諸如碳化矽的碳基材料。在另一實施例中,硬掩模材料包含金屬物質。例如,硬掩模或其它覆蓋材料可以包含鈦的氮化物層(例如,氮化鈦)或其他金屬。諸如氧的潛在的較少量的其它材料可以包含在一或多個這些層中。可替代地,本領域中已知的其它硬掩模層 可以根據特定的實現而被使用。硬掩模層可能是藉由CVD、PVD或藉由其它沉積方法來形成。 In an embodiment, as also used throughout this specification, the hard mask material is comprised of a dielectric material that is different from the interlayer dielectric material. In one embodiment, different hard mask materials can be used in different regions to provide different growth or etch selectivity to each other and to the underlying dielectric and metal layers. In some embodiments, the hard mask layer comprises a tantalum nitride layer (eg, tantalum nitride) or tantalum oxide layer, or a combination of both or a combination thereof. Other suitable materials may include carbon based materials such as tantalum carbide. In another embodiment, the hard mask material comprises a metallic species. For example, the hard mask or other capping material may comprise a nitride layer of titanium (eg, titanium nitride) or other metal. Potentially lesser amounts of other materials such as oxygen may be included in one or more of these layers. Alternatively, other hard mask layers known in the art It can be used according to a specific implementation. The hard mask layer may be formed by CVD, PVD, or by other deposition methods.

應當理解,關於圖2A~2G、圖3A和3B描述的層和材料通常形成在諸如積體電路的(多個)底層裝置層的半導體基板或結構之上或上方。在一個實施例中,底層半導體基板代表用於製造積體電路的一般工件對象。半導體基板通常包含晶圓或其它矽片或另一半導體材料。合適的半導體基板包含但不限於單晶矽、多晶矽和絕緣體上矽(SOI),以及由其它半導體材料形成的類似基板。取決於製造階段,半導體基板通常包含電晶體、積體電路等。基板也可以包含半導體材料、金屬、介電質、摻雜物以及在半導體基板中常見的其他材料。此外,在圖1G或3F中所示的結構可以在底層較低層的互連層來製造。 It should be understood that the layers and materials described with respect to Figures 2A-2G, Figures 3A and 3B are typically formed on or over a semiconductor substrate or structure, such as the underlying device layer(s) of the integrated circuit. In one embodiment, the underlying semiconductor substrate represents a general workpiece object used to fabricate an integrated circuit. A semiconductor substrate typically comprises a wafer or other germanium or another semiconductor material. Suitable semiconductor substrates include, but are not limited to, single crystal germanium, polycrystalline germanium, and germanium on insulator (SOI), as well as similar substrates formed from other semiconductor materials. The semiconductor substrate usually includes a transistor, an integrated circuit, or the like depending on the manufacturing stage. The substrate may also comprise semiconductor materials, metals, dielectrics, dopants, and other materials that are common in semiconductor substrates. Furthermore, the structure shown in FIG. 1G or 3F can be fabricated in an interconnect layer of a lower layer of the underlying layer.

如上所述,圖案化的特徵可以在具有一定的間距並具有一定寬度間隔開的線、孔或溝槽的光柵狀圖案中進行圖案化。例如,圖案可以藉由間距二分法或間距四分法來製造。在範例中,毯式膜(如多晶矽膜)使用可能關於,例如,基於間隔物的四倍圖案化(SBQP)或間距四分法的微影和蝕刻處理被圖案化。應當理解,線的光柵圖案可以藉由多種方法,包含193nm浸潤式微影(193i)、EUV和/或EBDW微影,定向自組裝等來製造。 As noted above, the patterned features can be patterned in a grating-like pattern of lines, holes or trenches having a certain pitch and spaced apart. For example, the pattern can be fabricated by a pitch dichotomy or a pitch quadrature method. In an example, blanket film (eg, polysilicon film) use may be patterned with respect to, for example, spacer-based quadratic patterning (SBQP) or pitch quadrature lithography and etching processes. It should be understood that the grating pattern of the line can be fabricated by a variety of methods, including 193 nm immersion lithography (193i), EUV and/or EBDW lithography, directed self-assembly, and the like.

在實施例中,微影操作係使用193nm浸潤式微影(193i)、EUV和/或EBDW微影等進行。可以使用 正調或負調光阻。在一個實施例中,微影掩模是由地形掩蔽部分、抗反射塗料(ARC)層以及光阻層組成的三層掩模。在特定的這種實施例中,地形掩蔽部分是碳硬掩模(CHM)層,並且抗反射塗料層是矽ARC層。 In an embodiment, the lithography operation is performed using 193 nm immersion lithography (193i), EUV and/or EBDW lithography, and the like. can use Positive or negative adjustment of photoresist. In one embodiment, the lithography mask is a three layer mask consisting of a topographic masking portion, an anti-reflective coating (ARC) layer, and a photoresist layer. In certain such embodiments, the topographic masking portion is a carbon hard mask (CHM) layer and the anti-reflective coating layer is a tantalum ARC layer.

為了提供上述實施例的進一步情境,在小於約50奈米的間距將特徵圖案化和對準需要許多光罩和嚴格的對準策略,其對於半導體製造程序是極度昂貴的。通常,這裡所描述的實施例關於基於可以與底層對準的覆蓋正交光柵結構的位置之金屬和線端圖案的製造。本文揭露的實施例可以用於製造多種不同類型的積體電路和/或微電子裝置。這種積體電路的範例包含但不限於處理器、晶片組組件、圖形處理器、數位訊號處理器、微控制器等。在其他實施例中,半導體記憶體可以被製造。此外,積體電路或其它微電子裝置可以用於本領域已知的各種各樣的電子裝置。例如,在電腦系統(例如,桌上電腦、膝上型電腦、伺服器)、蜂巢式電話、個人電子裝置等中。積體電路可以與匯流排和系統中的其他組件耦接。例如,處理器可以由一或多個匯流排耦接到記憶體、晶片組等。處理器、記憶體和晶片組中的每一個可能會潛在地使用本文揭露的方法來製造。 In order to provide further context for the above embodiments, patterning and aligning features at pitches less than about 50 nanometers requires many masks and strict alignment strategies that are extremely expensive for semiconductor fabrication processes. In general, the embodiments described herein relate to the fabrication of metal and line end patterns based on locations of orthogonal grating structures that can be aligned with the underlying layer. Embodiments disclosed herein can be used to fabricate a variety of different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, microcontrollers, and the like. In other embodiments, a semiconductor memory can be fabricated. In addition, integrated circuits or other microelectronic devices can be used with a wide variety of electronic devices known in the art. For example, in a computer system (for example, a desktop computer, a laptop computer, a server), a cellular phone, a personal electronic device, or the like. The integrated circuit can be coupled to the bus bar and other components in the system. For example, the processor can be coupled to a memory, a chipset, etc. by one or more bus bars. Each of the processor, memory, and wafer set may potentially be fabricated using the methods disclosed herein.

圖4顯示根據本發明的一種實現的計算裝置400。該計算裝置400容納板402。板402可包括多個元件,包括但不限於處理器404和至少一個通訊晶片406。處理器404可以被物理地和電性地耦接到板402。在一些 實現中,至少一個通訊晶片406也可以被物理地和電性地耦接到板402。在另外的實現中,通訊晶片406可以是處理器404的一部分。 FIG. 4 shows a computing device 400 in accordance with an implementation of the present invention. The computing device 400 houses a board 402. The board 402 can include a number of components including, but not limited to, a processor 404 and at least one communication chip 406. Processor 404 can be physically and electrically coupled to board 402. In some In implementation, at least one of the communication chips 406 can also be physically and electrically coupled to the board 402. In other implementations, communication chip 406 can be part of processor 404.

取決於其應用,計算裝置400可以包括可以或可以不被實體地和電性地耦接到板402的其他元件。這些其他元件可以包括但不限於揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位訊號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、陀螺儀、揚聲器、相機和大容量儲存裝置(如硬碟、光碟(CD)、數位多功能光碟(DVD)等)。 Computing device 400 may include other components that may or may not be physically and electrically coupled to board 402, depending on its application. These other components may include, but are not limited to, volatile memory (eg, DRAM), non-volatile memory (eg, ROM), flash memory, graphics processors, digital signal processors, cryptographic processors, chipsets, Antennas, displays, touch screen displays, touch screen controllers, batteries, audio codecs, video codecs, power amplifiers, global positioning system (GPS) devices, compasses, accelerometers, gyroscopes, speakers, cameras and Large-capacity storage devices (such as hard drives, compact discs (CDs), digital versatile discs (DVDs), etc.).

通訊晶片406可以致使用於資料傳送往來於計算裝置400的無線通訊。用語“無線”及其衍生詞可以用於描述電路、裝置、系統、方法、技術、通訊通道等,其可藉由非固態媒體、藉由使用調製的電磁輻射來傳送資料。該用語不暗示相關的裝置不包含任何導線,儘管在一些實施例中它們可能沒有。通訊晶片406可以實現任何數目的無線標準或協議,其包括但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽,其衍生物以及那些被指定為3G、4G、5G 和之後的任何其它無線協定。計算裝置400可以包括複數個通訊晶片406。例如,第一通訊晶片406可專用於短範圍無線通訊,例如Wi-Fi和藍芽,並且第二通訊晶片406可專用於長範圍無線通訊如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO和其他。 The communication chip 406 can be used to communicate wireless communications to and from the computing device 400. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communication channels, etc., which may be transmitted by non-solid media using modulated electromagnetic radiation. This term does not imply that the associated device does not contain any wires, although in some embodiments they may not. Communication chip 406 can implement any number of wireless standards or protocols including, but not limited to, Wi-Fi (IEEE 802.11 series), WiMAX (IEEE 802.16 series), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+ , HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof and those designated as 3G, 4G, 5G And any other wireless agreement after that. Computing device 400 can include a plurality of communication chips 406. For example, the first communication chip 406 can be dedicated to short-range wireless communication, such as Wi-Fi and Bluetooth, and the second communication chip 406 can be dedicated to long-range wireless communication such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev. -DO and others.

計算裝置400的處理器404包含封裝在處理器404內的積體電路晶粒。在本發明的實施例的一些實現中,該處理器的積體電路晶粒包含一或多個結構,諸如具有根據本發明的實施例的實現建立的金屬線端(插塞或切口)的金屬互連層部。用語“處理器”可以指處理來自暫存器和/或記憶體的電子資料,以將該電子資料轉換成可儲存在暫存器和/或記憶體中的其他電子資料的任何裝置或裝置的部分。 Processor 404 of computing device 400 includes integrated circuit dies that are packaged within processor 404. In some implementations of embodiments of the present invention, the integrated circuit die of the processor includes one or more structures, such as a metal having metal wire ends (plugs or slits) established in accordance with an embodiment of the present invention. Interconnect layer. The term "processor" may refer to any device or device that processes electronic material from a register and/or memory to convert the electronic data into other electronic material that can be stored in a register and/or memory. section.

通訊晶片406也可以包括封裝在通訊晶片406內的積體電路晶粒。根據本發明的實施例的其他實現,該通訊晶片的積體電路晶粒包含一或多個結構,諸如具有根據本發明的實施例的實現建立的金屬線端(插塞或切口)的金屬互連層部。 Communication chip 406 may also include integrated circuit dies that are packaged within communication chip 406. According to other implementations of embodiments of the present invention, the integrated circuit die of the communication chip includes one or more structures, such as metal interconnects having metal wire ends (plugs or slits) established in accordance with implementations of embodiments of the present invention. Linked department.

在進一步的實現中,容納在計算裝置400內的另一元件可以含有積體電路晶粒,其包含一或多個結構,諸如具有根據本發明的實施例的實現建立的金屬線端(插塞或切口)的金屬互連層部。 In a further implementation, another component housed within computing device 400 can include integrated circuit dies that include one or more structures, such as metal wire ends (plugs) that have been implemented in accordance with implementations of embodiments of the present invention. Or a metal interconnect layer of the slit).

在各種實現中,計算裝置400可以是膝上型電腦、小筆電、筆記型電腦、超輕薄電腦、智慧手機、平 板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或者數位錄影機。在另外的實現中,計算裝置400可以是用於處理資料的任何其它電子裝置。 In various implementations, computing device 400 can be a laptop, a small notebook, a notebook, an ultra-thin computer, a smart phone, a flat Board computer, personal digital assistant (PDA), ultra mobile PC, mobile phone, desktop computer, server, printer, scanner, monitor, set-top box, entertainment control unit, digital camera, portable music player Or digital video recorder. In further implementations, computing device 400 can be any other electronic device for processing data.

圖5顯示包括本發明的一或多個實施例的中介層500。中介層500是用於將第一基板502橋接到第二基板504的居間基板。第一基板502可以是,例如,積體電路晶粒。第二基板504可以是,例如,記憶體模組、電腦主機板,或另一積體電路晶粒。通常,中介層500的目的是散佈連接到更寬的間距和/或將連接重新路由到不同的連接。例如,中介層500可以將積體電路晶粒耦接到可以隨後被耦接到第二基板504的球閘陣列(BGA)506。在一些實施例中,第一和第二基板502/504被附接到中介層500的相對側。在其它實施例中,第一和第二基板502/504被附接到中介層500的相同側。並且在進一步的實施例中,三個或更多的基板係藉由中介層500的方式被互連。 FIG. 5 shows an interposer 500 that includes one or more embodiments of the present invention. The interposer 500 is an intervening substrate for bridging the first substrate 502 to the second substrate 504. The first substrate 502 can be, for example, an integrated circuit die. The second substrate 504 can be, for example, a memory module, a computer motherboard, or another integrated circuit die. Typically, the purpose of the interposer 500 is to spread connections to wider spacing and/or to reroute connections to different connections. For example, the interposer 500 can couple the integrated circuit die to a ball gate array (BGA) 506 that can then be coupled to the second substrate 504. In some embodiments, the first and second substrates 502 / 504 are attached to opposite sides of the interposer 500. In other embodiments, the first and second substrates 502 / 504 are attached to the same side of the interposer 500. And in a further embodiment, three or more substrates are interconnected by means of interposer 500.

中介層500可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料或聚合物材料,如聚醯亞胺形成。在進一步的實現中,中介層可以由替代的可以包括上述在半導體基板中使用的相同材料,如矽、鍺以及其它III-V族和IV族的材料的剛性或柔性材料來形成。 The interposer 500 may be formed of an epoxy resin, a glass fiber reinforced epoxy resin, a ceramic material, or a polymeric material such as polyimide. In a further implementation, the interposer may be formed from alternative rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as tantalum, niobium, and other III-V and IV materials.

中介層可以包括金屬互連508和通孔510,其 包含但不限於穿透矽通孔(TSV)512。中介層500可以進一步包括嵌入式裝置514,其包括被動和主動裝置。這樣的裝置包括但不限於電容、解耦電容、電阻、電感、熔斷器、二極體、變壓器、感測器和靜電放電(ESD)裝置。更複雜的裝置,如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器和MEMS裝置也可以在中介層500上形成。根據實施例,本文揭露的設備或程序可以用於製造中介層500。 The interposer may include a metal interconnect 508 and a via 510, Includes, but is not limited to, a through hole (TSV) 512. The interposer 500 can further include an embedded device 514 that includes passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices. More complex devices such as radio frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices can also be formed on the interposer 500. According to an embodiment, the apparatus or program disclosed herein may be used to fabricate the interposer 500.

因此,本發明的實施例包含用於圖案化後段(BEOL)互連的金屬線端的方法,以及所得的結構。 Accordingly, embodiments of the present invention include methods for patterning metal line ends of back end of line (BEOL) interconnects, as well as resulting structures.

在一個實施例中,一種用於半導體晶粒的互連結構的金屬化層包含金屬線,其設置在層間介電質(ILD)材料層的溝槽中。該ILD材料層由第一介電材料組成。導電通孔,其設置在該ILD材料層中、在該金屬線下方且電連接到該金屬線。介電插塞,其直接相鄰於該金屬線和該導電通孔。該介電插塞由與該第一介電材料不同的第二介電材料組成。 In one embodiment, a metallization layer for an interconnect structure of semiconductor dies includes metal lines disposed in trenches of an interlayer dielectric (ILD) material layer. The layer of ILD material consists of a first dielectric material. a conductive via disposed in the ILD material layer below the metal line and electrically connected to the metal line. A dielectric plug directly adjacent to the metal line and the conductive via. The dielectric plug is comprised of a second dielectric material that is different than the first dielectric material.

在一個實施例中,該金屬線和該導電通孔係相鄰於該介電插塞的第一側壁。該金屬化層進一步包含設置在該ILD材料層的第二溝槽中的第二金屬線,其直接相鄰於該介電插塞的相對的第二側壁。 In one embodiment, the metal line and the conductive via are adjacent to the first sidewall of the dielectric plug. The metallization layer further includes a second metal line disposed in the second trench of the ILD material layer directly adjacent to the opposite second sidewall of the dielectric plug.

在一個實施例中,該金屬化層進一步包含第二導電通孔,其設置在該ILD材料層中、在該第二金屬線下方且電連接到該第二金屬線,且直接相鄰於該介電插塞 的該第二側壁。 In one embodiment, the metallization layer further includes a second conductive via disposed in the ILD material layer, under the second metal line, and electrically connected to the second metal line, and directly adjacent to the Dielectric plug The second side wall.

在一個實施例中,該ILD材料層的一部分係直接在該第二金屬線之下,該第二金屬線係直接相鄰於該介電插塞的該第二側壁。 In one embodiment, a portion of the ILD material layer is directly under the second metal line, the second metal line being directly adjacent to the second sidewall of the dielectric plug.

在一個實施例中,該介電插塞包含約在該介電插塞的中心的接縫。 In one embodiment, the dielectric plug includes a seam about the center of the dielectric plug.

在一個實施例中,一種用於半導體晶粒的互連結構的金屬化層包含金屬線,其設置在層間介電質(ILD)材料層的溝槽中。導電通孔,其設置在該ILD材料層中、在該金屬線下方且電連接到該金屬線。介電插塞,其直接相鄰於該金屬線和該導電通孔,該介電插塞包含約在該介電插塞的中心的接縫。 In one embodiment, a metallization layer for an interconnect structure of semiconductor dies includes metal lines disposed in trenches of an interlayer dielectric (ILD) material layer. a conductive via disposed in the ILD material layer below the metal line and electrically connected to the metal line. A dielectric plug directly adjacent to the metal line and the conductive via, the dielectric plug including a seam about the center of the dielectric plug.

在一個實施例中,該金屬線和該導電通孔係相鄰於該介電插塞的第一側壁。該金屬化層進一步包含設置在該ILD材料層的第二溝槽中的第二金屬線,其直接相鄰於該介電插塞的相對的第二側壁。 In one embodiment, the metal line and the conductive via are adjacent to the first sidewall of the dielectric plug. The metallization layer further includes a second metal line disposed in the second trench of the ILD material layer directly adjacent to the opposite second sidewall of the dielectric plug.

在一個實施例中,該金屬化層進一步包含第二導電通孔,其設置在該ILD材料層中、在該第二金屬線下方且電連接到該第二金屬線,且直接相鄰於該介電插塞的該第二側壁。 In one embodiment, the metallization layer further includes a second conductive via disposed in the ILD material layer, under the second metal line, and electrically connected to the second metal line, and directly adjacent to the The second side wall of the dielectric plug.

在一個實施例中,該ILD材料層的一部分係直接在該第二金屬線之下,該第二金屬線係直接相鄰於該介電插塞的該第二側壁。 In one embodiment, a portion of the ILD material layer is directly under the second metal line, the second metal line being directly adjacent to the second sidewall of the dielectric plug.

在一個實施例中,一種製造用於半導體晶粒 的互連結構的金屬化層的方法,該方法包含:在形成於底層金屬化層上方的層間介電質(ILD)材料層的上部中形成線溝槽。該方法也包含在該ILD材料層的下部中形成通孔溝槽,該通孔溝槽暴露該底層金屬化層的兩個金屬線。該方法也包含在該ILD材料層上方和在該線溝槽與該通孔溝槽中形成犧牲材料。該方法也包含將該犧牲材料圖案化以形成暴露該底層金屬化層的該兩個金屬線之間的該下部金屬化層的一部分的開口。該方法也包含以介電材料填充該犧牲材料的該開口。該方法也包含除去該犧牲材料,以提供在該底層金屬化層的該兩個金屬線之間的該下部金屬化層的該部分上的介電插塞。該方法也包含以導電材料填充該線溝槽和該通孔溝槽。 In one embodiment, a fabrication for a semiconductor die A method of metallizing a layer of interconnect structure, the method comprising: forming a trench in an upper portion of an interlayer dielectric (ILD) material layer formed over the underlying metallization layer. The method also includes forming a via trench in the lower portion of the ILD material layer, the via trench exposing the two metal lines of the underlying metallization layer. The method also includes forming a sacrificial material over the layer of ILD material and in the trench of the line and the via. The method also includes patterning the sacrificial material to form an opening that exposes a portion of the lower metallization layer between the two metal lines of the underlying metallization layer. The method also includes filling the opening of the sacrificial material with a dielectric material. The method also includes removing the sacrificial material to provide a dielectric plug on the portion of the lower metallization layer between the two metal lines of the underlying metallization layer. The method also includes filling the line trench and the via trench with a conductive material.

在一個實施例中,以該導電材料填充該線溝槽和該通孔溝槽包含在該線溝槽中形成第一金屬線及在該通孔溝槽中形成第一導電通孔,該第一金屬線和該第一導電通孔直接相鄰於該介電插塞的第一側壁。 In one embodiment, filling the line trench with the conductive material and the via trench include forming a first metal line in the line trench and forming a first conductive via in the via trench, the first A metal line and the first conductive via are directly adjacent to the first sidewall of the dielectric plug.

在一個實施例中,以該導電材料填充該線溝槽和該通孔溝槽包含在該線溝槽中形成第二金屬線及在該通孔溝槽中形成第二導電通孔,該第二金屬線和該第二導電通孔直接相鄰於相對於該第一側壁的該介電插塞的第二側壁。 In one embodiment, filling the line trench with the conductive material and the via trench include forming a second metal line in the line trench and forming a second conductive via in the via trench, the first The second metal line and the second conductive via are directly adjacent to the second sidewall of the dielectric plug relative to the first sidewall.

在一個實施例中,以該介電材料填充該犧牲材料的該開口包含使用選自由原子層沉積(ALD)和化學氣相沉積(CVD)組成的群組的沉積程序。 In one embodiment, filling the opening of the sacrificial material with the dielectric material comprises using a deposition process selected from the group consisting of atomic layer deposition (ALD) and chemical vapor deposition (CVD).

在一個實施例中,以該介電材料填充該犧牲材料的該開口包含約在該介電插塞的該中心形成在該介電材料的接縫。 In one embodiment, filling the opening of the sacrificial material with the dielectric material comprises forming a seam at the center of the dielectric plug at the center of the dielectric material.

在一個實施例中,其中以該介電材料填充該犧牲材料的該開口包含以第一介電材料成分來填充。 In one embodiment, the opening in which the sacrificial material is filled with the dielectric material comprises filling with a first dielectric material composition.

在一個實施例中,其中該ILD材料層包含與該第一介電材料成分不同的第二介電材料成分。 In one embodiment, the ILD material layer comprises a second dielectric material composition that is different from the first dielectric material composition.

在一個實施例中,該ILD材料層包含該第一介電材料成分。 In one embodiment, the ILD material layer comprises the first dielectric material composition.

在一個實施例中,將該犧牲材料圖案化包含在該犧牲材料上形成圖案化的硬掩模,以及藉由蝕刻程序將圖案化的硬掩模的圖案轉移到該犧牲材料。 In one embodiment, the sacrificial material is patterned to include a patterned hard mask on the sacrificial material, and the pattern of the patterned hard mask is transferred to the sacrificial material by an etch process.

在一個實施例中,移除該犧牲材料包含將該犧性材料和該介電材料平坦化,接著將該犧牲材料的剩餘部分選擇性地蝕刻掉。 In one embodiment, removing the sacrificial material includes planarizing the sacrificial material and the dielectric material, and then selectively etching away the remaining portion of the sacrificial material.

在一個實施例中,一種用於製造半導體晶粒的互連結構的金屬化層的方法包含:在形成於底層金屬化層上方的層間介電質(ILD)材料層的上部中形成線溝槽。該方法也包含:在該ILD材料層上方和在該線溝槽中形成犧牲材料。該方法也包含將該犧牲材料圖案化以形成暴露該ILD材料層的下部的一部分的開口。該方法也包含以介電材料填充該犧牲材料的該開口。該方法也包含除去該犧牲材料,以提供在該ILD材料層的該下部的該部分上的介電插塞。該方法也包含以導電材料填充該線溝槽。 In one embodiment, a method for fabricating a metallization layer of an interconnect structure of a semiconductor die includes forming a trench in an upper portion of an interlayer dielectric (ILD) material layer formed over an underlying metallization layer . The method also includes forming a sacrificial material over the layer of ILD material and in the trench of the line. The method also includes patterning the sacrificial material to form an opening that exposes a portion of a lower portion of the layer of ILD material. The method also includes filling the opening of the sacrificial material with a dielectric material. The method also includes removing the sacrificial material to provide a dielectric plug on the portion of the lower portion of the layer of ILD material. The method also includes filling the line trench with a conductive material.

在一個實施例中,以該導電材料填充該線溝槽包含在該線溝槽中形成第一金屬線,該第一金屬線直接相鄰於該介電插塞的第一側壁,以及在該線溝槽中形成第二金屬線,該第二金屬線直接相鄰於相對於該第一側壁的該介電插塞的第二側壁。 In one embodiment, filling the line trench with the conductive material includes forming a first metal line in the line trench, the first metal line directly adjacent to the first sidewall of the dielectric plug, and A second metal line is formed in the line trench, the second metal line being directly adjacent to the second sidewall of the dielectric plug relative to the first sidewall.

在一個實施例中,以該介電材料填充該犧牲材料的該開口包含使用選自由原子層沉積(ALD)和化學氣相沉積(CVD)組成的群組的沉積程序。 In one embodiment, filling the opening of the sacrificial material with the dielectric material comprises using a deposition process selected from the group consisting of atomic layer deposition (ALD) and chemical vapor deposition (CVD).

在一個實施例中,以該介電材料填充該犧牲材料的該開口包含約在該介電插塞的該中心形成在該介電材料的接縫。 In one embodiment, filling the opening of the sacrificial material with the dielectric material comprises forming a seam at the center of the dielectric plug at the center of the dielectric material.

在一個實施例中,以該介電材料填充該犧牲材料的該開口包含以第一介電材料成分來填充,以及該ILD材料層包含與該第一介電材料成分不同的第二介電材料成分。 In one embodiment, the opening of the sacrificial material filled with the dielectric material comprises filling with a first dielectric material composition, and the ILD material layer comprises a second dielectric material different from the first dielectric material composition. ingredient.

在一個實施例中,以該介電材料填充該犧牲材料的該開口包含以第一介電材料成分來填充,以及該ILD材料層包含該第一介電材料成分。 In one embodiment, filling the opening of the sacrificial material with the dielectric material comprises filling with a first dielectric material composition, and the ILD material layer comprises the first dielectric material composition.

200‧‧‧底層金屬化層 200‧‧‧ underlying metallization

202‧‧‧金屬線 202‧‧‧Metal wire

204‧‧‧介電層 204‧‧‧Dielectric layer

206‧‧‧層間介電質(ILD)材料 206‧‧‧Interlayer dielectric (ILD) materials

208‧‧‧線溝槽 208‧‧‧Line groove

210‧‧‧下部 210‧‧‧ lower part

Claims (25)

一種用於半導體晶粒的互連結構的金屬化層,該金屬化層包含:金屬線,其設置在層間介電質(ILD)材料層的溝槽中,該ILD材料層包含第一介電材料;導電通孔,其設置在該ILD材料層中、在該金屬線下方且電連接到該金屬線;以及介電插塞,其直接相鄰於該金屬線和該導電通孔,該介電插塞包含與該第一介電材料不同的第二介電材料。 A metallization layer for an interconnect structure of a semiconductor die, the metallization layer comprising: a metal line disposed in a trench of an interlayer dielectric (ILD) material layer, the ILD material layer comprising a first dielectric a conductive via disposed in the ILD material layer below the metal line and electrically connected to the metal line; and a dielectric plug directly adjacent to the metal line and the conductive via The electrical plug includes a second dielectric material that is different than the first dielectric material. 如申請專利範圍第1項的金屬化層,其中該金屬線和該導電通孔係相鄰於該介電插塞的第一側壁,該金屬化層進一步包含:設置在該ILD材料層的第二溝槽中的第二金屬線,其直接相鄰於該介電插塞的相對的第二側壁。 The metallization layer of claim 1, wherein the metal line and the conductive via are adjacent to the first sidewall of the dielectric plug, the metallization layer further comprising: a layer disposed on the ILD material layer A second metal line in the second trench that is directly adjacent to the opposite second sidewall of the dielectric plug. 如申請專利範圍第1項的金屬化層,進一步包含:第二導電通孔,其設置在該ILD材料層中、在該第二金屬線下方且電連接到該第二金屬線,且直接相鄰於該介電插塞的該第二側壁。 The metallization layer of claim 1, further comprising: a second conductive via disposed in the ILD material layer, under the second metal line and electrically connected to the second metal line, and directly Adjacent to the second sidewall of the dielectric plug. 如申請專利範圍第1項的金屬化層,其中該ILD材料層的一部分係直接在該第二金屬線之下,該第二金屬線係直接相鄰於該介電插塞的該第二側壁。 The metallization layer of claim 1, wherein a portion of the ILD material layer is directly under the second metal line, the second metal line being directly adjacent to the second sidewall of the dielectric plug . 如申請專利範圍第1項的金屬化層,其中該介電插塞包含約在該介電插塞的中心的接縫。 The metallization layer of claim 1, wherein the dielectric plug comprises a seam about the center of the dielectric plug. 一種用於半導體晶粒的互連結構的金屬化層,該金屬化層包含:金屬線,其設置在層間介電質(ILD)材料層的溝槽中;導電通孔,其設置在該ILD材料層中、在該金屬線下方且電連接到該金屬線;以及介電插塞,其直接相鄰於該金屬線和該導電通孔,該介電插塞包含約在該介電插塞的中心的接縫。 A metallization layer for an interconnect structure of a semiconductor die, the metallization layer comprising: a metal line disposed in a trench of an interlayer dielectric (ILD) material layer; a conductive via disposed at the ILD a dielectric layer under the metal line and electrically connected to the metal line; and a dielectric plug directly adjacent to the metal line and the conductive via, the dielectric plug including the dielectric plug The seam of the center. 如申請專利範圍第6項的金屬化層,其中該金屬線和該導電通孔係相鄰於該介電插塞的第一側壁,該金屬化層進一步包含:設置在該ILD材料層的第二溝槽中的第二金屬線,其直接相鄰於該介電插塞的相對的第二側壁。 The metallization layer of claim 6, wherein the metal line and the conductive via are adjacent to the first sidewall of the dielectric plug, the metallization layer further comprising: a layer disposed on the ILD material layer A second metal line in the second trench that is directly adjacent to the opposite second sidewall of the dielectric plug. 如申請專利範圍第6項的金屬化層,進一步包含:第二導電通孔,其設置在該ILD材料層中、在該第二金屬線下方且電連接到該第二金屬線,且直接相鄰於該介電插塞的該第二側壁。 The metallization layer of claim 6, further comprising: a second conductive via disposed in the ILD material layer, under the second metal line and electrically connected to the second metal line, and directly Adjacent to the second sidewall of the dielectric plug. 如申請專利範圍第6項的金屬化層,其中該ILD材料層的一部分係直接在該第二金屬線之下,該第二金屬線係直接相鄰於該介電插塞的該第二側壁。 The metallization layer of claim 6, wherein a portion of the ILD material layer is directly under the second metal line, the second metal line being directly adjacent to the second sidewall of the dielectric plug . 一種製造用於半導體晶粒的互連結構的金屬化層的方法,該方法包含:在形成於底層金屬化層上方的層間介電質(ILD)材 料層的上部中形成線溝槽;在該ILD材料層的下部中形成通孔溝槽,該通孔溝槽暴露該底層金屬化層的兩個金屬線;在該ILD材料層上方和在該線溝槽與該通孔溝槽中形成犧牲材料;將該犧牲材料圖案化以形成暴露該底層金屬化層的該兩個金屬線之間的該下部金屬化層的一部分的開口;以介電材料填充該犧牲材料的該開口;除去該犧牲材料,以提供在該底層金屬化層的該兩個金屬線之間的該下部金屬化層的該部分上的介電插塞;以及以導電材料填充該線溝槽和該通孔溝槽。 A method of fabricating a metallization layer for an interconnect structure of a semiconductor die, the method comprising: an interlayer dielectric (ILD) material formed over the underlying metallization layer a line trench is formed in an upper portion of the layer; a via trench is formed in a lower portion of the layer of ILD material, the via trench exposing two metal lines of the underlying metallization layer; above and over the layer of ILD material Forming a sacrificial material in the trench and the via trench; patterning the sacrificial material to form an opening exposing a portion of the lower metallization layer between the two metal lines of the underlying metallization layer; a material filling the opening of the sacrificial material; removing the sacrificial material to provide a dielectric plug on the portion of the lower metallization layer between the two metal lines of the underlying metallization layer; and a conductive material The line trench and the via trench are filled. 如申請專利範圍第10項的方法,其中以該導電材料填充該線溝槽和該通孔溝槽包含在該線溝槽中形成第一金屬線及在該通孔溝槽中形成第一導電通孔,該第一金屬線和該第一導電通孔直接相鄰於該介電插塞的第一側壁。 The method of claim 10, wherein filling the line trench with the conductive material and the via trench comprises forming a first metal line in the line trench and forming a first conductive layer in the via trench a via, the first metal line and the first conductive via being directly adjacent to the first sidewall of the dielectric plug. 如申請專利範圍第11項的方法,其中以該導電材料填充該線溝槽和該通孔溝槽包含在該線溝槽中形成第二金屬線及在該通孔溝槽中形成第二導電通孔,該第二金屬線和該第二導電通孔直接相鄰於相對於該第一側壁的該介電插塞的第二側壁。 The method of claim 11, wherein filling the line trench with the conductive material and the via trench comprises forming a second metal line in the line trench and forming a second conductive layer in the via trench a via, the second metal line and the second conductive via being directly adjacent to a second sidewall of the dielectric plug relative to the first sidewall. 如申請專利範圍第10項的方法,其中以該介電材料填充該犧牲材料的該開口包含使用選自由原子層沉積 (ALD)和化學氣相沉積(CVD)組成的群組的沉積程序。 The method of claim 10, wherein the opening of the sacrificial material filled with the dielectric material comprises using a layer selected from the group consisting of atomic layer deposition A deposition procedure for a group consisting of (ALD) and chemical vapor deposition (CVD). 如申請專利範圍第13項的方法,其中以該介電材料填充該犧牲材料的該開口包含約在該介電插塞的該中心形成在該介電材料的接縫。 The method of claim 13, wherein the opening of the sacrificial material filled with the dielectric material comprises a seam formed at the center of the dielectric plug at the center of the dielectric material. 如申請專利範圍第10項的方法,其中以該介電材料填充該犧牲材料的該開口包含以第一介電材料成分來填充。 The method of claim 10, wherein the opening of the sacrificial material filled with the dielectric material comprises filling with a first dielectric material composition. 如申請專利範圍第15項的方法,其中該ILD材料層包含與該第一介電材料成分不同的第二介電材料成分。 The method of claim 15, wherein the ILD material layer comprises a second dielectric material component different from the first dielectric material composition. 如申請專利範圍第15項的方法,其中該ILD材料層包含該第一介電材料成分。 The method of claim 15, wherein the ILD material layer comprises the first dielectric material component. 如申請專利範圍第10項的方法,其中將該犧牲材料圖案化包含在該犧牲材料上形成圖案化的硬掩模,以及藉由蝕刻程序將圖案化的硬掩模的圖案轉移到該犧牲材料。 The method of claim 10, wherein the patterning of the sacrificial material comprises forming a patterned hard mask on the sacrificial material, and transferring the pattern of the patterned hard mask to the sacrificial material by an etching process. . 如申請專利範圍第10項的方法,其中移除該犧牲材料包含將該犧牲材料和該介電材料平坦化,接著將該犧牲材料的剩餘部分選擇性地蝕刻掉。 The method of claim 10, wherein removing the sacrificial material comprises planarizing the sacrificial material and the dielectric material, and then selectively etching the remaining portion of the sacrificial material. 一種用於製造半導體晶粒的互連結構的金屬化層的方法,該方法包含:在形成於底層金屬化層上方的層間介電質(ILD)材料層的上部中形成線溝槽; 在該ILD材料層上方和在該線溝槽中形成犧牲材料;將該犧牲材料圖案化以形成暴露該ILD材料層的下部的一部分的開口;以介電材料填充該犧牲材料的該開口;除去該犧牲材料,以提供在該ILD材料層的該下部的該部分上的介電插塞;以及以導電材料填充該線溝槽。 A method for fabricating a metallization layer of an interconnect structure of a semiconductor die, the method comprising: forming a line trench in an upper portion of an interlayer dielectric (ILD) material layer formed over the underlying metallization layer; Forming a sacrificial material over the layer of ILD material and in the trench of the line; patterning the sacrificial material to form an opening exposing a portion of a lower portion of the layer of ILD material; filling the opening of the sacrificial material with a dielectric material; The sacrificial material to provide a dielectric plug on the portion of the lower portion of the ILD material layer; and to fill the line trench with a conductive material. 如申請專利範圍第20項的方法,其中以該導電材料填充該線溝槽包含在該線溝槽中形成第一金屬線,該第一金屬線直接相鄰於該介電插塞的第一側壁,以及在該線溝槽中形成第二金屬線,該第二金屬線直接相鄰於相對於該第一側壁的該介電插塞的第二側壁。 The method of claim 20, wherein filling the line trench with the conductive material comprises forming a first metal line in the line trench, the first metal line directly adjacent to the first of the dielectric plug a sidewall, and a second metal line formed in the trench, the second metal line being directly adjacent to the second sidewall of the dielectric plug relative to the first sidewall. 如申請專利範圍第20項的方法,其中以該介電材料填充該犧牲材料的該開口包含使用選自由原子層沉積(ALD)和化學氣相沉積(CVD)組成的群組的沉積程序。 The method of claim 20, wherein filling the opening of the sacrificial material with the dielectric material comprises using a deposition process selected from the group consisting of atomic layer deposition (ALD) and chemical vapor deposition (CVD). 如申請專利範圍第22項的方法,其中以該介電材料填充該犧牲材料的該開口包含約在該介電插塞的該中心形成在該介電材料的接縫。 The method of claim 22, wherein the opening of the sacrificial material filled with the dielectric material comprises a seam formed at the center of the dielectric plug at the dielectric material. 如申請專利範圍第20項的方法,其中以該介電材料填充該犧牲材料的該開口包含以第一介電材料成分來填充,以及該ILD材料層包含與該第一介電材料成分不同的第二介電材料成分。 The method of claim 20, wherein the opening of the sacrificial material filled with the dielectric material comprises filling with a first dielectric material composition, and the ILD material layer comprises a different composition than the first dielectric material. The second dielectric material composition. 如申請專利範圍第20項的方法,其中以該介電 材料填充該犧牲材料的該開口包含以第一介電材料成分來填充,以及該ILD材料層包含該第一介電材料成分。 For example, the method of claim 20, wherein the dielectric is The opening of the material filling the sacrificial material comprises filling with a first dielectric material composition, and the ILD material layer comprises the first dielectric material composition.
TW105138467A 2015-12-23 2016-11-23 Metallization layer of an interconnct structure for a semiconductor die, method of fabricating the metallization layer, integrated circuit structure comprising the metallization layer and computing device comprising the intergrated circuit structure TWI742018B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/US15/00492 2015-12-23
PCT/US2015/000492 WO2017111868A1 (en) 2015-12-23 2015-12-23 Approaches for patterning metal line ends for back end of line (beol) interconnects

Publications (2)

Publication Number Publication Date
TW201733007A true TW201733007A (en) 2017-09-16
TWI742018B TWI742018B (en) 2021-10-11

Family

ID=59091019

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105138467A TWI742018B (en) 2015-12-23 2016-11-23 Metallization layer of an interconnct structure for a semiconductor die, method of fabricating the metallization layer, integrated circuit structure comprising the metallization layer and computing device comprising the intergrated circuit structure
TW110136183A TW202230621A (en) 2015-12-23 2016-11-23 Metallization layer of an interconnect structure for a semiconductor die, method of fabricating the metallization layer, integrated circuit structure comprising the metallization layer and computing device comprising the integrated circuit structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110136183A TW202230621A (en) 2015-12-23 2016-11-23 Metallization layer of an interconnect structure for a semiconductor die, method of fabricating the metallization layer, integrated circuit structure comprising the metallization layer and computing device comprising the integrated circuit structure

Country Status (2)

Country Link
TW (2) TWI742018B (en)
WO (1) WO2017111868A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6923277B2 (en) 2016-12-23 2021-08-25 インテル・コーポレーション Advanced lithography and self-organizing devices
WO2019108237A1 (en) 2017-11-30 2019-06-06 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US10796968B2 (en) 2017-11-30 2020-10-06 Intel Corporation Dual metal silicide structures for advanced integrated circuit structure fabrication
US10707133B2 (en) 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
US10796951B2 (en) 2017-11-30 2020-10-06 Intel Corporation Etch-stop layer topography for advanced integrated circuit structure fabrication
DE102018126911A1 (en) 2017-11-30 2019-06-06 Intel Corporation Gate cut and fin trim isolation for advanced integrated circuit structure fabrication
US11462436B2 (en) 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
TW202401727A (en) 2017-11-30 2024-01-01 美商英特爾股份有限公司 Heterogeneous metal line compositions for advanced integrated circuit structure fabrication
US10756204B2 (en) 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10734379B2 (en) 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
US11189561B2 (en) 2019-09-18 2021-11-30 International Business Machines Corporation Placing top vias at line ends by selective growth of via mask from line cut dielectric

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770975B2 (en) * 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7718525B2 (en) * 2007-06-29 2010-05-18 International Business Machines Corporation Metal interconnect forming methods and IC chip including metal interconnect
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
US9099465B2 (en) * 2013-10-14 2015-08-04 Stmicroelectronics, Inc. High aspect ratio vias for high performance devices

Also Published As

Publication number Publication date
TWI742018B (en) 2021-10-11
WO2017111868A1 (en) 2017-06-29
TW202230621A (en) 2022-08-01

Similar Documents

Publication Publication Date Title
TWI742018B (en) Metallization layer of an interconnct structure for a semiconductor die, method of fabricating the metallization layer, integrated circuit structure comprising the metallization layer and computing device comprising the intergrated circuit structure
CN110223911B (en) Self-aligned via and plug patterning with optical bucket for back end of line (BEOL) interconnects
KR102367996B1 (en) Diagonal hardmasks for improved overlay in fabricating back end of line (beol) interconnects
US9793163B2 (en) Subtractive self-aligned via and plug patterning for back end of line (BEOL) interconnects
US20220157619A1 (en) Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias
TWI706442B (en) Grid self-aligned metal via processing schemes for back end of line (beol) interconnects and structures resulting therefrom
TWI730081B (en) Subtractive plug and tab patterning with photobuckets for back end of line (beol) spacer-based interconnects
US10636700B2 (en) Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
US10770291B2 (en) Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
US20190019748A1 (en) Pitch division patterning approaches with increased overlay margin for back end of line (beol) interconnect fabrication and structures resulting therefrom
TWI776672B (en) Damascene plug and tab patterning with photobuckets for back end of line (beol) spacer-based interconnects
TWI751366B (en) Conductive via and metal line end fabrication and structures resulting therefrom
US11894270B2 (en) Grating replication using helmets and topographically-selective deposition