TW201730577A - 半導體製造系統 - Google Patents

半導體製造系統 Download PDF

Info

Publication number
TW201730577A
TW201730577A TW105138687A TW105138687A TW201730577A TW 201730577 A TW201730577 A TW 201730577A TW 105138687 A TW105138687 A TW 105138687A TW 105138687 A TW105138687 A TW 105138687A TW 201730577 A TW201730577 A TW 201730577A
Authority
TW
Taiwan
Prior art keywords
wafer
processor
machine
wafers
storage device
Prior art date
Application number
TW105138687A
Other languages
English (en)
Inventor
米忻超
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201730577A publication Critical patent/TW201730577A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31718Logistic aspects, e.g. binning, selection, sorting of devices under test, tester/handler interaction networks, Test management software, e.g. software for test statistics or test evaluation, yield analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

一種半導體製造系統,包含機台、儲存裝置以及處理器。機台用以在晶圓上實施半導體製程,其中機台包含數個感測器。儲存裝置用以儲存數個電腦程式碼。處理器用以執行儲存裝置中之電腦程式碼,以進行下列步驟:對自感測器取得之量變曲線資料進行模型化,以產生模型化結果;自與經模型化的量變曲線資料相對應之模型化結果提取數個特徵;根據提取之特徵,提取數個分數,分數各自代表晶圓經由該機台所處理的程度;以及根據提取之分數,顯示晶圓之錯誤感測的排序。

Description

半導體製造系統
本揭示內容是關於一種半導體製造系統,特別是關於一種具錯誤感測之半導體製造系統。
於半導體製造流程中,積體電路(IC)裝置是以連續的半導體層所製造而成。半導體製造過程是由各式各樣的處理機台以及量測機台所進行,其中處理機台執行例如由製造半導體裝置的配方所限定的各種處理功能。
傳統上來說,不同機台之間的錯誤感測與分類(fault detection and classification;FDC)是由使用者之知識來辨別。舉例而言,使用者是透過其經驗與知識辨別於錯誤感測與分類表中之異常情況,而非透過標準規範。
本揭示內容之一實施方式是關於一種半導體製造系統,其包含至少一個機台、一個儲存裝置以及一個處理器。上述機台是用以執行在至少一晶圓上之半導體製造流程,其中機台包含數個感測器。儲存裝置用以儲存電腦程式碼。處 理器用以執行儲存於儲存裝置中的電腦程式碼,以進行下列步驟:對自感測器取得之量變曲線資料進行模型化,以產生模型化結果;自與經模型化的量變曲線資料相對應之模型化結果提取數個特徵;根據提取之特徵,提取數個分數,分數各自代表晶圓經由該機台所處理的程度;以及根據提取之分數,顯示晶圓之錯誤感測的排序。
本揭示內容旨在提供本揭示內容的簡化摘要,以使閱讀者對本揭示內容具備基本的理解。此揭露內容並非本案的完整概述,且其用意並非在指出本揭示內容實施例的重要(或關鍵)元件或界定本揭示內容的範圍。
100、200‧‧‧系統
105‧‧‧機台
110‧‧‧晶圓
120‧‧‧感測器
202‧‧‧處理器
204‧‧‧儲存裝置
206‧‧‧電腦程式碼
207‧‧‧指令
208‧‧‧匯流排
210‧‧‧輸入/輸出介面
212‧‧‧網路介面
214‧‧‧網路
218‧‧‧量變曲線資料
220‧‧‧特徵
222‧‧‧分數
224‧‧‧排序
250‧‧‧顯示器
300‧‧‧方法
S302、S304、S306、S308‧‧‧步驟
IND1、IND2、IND3、IND4‧‧‧感測器
第1圖為根據本揭示內容中一些實施例所繪示之半導體製造系統的示意圖;第2圖為根據本揭示內容之一些實施例所繪示之應用於半導體製造系統的系統之方塊圖;第3圖為根據本揭示內容之一些實施例所繪示之方法的流程圖;第4圖為根據本揭示內容之一些實施例所繪示之量變曲線資料的示意圖;第5圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND1相關聯且對應於晶圓1-8之特徵值的圖表; 第6圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND2相關聯且對應於晶圓1-8之特徵值的圖表;第7圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND3相關聯且對應於晶圓1-8之特徵值的圖表;以及第8圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND4相關聯且對應於晶圓1-8之特徵值的圖表。
以下揭露提供眾多不同的實施例或範例,用於實施本案提供的主要內容之不同特徵。下文描述一特定範例之組件及配置以簡化本揭示內容。當然,此範例僅為示意性,且並不擬定限制。舉例而言,以下描述「第一特徵形成在第二特徵之上方或之上」,於實施例中可包括第一特徵與第二特徵直接接觸,且亦可包括在第一特徵與第二特徵之間形成額外特徵使得第一特徵及第二特徵無直接接觸。此外,本揭示內容可在各範例中重複使用元件符號及/或字母。此重複之目的在於簡化及釐清,且其自身並不規定所討論的各實施例及/或配置之間的關係。
在全篇說明書與申請專利範圍所使用之用詞(terms),除有特別註明外,通常具有每個用詞使用在此領域中、在此揭露之內容中與特殊內容中的平常意義。某些用以描述本揭示內容之用詞將於下或在此說明書的別處討論,以提供本領域技術人員在有關本揭示內容之描述上額外的引導。
關於本文中所使用之『第一』、『第二』、...等, 並非特別指稱次序或順位的意思,亦非用以限定本揭示內容,其僅僅是為了區別以相同技術用語描述的元件或操作而已。如本文所用,詞彙『與/或』包含了列出的關聯項目中的一個或多個的任何組合。
第1圖為根據本揭示內容中一些實施例所繪示之半導體製造系統100的示意圖。如第1圖所繪示,半導體製造系統100包含機台(tool)105。機台105是用以在晶圓110上執行一或多個半導體製程,以進行半導體製造。在一些實施例中,機台105包含至少一半導體製造機台設備。在不同實施例中,機台105包含用以執行半導體製造流程的單一腔體或多個腔體。為了簡要說明,第1圖中,半導體製造系統100中僅繪示一個機台105以及一個晶圓110,但半導體製造系統100中不同數量的機台以及晶圓亦在本揭示內容的預期範圍內。
在一些實施例中,執行半導體製造流程包含執行數個在晶圓110上的處理操作,以產生期望的半導體積體電路(IC)。例示而言,半導體製造流程包含一系列的光微影以及化學處理操作。
上述之處理操作包含例如沉積、移除、圖案化以及電氣特性的修改(例如摻雜)。在一些實施例中,沉積是生長、塗覆及/或將材料移送到晶圓上的一種過程。沉積處理或技術包含例如物理氣相沉積(PVD)、化學氣相沉積(CVD)、電化學沉積(ECD)、分子束磊晶(MBE)、原子層沉積(ALD)或類似方式。在一些實施例中,移除處理是由晶圓上移除元件,並包含例如蝕刻處理,舉例而言,移除處理包含化學機械平坦化 (CMP),用以平坦化半導體結構的表面。圖案化處理,亦稱為光微影(lithography),在一些實施例中,圖案化處理將沉積材料成型。舉例來說,圖案化處理包含使用光阻劑材料選擇性地遮罩部分的半導體結構,將半導體結構暴露於特定的光波長中,接著以顯影液沖刷未曝光的區域。在一些實施例中,電氣特性的改變包含以擴散及/或離子植入方法摻雜在選定的區域。作為例示,摻雜處理後會實施退火處理,包含例如熔爐退火或是快速熱退火(RTA),以活化所植入的摻雜劑。
如上述內容,機台105於晶圓110上執行一或多個半導體製造流程。在一些實施例中,不同的半導體製造流程包含例如蝕刻、佈植、沉積以及退火,且這些流程分別由不同的機台所執行。舉例來說,這些機台包含步進式光微影、蝕刻機台、沉積機台、拋光機台、快速熱退火機台、離子佈值機台以及類似物等。各機台用以根據相關的製程配方在晶圓上執行半導體製造流程。作為例示,一個機台是用以在一晶圓上放置薄膜,而另一機台是用以由晶圓上蝕刻掉一分層。除此之外,在不同的實施例中,半導體製造系統100包含相同類型的機台,且這些機台是用以執行相同類型的半導體製造流程。
在一些實施例中,機台105包含感測器120,用以感測包含例如壓力、氣體流量、時間、溫度、雜質含量等參數。根據感測器120在半導體製造流程中所感測的參數,得到參數的量變曲線資料。這些量變曲線資料用在錯誤感測與分類(FDC)上,且會在之後的例示中說明。在一些實施例中,感測器120包含例如壓力感測器、溫度感測器、氣體感測器以及其 他感測器等。
上述的機台105以及感測器120的組態是作為例示。不同組態之機台105以及感測器120亦在本揭示內容之預期範圍內。除此之外,上述之機台105以及感測器120的類型是作為例示,不同組態之機台105以及感測器120亦在本揭示內容之預期範圍內。
第2圖為根據本揭示內容之一些實施例所繪示之應用於第1圖中之半導體製造系統100的系統200之方塊圖。在一些實施例中,系統200是用以提取關於第1圖中之半導體製造系統100之錯誤相關的錯誤感測與分類特徵。在一些實施例中,系統200由計算裝置來實現,包含例如電腦。作為例示,系統200包含處理器202以及儲存電腦程式碼206的非暫態電腦可讀取儲存裝置204。處理器202是用以執行儲存於電腦可讀取儲存裝置204的電腦程式碼206,以執行例如第3圖所示之操作。
在一些實施例中,處理器202的實施是透過例如中央處理單元、多處理器、分散式處理系統、特殊功能積體電路、合適處理單元或其他具有同等功能之元件等。上述實施處理器202的不同電路或單元是供例示目的,實施處理器202的各種電路或單元均在本揭示內容的預期範圍內。
在一些實施例中,電腦可讀取儲存裝置204的實施是透過例如電子、磁性、光學、電磁、紅外線及/或半導體設備(或裝置)。例如電腦可讀取儲存裝置204包含半導體記憶體、磁帶、可移動計算機磁碟、隨機存取記憶體、唯獨記憶 體、硬磁碟、光磁碟或其他有同等功能之元件等。在一些實施例中,電腦可讀取儲存裝置204包含光磁碟。在一些實施例中,電腦可讀取儲存裝置204包含例如唯讀記憶光碟、可讀寫記憶光碟、數位影碟或其他類似物等。
在一些實施例中,電腦可讀取儲存裝置204也儲存指令207,以與外部機器及/或裝置對接。例示而言,電腦可讀取儲存裝置204編碼有指令207,用以與包含感測器120的機台105對接。因此,處理器202可以與機台105進行通信,從而執行例如第3圖的方法300。
在一些實施例中,處理器202透過匯流排208與電腦可讀取儲存裝置204電性耦接。因此,處理器202也可透過匯流排208與電腦可讀取儲存裝置204通信。
除此之外,在一些實施例中,處理器202也可透過匯流排208與不同的外圍裝置及/或外部裝置通信。例示而言,在第2圖中,處理器202透過匯流排208與輸入/輸出介面210電性耦接。輸入/輸出介面210與包含顯示器250的外圍裝置電性耦接。顯示器250是例如陰極射線管(CRT)、液晶顯示器(LCD)或類似物。因此,處理器202可透過輸入/輸出介面210與顯示器250通信。透過輸入/輸出介面210,處理器202可與其他外圍設備通信連接傳送訊息以及指令。外圍設備包含例如鍵盤、輔助鍵盤、滑鼠、軌跡球、軌道板、觸控螢幕、光標方向鍵或同等物。上述之外圍設備是供例示目的,各種外圍設備均在本揭示內容的預期範圍內。
在一些實施例中,處理器202也可透過匯流排208 與網路介面212相耦接,以透過網路介面212存取網路214。透過網路214,處理器202以及電腦可讀取儲存裝置204可以與外部設備及/或與網路214相連接的設備通信。
在一些實施例中,網路介面212是無線網路介面及/或有線網路介面。無線網路介面包含例如藍牙、全球行動通訊系統(WIFI)、全球互通微波存取(WIMAX)、通用封包無線服務技術(GPRS)、寬頻分碼多工(WCDMA)或類似物。有線網路介面包含例如乙太網路(ETHERNET)、通用序列匯流排(USB)、串行匯流排火線(IEEE1394)或相似物。
第2圖中系統200的組態是供例示目的,系統200的不同組態均在本揭示內容的預期範圍內。例如在不同的實施例中,電腦可讀取儲存裝置204可由編碼有上述可執行指令及/或電腦程式碼的非暫態電腦可讀取儲存媒體來替代,且上述可執行指令及/或電腦程式碼用以執行如第3圖所示之操作。
在一些方法中,半導體製造流程在許多情況下有錯誤事件或故障。錯誤事件或故障會造成例如中間半導體結構及/或最終產物的瑕疵。然而,在半導體製造過程中或半導體製造過程後,要發現這些錯誤事件或故障是很困難的。為了解決上述的問題,本揭示內容在以下揭示至少一種感測或辨別錯誤事件或故障的方法。做為例示,藉由提取關於半導體製造系統100相關的錯誤感測與分類特徵,可以感測並辨別錯誤事件或故障。細節將於以下配合第3圖做說明。
第3圖是依照本揭示內容之一些實施例所繪示之 方法300的流程圖,方法300為提取與第1圖中之半導體製造系統100相關的錯誤感測與分類特徵。為了便於例示,方法300是由第1圖之機台105以及第2圖之系統200所實施。各種用以實施方法300的機台或系統均在本揭示內容的預期範圍內。
在一些實施例中,電腦可讀取儲存裝置204儲存電腦程式碼206以執行方法300。於不同的實施例中,儲存裝置204也儲存各種用以執行方法300的信息,並儲存在執行方法300時所產生的資料。作為例示,於執行方法300時所產生的資料包含量變曲線資料(profile)218、特徵(feature)220、分數(score)222以及排序(ranking)224,如第2圖所繪示且會於以下做說明。
作為例示,機台105之感測器120用以感測半導體製造流程於各種處理時間的參數,與感測參數相關的量變曲線資料218也從而產生。第4圖為根據本揭示內容之一些實施例所繪示之量變曲線資料218的示意圖,其中量變曲線資料218對應於由第1圖中的感測器120的其中一者所感測到之參數。作為例示,包含例如壓力之感測參數的量變曲線資料218於不同處理時間的不同數值係繪示於第4圖。各個量變曲線資料218各自與機台105所執行的各個不同晶圓相關聯。
如第3圖所繪示,於步驟302中,機台105的各個感測器120於半導體製造流程中感測參數。在一些實施例中,處理器202執行電腦程式碼206以根據感測參數產生量變曲線資料218,接著感測參數被儲存至電腦可讀取儲存裝置204。
於步驟304中,處理器202執行電腦程式碼206以 模型化量變曲線資料218,用以產生模型化結果。在一些實施例中,處理器202執行電腦程式碼206以執行步驟304中的量變曲線資料218的曲線擬合。作為例示,曲線擬合是藉由在其中一個量變曲線資料218上擬合一系列的數據值以建立曲線的方法。透過曲線擬合,量變曲線資料218轉換為可互相區別與識別之各種擬合曲線(未繪示),由此產生模型化結果。因此,第4圖中的量變曲線資料218間的差異性可以被辨別。
在一些方法中,量變曲線資料是由電腦根據預先定義的統計數據做總結,其中統計數據包含例如平均值或變異數。然而,例如第4圖中的不同量變曲線資料之間的差別則無法被辨別。與上述的方法比較,在本揭示內容中,量變曲線資料218已被模型化,因此量變曲線資料218被轉換為可互相辨別和區別的曲線。因此,可以辨別關於量變曲線資料218的表現或事件。
在一些實施例中,於執行步驟304之前,系統200(或是處理器202)辨別包含機台105的所有機台以判定是否有任何與錯誤事件有關的目標機台。如果有至少一目標機台,則執行步驟304以模型化對應於目標機台之參數的量變曲線資料218。
在一些實施例中,如果沒有目標機台被判定,則分析中間半導體結構及/或成品。透過分析操作,重新檢查在半導體製造流程中是否有任何錯誤事件產生。作為例示,當半導體結構經解析並具有缺損部分時,系統200(或處理器202)判定是否半導體結構的缺損部分與機台105相關聯。若是,則 辨別機台105為目標機台。執行步驟304以模型化對應於機台105之參數的量變曲線資料218。
在不同的實施例中,如果沒有目標機台被判定,則會對機台的參數進行分析。舉例來說,由處理器202對機台的參數進行分析。藉由分析感測參數的操作,重新檢查是否有任何與至少一機台相對應的錯誤事件。作為例示,當錯誤事件發生時,處理器202由機台105分析參數,以判定是否錯誤事件與機台105相關。若是,則機台105被判定為目標機台。接著,執行步驟304以模型化對應於來自機台105之感測參數的量變曲線資料218。
請再次參閱第3圖,於步驟306,處理器202執行電腦程式碼206,以由與量變曲線資料218相對應的模型化結果提取特徵220。被提取之特徵220儲存於電腦可讀取儲存裝置204且包含反映量變曲線資料218的特徵值。作為例示,感測器120包含四個感測器IND1、IND2、IND3以及IND4。下述表1顯示四個感測器IND1、IND2、IND3以及IND4對應於各個晶圓的被提取之特徵220。在一些實施例中,被提取之特徵220的值包含例如曲線擬合值。
如表1所例示,機台105執行用於不同晶圓1-8的半導體製造流程。與晶圓1-8中每一者相對應的量變曲線資料218是透過感測器IND1、IND2、IND3以及IND4取得。接著提取與由量變曲線資料218所取得的模型化結果相對應的特徵值,舉例來說,如表1所例示。
表1中的特徵值如以下所述。對於表1中的感測器IND1,特徵值13.77、13.06、13.96、13.71、14.63、13.75、13.96以及13.73分別反映對應於晶圓1-8的模型化量變曲線資料。對於表1中的感測器IND2,特徵值9.11、8.31、9.22、8.59、9.16、8.86、8.85以及8.75分別反映對應於晶圓1-8的模型化量變曲線資料。對於表1中的感測器IND3,特徵值-0.75、-0.53、-0.93、-1.01、-0.92、-0.71、-0.78以及-0.81分別反映對應於晶圓1-8的模型化量變曲線資料。對於表1中的感測器IND4,特徵值0.19、0.46、0.16、0.32、0.41、0.28、0.33以及0.32分別反映對應於晶圓1-8的模型化量變曲線資料。
此外,於步驟S306中,處理器202執行電腦程式碼206,以根據與模型化量變曲線資料218對應的被提取之特徵220,提取分數222。作為例示,處理器202計算被提取之特 徵220之值,並根據計算結果提取相關的分數222。在一些實施例中,如上述提取分數222的步驟也被稱為計算並獲得分數222。作為例示,關於提取相對應分數222的實施例會參照第5圖到第8圖於以下說明。
在一些實施例中,處理器202透過將被提取之特徵220做分類以提取分數222。作為例示,被提取之特徵220之值被分類為與缺陷晶圓相對應的第一組以及與正常晶圓相對應的第二組。處理器202分析第一組與第二組的值之間的相關性,以判定對應的分數222。換句話說,分數222的提取是透過將被提取之特徵220做分類。作為例示,與上述有關的實施例參照第5圖到第8圖並於以下說明。
如上述之提取分數222的實施例是作為例示說明。關於提取分數222的不同實施例均在本揭示內容的預期範圍內。舉例來說,在不同的實施例中,處理器202執行電腦程式碼206,比較與晶圓1-8相對應的被提取之特徵220之值,以判定分數222。
在其他一些實施例中,晶圓1-8包含缺陷晶圓以及正常晶圓。在這些實施例中,處理器202執行電腦程式碼206以計算或分析與缺陷晶圓以及正常晶圓相對應的被提取之特徵220之值之間的相關性。然後,根據相關性判定分數222。與缺陷晶圓以及正常晶圓相對應的被提取之特徵220之值之間的相關性會參照第5圖到第8圖於以下說明。
第5圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND1相關聯且對應於晶圓1-8之特徵值的圖 表。如第5圖所繪示,晶圓1、晶圓3以及晶圓5是缺陷晶圓,而其他晶圓為正常晶圓。如第5圖所繪示,最高特徵值為14.63,對應於缺陷晶圓5,且可以明確地與對應正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。第二高特徵值為13.96,對應於缺陷晶圓3,且與對應正常晶圓7的特徵值13.96相等。特徵值13.77,對應於缺陷晶圓1,介於分別對應於正常晶圓6以及正常晶圓7的特徵值13.75以及13.96之間。根據第5圖中特徵值間的差異,處理器202辨別出對應於缺陷晶圓5的唯一一個特徵值14.63可以明確地與正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。處理器202從而計算或分析第5圖中的特徵值以判定相對應的分數222。舉例來說,與第5圖的特徵值相對應的分數222為0.75。例示而言,相對應的分數222為0.75表示由正常晶圓2、正常晶圓4以及正常晶圓6-8分辨出所有的缺陷晶圓1、缺陷晶圓3以及缺陷晶圓5的程度為中等程度。
第6圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND2相關聯且對應於晶圓1-8之特徵值的圖表。如第6圖所繪示,晶圓1、晶圓3以及晶圓5為缺陷晶圓,而其他晶圓為正常晶圓。如第6圖所繪示,對應缺陷晶圓1、3以及5的特徵值彼此接近。最高特徵值為9.22,對應於缺陷晶圓3,且可以明確地與對應正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。第二高特徵值為9.16,對應於缺陷晶圓5,且可以明確地與對應正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。第三高特徵值為9.11,對應於缺陷晶 圓1,且可以明確地與對應正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。根據第6圖中的特徵值差異,處理器202辨別出對應於缺陷晶圓1、3以及5的特徵值可以與對應於正常晶圓2、4以及6-8的特徵值相區別。處理器202從而計算或分析第6圖中的特徵值以判定相對應的分數222。舉例來說,與第6圖的特徵值相對應的分數222為0.75。例示而言,相對應的分數222為1,表示由正常晶圓2、正常晶圓4以及正常晶圓6-8分辨出所有的缺陷晶圓1、缺陷晶圓3以及缺陷晶圓5的程度為高等程度。
第7圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND3相關聯且對應於晶圓1-8之特徵值的圖表。如第6圖所繪示,晶圓1、晶圓3以及晶圓5為缺陷晶圓,而其他晶圓為正常晶圓。如第6圖所繪示,對應缺陷晶圓1、3以及5的特徵值彼此接近。最高特徵值為9.22,對應於缺陷晶圓3,且可以明確地與正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。第二高特徵值為9.16,對應於缺陷晶圓5,且可以明確地與正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。第三高特徵值為9.11,對應於缺陷晶圓1,且可以明確地與正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。根據第6圖中的特徵值差異,處理器202辨別出對應於缺陷晶圓1、3以及5的特徵值可以與對應於正常晶圓2、4以及6-8的特徵值相區別。處理器202從而計算或分析第6圖中的特徵值以判定相對應的分數222。舉例來說,與第6圖的特徵值相對應的分數222為0.75。例示而言,相對應的分數 222為1,表示由正常晶圓2、正常晶圓4以及正常晶圓6-8分辨出所有的缺陷晶圓1、缺陷晶圓3以及缺陷晶圓5的程度為高等程度。
第8圖為根據本揭示內容之一些實施例所繪示之表1中與感測器IND4相關聯且對應於晶圓1-8之特徵值的圖表。如第8圖所繪示,晶圓1、晶圓3以及晶圓5為缺陷晶圓,而其他晶圓為正常晶圓。如第8圖所繪示,對應於缺陷晶圓3之特徵值與對應缺陷晶圓5之特徵值之間有很大的差異。對應於缺陷晶圓1的特徵值為0.19,小於對應於正常晶圓2、正常晶圓4以及正常晶圓6-8其中任何一個的特徵值。對應於缺陷晶圓3的特徵值為0.16,也小於對應於正常晶圓2、正常晶圓4以及正常晶圓6-8其中任何一個的特徵值。對應於缺陷晶圓5的特徵值為0.41,介於分別對應於正常晶圓2的特徵值0.46以及對應於正常晶圓4的特徵值0.32之間。根據第8圖中特徵值之間的差異,處理器202分辨出對應於缺陷晶圓1、缺陷晶圓3以及缺陷晶圓5的特徵值較難與對應於正常晶圓2、正常晶圓4以及正常晶圓6-8的特徵值相區別。處理器202從而計算或分析第8圖中的特徵值以判定相對應的分數222。舉例來說,對應於第8圖中的特徵值之分數222為0.36。例示而言,相對應的分數222為0.36,表示由正常晶圓2、正常晶圓4以及正常晶圓6-8分辨出所有的缺陷晶圓1、缺陷晶圓3以及缺陷晶圓5的程度為低等程度。
承上所述,分數222的提取是透過比較對應於晶圓1-8的特徵值,或是藉由計算對應於缺陷晶圓的特徵值以及 對應於正常晶圓的特徵值之間的相關性以提取分數222。如下所示之表2列示了對應於四個感測器IND1、IND2、IND3以及IND4的分數222。在一些實施例中,處理器222執行電腦程式碼206以根據分數222產生排序224。作為例示,在表2中,對應於感測器IND2、IND1、IND3以及IND4的分數222分別排序為第一位、第二位、第二位以及第四位,其中對應於感測器IND1以及IND3的分數222有相同的排序。
請再參閱第3圖,在步驟306之後,執行步驟308。在步驟308中,顯示器250顯示對應於分數222的排序224。因此,基於排序224,量變曲線資料218能夠例如藉由利用分數222彼此相區別。
在一些實施例中,處理器202透過網路介面212以及網路214傳遞排序224至與網路214相連接的設備,以通知錯誤檢測與分類(FDC)。
如上述之第3圖包含示範操作,但操作並非一定要如所示的順序執行。可以按照本揭示內容的各種實施例的精神或範圍,適當地增加、替換、改變順序及/或刪除操作的步驟。
於本揭示內容中,由處理器202執行操作係供例 示目的而已。用以執行上述操作的不同裝置或系統亦在本揭示內容的預期範圍內。舉例來說,在一些其他的實施例中,如第2圖所示之整個系統200也可被指為用以執行本揭示內容中的所有操作。
在不同的實施例中,方法300由包含系統200的數個系統所執行。在這些實施例中,上述之量變曲線資料218、特徵220、分數222以及排序224透過網路214在系統間傳遞。
在一些實施例中,本揭示內容揭示一種半導體製造系統,其包含至少一個機台、一個儲存裝置以及一個處理器。上述機台是用以執行在至少一晶圓上之半導體製造流程,其中機台包含數個感測器。儲存裝置用以儲存電腦程式碼。處理器用以執行儲存於儲存裝置中的電腦程式碼,以進行下列步驟:對自感測器取得之量變曲線資料進行模型化,以產生模型化結果;自與經模型化的量變曲線資料相對應之模型化結果提取數個特徵;根據被提取之特徵,提取數個分數,分數各自代表至少一晶圓經由該機台所處理的程度;以及根據提取之分數,顯示至少一晶圓之錯誤感測的排序。
本揭示內容亦揭示一種非暫態電腦可讀取媒體,其包含電腦可執行的指令。當由一處理器執行時,上述電腦可執行的指令會使該處理器實現一方法。此方法包含由至少一機台之感測器的模型化量變曲線資料產生模型化結果,其中至少一機台是用以在至少一晶圓上執行半導體製造流程;由與模型化量變曲線資料相對應的模型化結果提取特徵;根據被提取之特徵,提取各自代表至少一晶圓由至少一機 台處理的程度的數個分數;並根據被提取之分數,顯示至少一晶圓錯誤感測的排序。
本揭示內容亦揭示一種方法。此方法包含:由用以在至少一晶圓上執行半導體製造流程的至少一機台之感測器獲得感測參數;根據感測參數產生量變曲線資料;將量變曲線資料模型化以產生模型化結果;由對應於模型化量變曲線資料之模型化結果提取特徵;根據被提取之特徵,提取各自代表至少一晶圓由至少一機台處理的程度的數個分數;並根據被提取之分數,顯示至少一晶圓錯誤感測的排序。
上文概述了若干實施例的特徵,以便本領域熟習此項技藝者可更好地理解本揭示案的態樣。本領域熟習此項技藝者應當瞭解到他們可容易地使用本揭示案作為基礎來設計或者修改其他製程及結構,以實行相同目的及/或實現相同優勢的。本領域熟習此項技藝者亦應當瞭解到,此類等效構造不脫離本揭示案的精神及範疇,以及在不脫離本揭示案的精神及範疇的情況下,其可對本文進行各種改變、取代及變更。
200‧‧‧元件
105‧‧‧機台
120‧‧‧感測器
202‧‧‧處理器
204‧‧‧儲存裝置
206‧‧‧電腦程式碼
207‧‧‧指令
208‧‧‧匯流排
210‧‧‧輸入/輸出介面
212‧‧‧網路介面
214‧‧‧網路
218‧‧‧量變曲線資料
220‧‧‧特徵
222‧‧‧分數
224‧‧‧排序
250‧‧‧顯示器

Claims (1)

  1. 一種半導體製造系統,包含:至少一機台,用以在至少一晶圓上實施半導體製程,其中該至少一機台包含複數個感測器;一儲存裝置,用以儲存複數個電腦程式碼;以及一處理器,用以執行該儲存裝置中之該些電腦程式碼,以進行下列步驟:對自該些感測器取得之量變曲線資料進行模型化,以產生一模型化結果;自與該些經模型化的量變曲線資料相對應之該模型化結果提取複數個特徵;根據提取之該些特徵,提取複數個分數,該些分數各自代表該至少一晶圓經由該至少一機台所處理的程度;以及根據提取之該些分數,顯示該至少一晶圓之錯誤感測的一排序。
TW105138687A 2015-12-03 2016-11-24 半導體製造系統 TW201730577A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562262812P 2015-12-03 2015-12-03
US15/227,475 US10853534B2 (en) 2015-12-03 2016-08-03 Fault related FDC feature extraction

Publications (1)

Publication Number Publication Date
TW201730577A true TW201730577A (zh) 2017-09-01

Family

ID=58798398

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105138687A TW201730577A (zh) 2015-12-03 2016-11-24 半導體製造系統

Country Status (3)

Country Link
US (1) US10853534B2 (zh)
CN (1) CN106981445A (zh)
TW (1) TW201730577A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11244440B2 (en) * 2019-08-30 2022-02-08 Intel Corporation Ranking of objects with noisy measurements
CN111223799B (zh) * 2020-01-02 2022-12-20 长江存储科技有限责任公司 工艺控制方法、装置、系统及存储介质

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6790680B1 (en) * 2002-01-17 2004-09-14 Advanced Micro Devices, Inc. Determining a possible cause of a fault in a semiconductor fabrication process
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7359545B2 (en) * 2003-12-31 2008-04-15 Tokyo Electron Limited Method and system to compensate for lamp intensity differences in a photolithographic inspection tool
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US8095230B2 (en) * 2008-06-24 2012-01-10 International Business Machines Corporation Method for optimizing the routing of wafers/lots based on yield
US10228678B2 (en) * 2015-07-22 2019-03-12 Tokyo Electron Limited Tool failure analysis using space-distorted similarity

Also Published As

Publication number Publication date
US20170161412A1 (en) 2017-06-08
US10853534B2 (en) 2020-12-01
CN106981445A (zh) 2017-07-25

Similar Documents

Publication Publication Date Title
KR101904518B1 (ko) 희귀 불량 현상을 식별하기 위한 방법 및 시스템
KR101380515B1 (ko) 반도체 제조의 fab 프로세스를 개선하기 위한 툴 기능의 신규한 설계
TWI391840B (zh) 使用處理資料及良率資料之處理控制
US9707660B2 (en) Predictive wafer modeling based focus error prediction using correlations of wafers
TWI767826B (zh) 用於缺陷檢測及再檢測的方法及系統
US20100004882A1 (en) Fault detection and classification method for wafer acceptance test parameters
TW200907733A (en) Robust design using manufacturability models
KR101496553B1 (ko) 이상 툴 및 스테이지 진단을 위한 2d/3d 분석
WO2005017981A2 (en) Dynamic metrology sampling methods
CN106971953B (zh) 制造制程中的误差检测方法
Chien et al. A novel approach to hedge and compensate the critical dimension variation of the developed-and-etched circuit patterns for yield enhancement in semiconductor manufacturing
Dong et al. Wafer yield prediction using derived spatial variables
CN105740494A (zh) 电子设计自动化方法及其装置
TW201730577A (zh) 半導體製造系統
TWI695330B (zh) 將半導體批次調度到製造工具的系統和方法
US6615157B1 (en) System method and computer program product for automatically assessing experiment results
Huff Important Considerations Regarding Device Parameter Process Variations in Semiconductor-Based Manufacturing
TWI706319B (zh) 估算系統、估算方法及非暫態電腦可讀取媒體
US8406904B2 (en) Two-dimensional multi-products multi-tools advanced process control
US11747774B2 (en) Search device, search program, and plasma processing apparatus
Kim et al. AI-guided reliability diagnosis for 5, 7nm automotive process
US10019540B2 (en) System and method for layout-related variation analysis
JP2012015410A (ja) 不良解析方法、不良解析装置
TW202136943A (zh) 半導體製造設備的控制系統及方法
Yan et al. Multi-zone thermal processing in semiconductor manufacturing: Bias estimation