TW201727758A - A semiconductor device and a method for fabricating the same - Google Patents

A semiconductor device and a method for fabricating the same Download PDF

Info

Publication number
TW201727758A
TW201727758A TW105132030A TW105132030A TW201727758A TW 201727758 A TW201727758 A TW 201727758A TW 105132030 A TW105132030 A TW 105132030A TW 105132030 A TW105132030 A TW 105132030A TW 201727758 A TW201727758 A TW 201727758A
Authority
TW
Taiwan
Prior art keywords
layer
contact
source
contact layer
drain
Prior art date
Application number
TW105132030A
Other languages
Chinese (zh)
Other versions
TWI650821B (en
Inventor
芳 陳
廖忠志
梁銘彰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201727758A publication Critical patent/TW201727758A/en
Application granted granted Critical
Publication of TWI650821B publication Critical patent/TWI650821B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor device includes a fin field effect transistor. The semiconductor device includes a first gate electrode, a first source/drain (S/D) region disposed adjacent to the first gate electrode, a first S/D contact disposed on the first S/D region, a first spacer layer disposed between the first gate electrode and the first S/D region, a first contact layer in contact with the first gate electrode and the first S/D contact, and a first wiring layer integrally formed with the first contact layer. There is no interface between the first contact layer and the first wiring layer in a cross sectional view, and the first contact layer has a smaller area than the first wiring layer in plan view.

Description

半導體裝置及其製造方法 Semiconductor device and method of manufacturing same

本揭露係有關於一種半導體裝置之製造方法,且特別有關於一種導電層位於閘極及源極/汲極區域上之結構及其製造方法。 The present disclosure relates to a method of fabricating a semiconductor device, and more particularly to a structure in which a conductive layer is located on a gate and a source/drain region and a method of fabricating the same.

隨著半導體工業引入高效能與高功能性之新世代積體電路(ICs),業界已經開始在電子裝置(如:電晶體)之上設置多層金屬線結構(multi-layer wiring structure)。為了滿足高速度及高可靠度之需求,須發展先進的金屬線結構及其形成方法。 As the semiconductor industry introduces high-performance and high-performance new generation integrated circuits (ICs), the industry has begun to set up multi-layer wiring structures on electronic devices such as transistors. In order to meet the needs of high speed and high reliability, advanced metal wire structures and their formation methods must be developed.

本揭露包括一種半導體裝置,包括鰭式場效電晶體。上述半導體裝置包括:第一閘極電極;第一源極/汲極區域,鄰近第一閘極電極設置;第一源極/汲極接觸,設於第一源極/汲極區域上;第一間隔物層,設於第一閘極電極及第一源極/汲極區域之間;第一接觸層,接觸第一閘極電極及第一源極/汲極接觸;第一線路層,與第一接觸層一體地形成;其中,在剖面圖中第一接觸層及第一線路層之間沒有介面,且在俯視圖中第一接觸層具有小於第一線路層之面積。 The present disclosure includes a semiconductor device including a fin field effect transistor. The semiconductor device includes: a first gate electrode; a first source/drain region disposed adjacent to the first gate electrode; and a first source/drain contact disposed on the first source/drain region; a spacer layer disposed between the first gate electrode and the first source/drain region; the first contact layer contacting the first gate electrode and the first source/drain contact; the first circuit layer, Formed integrally with the first contact layer; wherein there is no interface between the first contact layer and the first circuit layer in a cross-sectional view, and the first contact layer has a smaller area than the first circuit layer in plan view.

本揭露亦包括一種半導體裝置,包括鰭式場效電晶體。上述半導體裝置包括:第一閘極電極;第一閘極接觸層,設於第一閘極電極之上;第一源極/汲極區域,鄰近第一閘極電極設置;第一源極/汲極接觸,設於第一源極/汲極區域上;第一間隔物層,設於第一閘極電極及第一源極/汲極區域之間;第一接觸層,接觸第一閘極接觸及第一源極/汲極接觸;以及第一線路層,與第一接觸層一體地形成;其中,在剖面圖中第一接觸層及第一線路層之間沒有介面,且在俯視圖中第一接觸層具有小於第一線路層之面積。 The disclosure also includes a semiconductor device including a fin field effect transistor. The semiconductor device includes: a first gate electrode; a first gate contact layer disposed over the first gate electrode; and a first source/drain region disposed adjacent to the first gate electrode; the first source/ a drain contact is disposed on the first source/drain region; a first spacer layer is disposed between the first gate electrode and the first source/drain region; and the first contact layer contacts the first gate a pole contact and a first source/drain contact; and a first circuit layer integrally formed with the first contact layer; wherein, in the cross-sectional view, there is no interface between the first contact layer and the first circuit layer, and in a top view The first contact layer has a smaller area than the first circuit layer.

本揭露亦包括一種半導體裝置之製造方法,上述半導體裝置包括鰭式場效電晶體。上述方法包括:形成閘極結構於鰭結構上,上述閘極結構包括閘極電極層及絕緣蓋層;形成源極/汲極接觸層於源極/汲極結構上;形成層間介電層;以鑲嵌技術(damascene technique)形成第一接觸層及第一線路層;其中,上述接觸層電性連接閘極電極層及源極/汲極接觸層且於俯視圖中重疊(overlap)閘極電極及源極/汲極接觸層。 The present disclosure also includes a method of fabricating a semiconductor device including a fin field effect transistor. The method includes: forming a gate structure on the fin structure, the gate structure comprises a gate electrode layer and an insulating cap layer; forming a source/drain contact layer on the source/drain structure; forming an interlayer dielectric layer; Forming a first contact layer and a first circuit layer by a damascene technique; wherein the contact layer is electrically connected to the gate electrode layer and the source/drain contact layer and overlaps the gate electrode in a top view and Source/drain contact layer.

3‧‧‧隔離絕緣層 3‧‧‧Isolation insulation

5‧‧‧鰭結構 5‧‧‧Fin structure

10‧‧‧金屬閘極結構 10‧‧‧Metal gate structure

12‧‧‧閘極介電層 12‧‧‧ gate dielectric layer

14‧‧‧功函數調整層 14‧‧‧Work function adjustment layer

16‧‧‧金屬材料層 16‧‧‧Metal material layer

20‧‧‧絕緣蓋層 20‧‧‧Insulation cover

25‧‧‧閘極開口 25‧‧ ‧ gate opening

30‧‧‧側壁間隔物 30‧‧‧ sidewall spacers

40‧‧‧第一層間介電層 40‧‧‧First interlayer dielectric layer

45‧‧‧開口 45‧‧‧ openings

50‧‧‧源極/汲極區域 50‧‧‧Source/bungee area

55‧‧‧矽化物層 55‧‧‧ Telluride layer

60、66、66S‧‧‧源極/汲極接觸 60, 66, 66S‧‧‧ source/drain contact

65‧‧‧閘極接觸 65‧‧‧ gate contact

67‧‧‧閘極導電插塞 67‧‧‧gate conductive plug

70‧‧‧第二層間介電層 70‧‧‧Second interlayer dielectric layer

72‧‧‧接觸蝕刻停止層 72‧‧‧Contact etch stop layer

73、73S、73G、75、75S、75G、77、77S、77G、78、78S、78G‧‧‧接觸開口 73, 73S, 73G, 75, 75S, 75G, 77, 77S, 77G, 78, 78S, 78G‧‧‧ contact openings

80、80S、80G、82、82S、82G、83、83S、83G‧‧‧接觸層 80, 80S, 80G, 82, 82S, 82G, 83, 83S, 83G‧‧‧ contact layer

85、85S、85G、87、87S、87G、88、88S、88G‧‧‧線路層 85, 85S, 85G, 87, 87S, 87G, 88, 88S, 88G‧‧‧ circuit layer

90‧‧‧第三層間介電層 90‧‧‧ Third interlayer dielectric layer

92‧‧‧第二接觸蝕刻停止層 92‧‧‧Second contact etch stop layer

300‧‧‧基板 300‧‧‧Substrate

310‧‧‧鰭結構 310‧‧‧Fin structure

315‧‧‧通道區域 315‧‧‧Channel area

320‧‧‧隔離絕緣層 320‧‧‧Isolation insulation

330‧‧‧金屬閘極結構 330‧‧‧Metal gate structure

340‧‧‧絕緣蓋層 340‧‧‧Insulation cover

350‧‧‧側壁間隔物 350‧‧‧ sidewall spacers

360‧‧‧源極/汲極區域 360‧‧‧Source/Bungee Area

370‧‧‧層間介電層 370‧‧‧Interlayer dielectric layer

以下將配合所附圖式詳述本揭露之實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 Embodiments of the present disclosure will be described in detail below with reference to the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale and are merely illustrative. In fact, the dimensions of the elements may be arbitrarily enlarged or reduced to clearly represent the features of the present disclosure.

根據本揭露一實施例,第1A圖繪示出一例示性俯視圖(從上往下看),用以說明一循續(sequential)之半導體裝置製造流程之各個步驟中的其中之一。第1B圖沿著第1A圖中之線段 X1-X1繪示出一例示性剖面圖。第1C圖為閘極結構之放大圖。根據本揭露一實施例,第1D圖繪示出一例示性立體圖,用以說明一循續之半導體裝置製造流程之各個步驟中的其中之一。 In accordance with an embodiment of the present disclosure, FIG. 1A illustrates an exemplary top view (viewed from above) for illustrating one of the various steps of a sequential semiconductor device fabrication process. Figure 1B along the line in Figure 1A X1-X1 shows an exemplary cross-sectional view. Figure 1C is an enlarged view of the gate structure. In accordance with an embodiment of the present disclosure, FIG. 1D illustrates an exemplary perspective view for explaining one of the various steps of a semiconductor device manufacturing process.

根據本揭露一實施例,第2-5、6A-6B圖繪示出對應第1A圖中線段X1-X1之例示性剖面圖,用以說明一循續之半導體裝置製造流程中之各個步驟。 In accordance with an embodiment of the present disclosure, FIGS. 2-5, 6A-6B illustrate an exemplary cross-sectional view corresponding to line segment X1-X1 of FIG. 1A for illustrating various steps in a semiconductor device manufacturing process.

根據本揭露另一實施例,第7-10、11A-11B圖繪示出例示性剖面圖。 In accordance with another embodiment of the present disclosure, FIGS. 7-10, 11A-11B illustrate an exemplary cross-sectional view.

根據本揭露另一實施例,第12-17、18A-18B圖繪示出例示性剖面圖。 In accordance with another embodiment of the present disclosure, FIGS. 12-17, 18A-18B illustrate an exemplary cross-sectional view.

以下公開許多不同的實施方法或是例子來實行本揭露之不同特徵,以下描述具體的元件及其排列的實施例以闡述本揭露。當然這些實施例僅用以例示,且不該以此限定本揭露的範圍。例如,元件之尺寸並不限定於所揭露之範圍或數值,而可依製程條件及/或預期之裝置性質調整。此外,在說明書中提到第一特徵形成於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。另外,為了簡化及明確,可能任意地以不同的尺寸繪示不同的特徵。 The various features of the present disclosure are disclosed in the following, and various embodiments of the present invention are described. The embodiments are for illustrative purposes only, and are not intended to limit the scope of the disclosure. For example, the dimensions of the components are not limited to the disclosed ranges or values, but may be adjusted depending on process conditions and/or expected device properties. Furthermore, it is mentioned in the specification that the first feature is formed on the second feature, which includes an embodiment in which the first feature is in direct contact with the second feature, and additionally includes another feature between the first feature and the second feature. An embodiment of the feature, that is, the first feature is not in direct contact with the second feature. In addition, different features may be arbitrarily drawn in different sizes for simplicity and clarity.

此外,其中可能用到與空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個 (些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。此外,”由...形成”可能代表”包括...”或”由...組成”。 In addition, space-related terms such as "below," "below," "lower," "above," "higher," and similar terms may be used. Word system for easy description of one of the illustrations The relationship between a component or feature and another component or feature, such spatially related terms, includes the different orientations of the device in use or operation, and the orientations described in the drawings. When the device is turned to a different orientation (rotated 90 degrees or other orientation), the spatially related adjectives used therein will also be interpreted in terms of the orientation after the turn. Further, "formed by" may mean "including..." or "consisting of."

根據本揭露之一實施例,第1A圖及1B圖繪示出一循續之半導體裝置製造流程的其中一個步驟。第1A圖繪示出一俯視圖(或上視圖),而第1B圖則沿著第1A圖之線段X1-X1繪示出一剖面圖。 In accordance with an embodiment of the present disclosure, FIGS. 1A and 1B illustrate one of the steps of a sequential semiconductor device fabrication process. FIG. 1A depicts a top view (or top view), and FIG. 1B shows a cross-sectional view along line X1-X1 of FIG. 1A.

第1A及1B圖繪示出形成金屬閘極結構之後的半導體裝置結構。在第1A及1B圖中,形成金屬閘極結構10於通道層之上(例如:鰭結構5之一部分),且絕緣蓋層20設於金屬閘極結構10之上。鰭結構5設於基板1之上,且從隔離絕緣層3突起。在第2圖中及其之後,將省略基板1及隔離絕緣層3。在一些實施例中,金屬閘極結構10之厚度為15nm至50nm。在一些實施例中,絕緣蓋層20之厚度為10nm至30nm,在其他實施例中則為15nm至20nm。提供側壁間隔物30於金屬閘極結構10及絕緣蓋層20之側壁。在一些實施例中,側壁間隔物30於其底部之膜厚為3nm至15nm,在其他實施例中則為4nm至10nm。金屬閘極結構10、絕緣蓋層20及側壁間隔物30之組合可一併地稱為閘極結構。此外,源極/汲極區域50係鄰近上述閘極結構形成,且閘極結構之間的空間(space)係填入第一層間介電層(Interlayer dielectric,簡稱ILD)40。於源極/汲極區域50上更形成矽化物層55。在本揭露中,源極與汲極在使用上是可相互替換的,且 兩者之結構沒有實質上的差異。”源極/汲極”之用語指的是源極及汲極其中的一個。 FIGS. 1A and 1B illustrate the structure of a semiconductor device after forming a metal gate structure. In FIGS. 1A and 1B, a metal gate structure 10 is formed over the channel layer (eg, a portion of the fin structure 5), and an insulating cap layer 20 is disposed over the metal gate structure 10. The fin structure 5 is disposed on the substrate 1 and protrudes from the isolation insulating layer 3. In the second and subsequent figures, the substrate 1 and the isolation insulating layer 3 will be omitted. In some embodiments, the metal gate structure 10 has a thickness of 15 nm to 50 nm. In some embodiments, the insulating cap layer 20 has a thickness of 10 nm to 30 nm, and in other embodiments, 15 nm to 20 nm. Sidewall spacers 30 are provided on the sidewalls of the metal gate structure 10 and the insulating cap layer 20. In some embodiments, the sidewall spacer 30 has a film thickness of 3 nm to 15 nm at its bottom and 4 nm to 10 nm in other embodiments. The combination of the metal gate structure 10, the insulating cap layer 20, and the sidewall spacers 30 may be collectively referred to as a gate structure. In addition, the source/drain region 50 is formed adjacent to the gate structure, and a space between the gate structures is filled in a first interlayer dielectric layer (ILD) 40. A vaporized layer 55 is further formed on the source/drain region 50. In the present disclosure, the source and the drain are interchangeable in use, and There is no substantial difference in the structure of the two. The term "source/bungee" refers to one of the source and the bungee.

矽化物層55包括矽化鈷、矽化鈦、矽化鎳、矽化銅、矽化鎢、及矽化鉬之一或多個成分。 The telluride layer 55 includes one or more components of cobalt telluride, titanium telluride, nickel telluride, copper telluride, tungsten telluride, and molybdenum telluride.

第1C圖為閘極結構之放大圖。金屬閘極結構10包括一或多層金屬材料16,例如:Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlC、TiAlN、TaN、NiSi、CoSi、及其他導電材料。設於通道層5及金屬閘極之間之閘極介電層12包括一或多層金屬氧化物(例如:高介電常數金屬氧化物)。舉例來說,用於高介電常數介電材料之金屬氧化物包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、及/或其組合之氧化物。 Figure 1C is an enlarged view of the gate structure. The metal gate structure 10 includes one or more layers of metal material 16, such as Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi, and other conductive materials. The gate dielectric layer 12 disposed between the channel layer 5 and the metal gate includes one or more layers of a metal oxide (eg, a high dielectric constant metal oxide). For example, metal oxides for high-k dielectric materials include Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, An oxide of Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and/or combinations thereof.

在一些實施例中,一或多個功函數調整層14介於閘極介電層12及金屬材料16之間。功函數調整層14係以導電材料形成,例如單層之TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC,或是兩個或多個上述材料之複數層(multilayer)。以n-通道鰭式場效電晶體而言,可以TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi及TaSi中一或多個成分作為功函數調整層,以p-通道鰭式場效電晶體而言,可以TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC及Co中一或多個成分作為功函數調整層。 In some embodiments, one or more work function adjustment layers 14 are interposed between the gate dielectric layer 12 and the metal material 16. The work function adjusting layer 14 is formed of a conductive material such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a plurality of two or more of the above materials. Layer (multilayer). In the case of an n-channel fin field effect transistor, one or more components of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi, and TaSi may be used as a work function adjustment layer to p-channel fin field effect electric For the crystal, one or more components of TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC, and Co may be used as the work function adjusting layer.

絕緣蓋層20包括一或多層之絕緣材料(例如:以氮化矽為基礎之材料,包括SiN、SiCN及SiOCN)。側壁間隔物30係由不同於絕緣蓋層20之材料所形成,且包括一或多層之絕緣 材料(例如:以氮化矽為基礎之材料,包括SiN、SiON、SiCN及SiOCN)。側壁間隔物30可由與絕緣蓋層20相同之材料形成。第一層間介電層40包括一或多層之氧化矽、SiOC、SiOCN或SiCN或其他低介電常數材料、或多孔材料(porous material)。可以低壓化學氣相沉積法(low pressure chemical vapor deposition,簡稱LPCVD)、電漿化學氣相沉積法(plasma-CVD)或其他合適之薄膜形成方法形成第一層間介電層40。 The insulating cap layer 20 includes one or more layers of insulating material (eg, a material based on tantalum nitride, including SiN, SiCN, and SiOCN). The sidewall spacers 30 are formed of a material different from the insulating cap layer 20 and include one or more layers of insulation. Materials (eg, materials based on tantalum nitride, including SiN, SiON, SiCN, and SiOCN). The sidewall spacers 30 may be formed of the same material as the insulating cap layer 20. The first interlayer dielectric layer 40 includes one or more layers of yttrium oxide, SiOC, SiOCN or SiCN or other low dielectric constant material, or a porous material. The first interlayer dielectric layer 40 may be formed by low pressure chemical vapor deposition (LPCVD), plasma chemical vapor deposition (plasma-CVD) or other suitable thin film formation methods.

在一些實施例中,側壁間隔物30之材料、絕緣蓋層20之材料、及第一層間介電層40之材料彼此相異,因此可選擇性地蝕刻上述各膜層。在一實施例中,以SiOCN、SiCN或SiON形成側壁間隔物30,以SiN形成絕緣蓋層20,以SiO2形成第一層間介電層40。在一些其他的實施例中,在側壁間隔物30、絕緣蓋層20及第一層間介電層40之中,至少兩者係由相同之材料所形成。 In some embodiments, the material of the sidewall spacers 30, the material of the insulating cap layer 20, and the material of the first interlayer dielectric layer 40 are different from each other, so that the respective film layers can be selectively etched. In one embodiment, sidewall spacers 30 are formed with SiOCN, SiCN or SiON, insulating cap layer 20 is formed with SiN, and first interlayer dielectric layer 40 is formed with SiO 2 . In some other embodiments, at least two of the sidewall spacers 30, the insulating cap layer 20, and the first interlayer dielectric layer 40 are formed of the same material.

在這個實施例中,使用以閘極替換(gate replacement)製程所製造之鰭式場效電晶體。 In this embodiment, a fin field effect transistor fabricated by a gate replacement process is used.

第1D圖繪示出鰭式場效電晶體結構之例示性的立體圖。可以下述步驟製造上述之鰭式場效電晶體結構。 FIG. 1D depicts an exemplary perspective view of a fin field effect transistor structure. The fin field effect transistor structure described above can be fabricated by the following steps.

首先,形成鰭結構310於基板300之上。上述鰭結構包括底部區域以及作為通道區域315之上部區域。舉例來說,上述基板為雜質濃度約為1*1015cm-3至1*1018cm-3之p型矽基板。在其他的實施例中,上述基板為雜質濃度約為1*1015cm-3至1*1018cm-3之n型矽基板。作為替代方案,上述基板可包括其他元素(elementary)半導體(例如:鍺)、化合物半導體,包括 IV-IV族化合物半導體(例如:SiC及SiGe)及III-V族化合物半導體(例如:GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)、或上述之組合。在一實施例中,上述基板為絕緣層上矽(silicon-on-insulator,簡稱SOI)基板之矽膜層。 First, a fin structure 310 is formed over the substrate 300. The above fin structure includes a bottom region and an upper region as the channel region 315. For example, the substrate is a p-type germanium substrate having an impurity concentration of about 1*10 15 cm -3 to 1*10 18 cm -3 . In other embodiments, the substrate is an n-type germanium substrate having an impurity concentration of about 1*10 15 cm -3 to 1*10 18 cm -3 . Alternatively, the substrate may include other elemental semiconductors (eg, germanium), compound semiconductors, including group IV-IV compound semiconductors (eg, SiC and SiGe), and III-V compound semiconductors (eg, GaAs, GaP). GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP), or a combination thereof. In one embodiment, the substrate is a germanium film layer of a silicon-on-insulator (SOI) substrate.

在形成鰭結構310之後,形成隔離絕緣層320於鰭結構310之上。隔離絕緣層320包括一或多層之絕緣材料,例如:以低壓化學氣相沉積法、電漿化學氣相沉積法或可流動式化學氣相沉積法(flowable CVD)所形成之氧化矽、氮氧化矽或氮化矽。可以一或多層旋覆式玻璃(spin-on-glass,簡稱SOG)、SiO、SiON、SiOCN及/或摻氟矽玻璃(fluorine-doped silicate glass,簡稱FSG)形成上述隔離絕緣層。 After the fin structure 310 is formed, an isolation insulating layer 320 is formed over the fin structure 310. The isolation insulating layer 320 includes one or more layers of insulating materials, such as yttrium oxide and nitrogen oxide formed by low pressure chemical vapor deposition, plasma chemical vapor deposition or flowable CVD. Tantalum or tantalum nitride. The above-mentioned isolating insulating layer may be formed by one or more layers of spin-on-glass (SOG), SiO, SiON, SiOCN, and/or fluorine-doped silicate glass (FSG).

在形成隔離絕緣層320於鰭結構310上之後,進行平坦化步驟以移除部分之隔離絕緣層320。上述平坦化步驟可包括化學機械研磨(CMP)及/或回蝕(etch-back)步驟。接著,進一步移除(或凹蝕,recess)隔離絕緣層320以露出鰭結構之上部。 After the isolation insulating layer 320 is formed on the fin structure 310, a planarization step is performed to remove a portion of the isolation insulating layer 320. The planarization step described above may include a chemical mechanical polishing (CMP) and/or an etch-back step. Next, the isolation insulating layer 320 is further removed (or recessed) to expose the upper portion of the fin structure.

形成虛設閘極結構於露出之鰭結構上。虛設閘極結構包括由多晶矽所形成之虛設閘極電極以及虛設閘極介電層。亦形成包括一或多層絕緣材料之側壁間隔物350於虛設閘極電極層之側壁上。在形成虛設閘極結構之後,凹蝕未被虛設閘極結構覆蓋之鰭結構至低於隔離絕緣層320之上表面。接著,以磊晶成長法形成源極/汲極區域360於被凹蝕之鰭結構之上。源極/汲極區域可包括對通道區域315施加應力之應變材料 (strain material)。 A dummy gate structure is formed on the exposed fin structure. The dummy gate structure includes a dummy gate electrode formed of polysilicon and a dummy gate dielectric layer. Sidewall spacers 350 comprising one or more layers of insulating material are also formed on the sidewalls of the dummy gate electrode layer. After the dummy gate structure is formed, the fin structure not covered by the dummy gate structure is recessed to be lower than the upper surface of the isolation insulating layer 320. Next, a source/drain region 360 is formed over the recessed fin structure by epitaxial growth. The source/drain regions may include strained materials that stress the channel region 315 (strain material).

接著,形成層間介電層370於虛設閘極結構及源極/汲極區域之上。層間介電層370包括一或多層之氧化矽、SiOC、SiOCN或SiCN或其他低介電常數材料、或多孔材料。在一平坦化步驟之後,移除虛設閘極結構以形成閘極空間。然後,形成包括金屬閘極電極及閘極介電層(例如:高介電常數介電材料層)之金屬閘極結構330於閘極空間中。此外,形成絕緣蓋層340於金屬閘極結構330之上,以形成如第1D圖所示之鰭式場效電晶體結構。在第1D圖中,部分之金屬閘極結構330、絕緣蓋層340、側壁間隔物350及層間介電層370被切開,以呈現其下方的結構。 Next, an interlayer dielectric layer 370 is formed over the dummy gate structure and the source/drain regions. The interlayer dielectric layer 370 includes one or more layers of yttrium oxide, SiOC, SiOCN or SiCN or other low dielectric constant material, or a porous material. After a planarization step, the dummy gate structure is removed to form a gate space. Then, a metal gate structure 330 including a metal gate electrode and a gate dielectric layer (eg, a high-k dielectric material layer) is formed in the gate space. In addition, an insulating cap layer 340 is formed over the metal gate structure 330 to form a fin field effect transistor structure as shown in FIG. 1D. In FIG. 1D, a portion of the metal gate structure 330, the insulating cap layer 340, the sidewall spacers 350, and the interlayer dielectric layer 370 are slit to present the underlying structure.

第1D圖中之金屬閘極結構330、絕緣蓋層340、側壁間隔物350、源極/汲極360及層間介電層370實質上分別對應第1A及1B圖中之金屬閘極結構10、絕緣蓋層20、側壁間隔物30、源極/汲極區域50及第一層間介電層40。 The metal gate structure 330, the insulating cap layer 340, the sidewall spacers 350, the source/drain electrodes 360, and the interlayer dielectric layer 370 in FIG. 1D substantially correspond to the metal gate structures 10 in FIGS. 1A and 1B, respectively. The insulating cap layer 20, the sidewall spacers 30, the source/drain regions 50, and the first interlayer dielectric layer 40.

根據本揭露一實施例,第2-6B圖例示性繪示出對應第1A圖中線段X1-X1之剖面圖,用以說明一循續之半導體裝置製造流程中之各個步驟。應理解的是,可提供額外的步驟於第2-6B圖所示之流程之前、之中、及之後,且在上述方法之其他的實施例中,一些下述之步驟可被取代或移除。步驟/流程之順序可相互交換。 In accordance with an embodiment of the present disclosure, FIGS. 2-6B exemplarily illustrate cross-sectional views corresponding to line segments X1-X1 of FIG. 1A for explaining various steps in a manufacturing process of a semiconductor device. It should be understood that additional steps may be provided before, during, and after the processes illustrated in Figures 2-6B, and in other embodiments of the above methods, some of the following steps may be replaced or removed. . The order of the steps/processes can be exchanged.

如第2圖所示,以光微影製程及乾式蝕刻製程蝕刻在源極/汲極區域上之第一層間介電層40以形成開口45。在第2圖中,第一層間介電層40殘留在開口45的壁上。在一些實施例 中,源極/汲極區域上之第一層間介電層40完全被移除。在一些實施例中,形成額外的層間介電層於第一層間介電層40之上,然後形成開口45。 As shown in FIG. 2, the first interlayer dielectric layer 40 on the source/drain regions is etched by a photolithography process and a dry etching process to form openings 45. In FIG. 2, the first interlayer dielectric layer 40 remains on the wall of the opening 45. In some embodiments The first interlayer dielectric layer 40 on the source/drain region is completely removed. In some embodiments, an additional interlayer dielectric layer is formed over the first interlayer dielectric layer 40, and then an opening 45 is formed.

接著,如第3圖所示,形成源極/汲極接觸60於開口45之中以接觸源極/汲極區域之矽化物層55。在形成開口45之後,形成導電材料之毯覆層於第2圖的結構之上。上述導電材料層包括一或多層之導電材料,例如:Co、W、Ni、Mo或Cu。在一實施例中,使用鎢(W)。可以化學氣相沉積(CVD)、物理氣相沉積(PVD,包括濺鍍)、原子層沉積(ALD)、電鍍或上述之組合、或其他合適之成膜方法形成上述之導電材料層。 Next, as shown in FIG. 3, a source/drain contact 60 is formed in the opening 45 to contact the vaporized layer 55 of the source/drain region. After the opening 45 is formed, a blanket layer of a conductive material is formed over the structure of FIG. The above conductive material layer comprises one or more layers of conductive materials such as Co, W, Ni, Mo or Cu. In an embodiment, tungsten (W) is used. The above-described conductive material layer may be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD, including sputtering), atomic layer deposition (ALD), electroplating, or a combination thereof, or other suitable film formation methods.

在一些實施例中,在形成導電材料層之前,形成附著層(adhesive layer)。附著層包括一或多層之導電材料,例如:TiN及Ti。可以化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或上述之組合、或其他合適之成膜方法形成附著層。附著層係用來防止導電材料脫落。 In some embodiments, an adhesive layer is formed prior to forming a layer of conductive material. The adhesion layer comprises one or more layers of electrically conductive materials such as TiN and Ti. The adhesion layer can be formed by chemical vapor deposition, physical vapor deposition, atomic layer deposition, electroplating, or a combination thereof, or other suitable film formation methods. The adhesion layer is used to prevent the conductive material from falling off.

在形成”厚”導電材料層之後,進行如化學機械研磨(CMP)或回蝕刻之平坦化步驟,以移除沉積在第一層間介電層40上表面上的導電材料層從而形成如第3圖所示之源極/汲極接觸60。 After forming a "thick" layer of conductive material, a planarization step such as chemical mechanical polishing (CMP) or etch back is performed to remove the layer of conductive material deposited on the upper surface of the first interlayer dielectric layer 40 to form The source/drain contacts 60 shown in Figure 3.

在形成源極/汲極接觸60之後,形成第二層間介電層70於第4圖之結構之上。第二層間介電層70之材料及形成方法與第一層間介電層40類似。在一些實施例中,形成接觸蝕刻停止層72(contact etch stop layer,簡稱CESL,舉例來說可以SiN、SiC或SiCN形成)於第一層間介電層40及第二層間介電層 70之間。 After the source/drain contact 60 is formed, a second interlayer dielectric layer 70 is formed over the structure of FIG. The material and formation method of the second interlayer dielectric layer 70 is similar to that of the first interlayer dielectric layer 40. In some embodiments, a contact etch stop layer 72 (referred to as CESL, for example, SiN, SiC or SiCN) is formed on the first interlayer dielectric layer 40 and the second interlayer dielectric layer. Between 70.

接著,以鑲嵌技術(damascene technique)形成接觸層及線路層。上述鑲嵌技術可為單鑲嵌技術或雙鑲嵌技術。如第5圖所示,形成接觸開口73、73G及73S於第一及第二層間介電層及絕緣蓋層之中,以至少部分地暴露源極/汲極接觸60及閘極結構之金屬閘極10之上表面。形成接觸開口73以暴露源極/汲極接觸60及金屬閘極10,形成接觸開口73S以暴露源極/汲極接觸60之上表面,形成接觸開口73G以暴露金屬閘極10之上表面。 Next, a contact layer and a wiring layer are formed by a damascene technique. The above mosaic technology can be a single damascene technique or a dual damascene technique. As shown in FIG. 5, contact openings 73, 73G and 73S are formed in the first and second interlayer dielectric layers and the insulating cap layer to at least partially expose the source/drain contact 60 and the metal of the gate structure. The upper surface of the gate 10. A contact opening 73 is formed to expose the source/drain contact 60 and the metal gate 10, and a contact opening 73S is formed to expose the upper surface of the source/drain contact 60 to form a contact opening 73G to expose the upper surface of the metal gate 10.

如第5圖所示,接觸開口73、73G及73S各自包括作為線路層之上部及作為接觸層之下部。在一些實施例中,先以光微影步驟及乾式蝕刻步驟形成上述之上部於第二層間介電層70之中,接著以光微影步驟及乾式蝕刻步驟形成上述之下部。 As shown in Fig. 5, the contact openings 73, 73G, and 73S are each included as an upper portion of the wiring layer and as a lower portion of the contact layer. In some embodiments, the upper portion is formed in the second interlayer dielectric layer 70 by a photolithography step and a dry etching step, and then the lower portion is formed by a photolithography step and a dry etching step.

在形成接觸開口73、73G及73S之後,形成一厚的第二導電材料層於第5圖的結構之上,並進行如化學機械研磨之平坦化步驟而形成如第6A及6B圖中所示之結構。第6B圖為俯視圖,而第6A圖對應第6B圖的線段X1-X1。 After forming the contact openings 73, 73G and 73S, a thick second conductive material layer is formed on the structure of FIG. 5, and a planarization step such as chemical mechanical polishing is performed to form as shown in FIGS. 6A and 6B. The structure. Fig. 6B is a plan view, and Fig. 6A corresponds to a line segment X1-X1 of Fig. 6B.

第二導電材料層包括以化學氣相沉積、物理氣相沉積、原子層沉積或電鍍或其他合適之方法形成之一或多層之導電材料,例如:TiN、Ti、Cu、Al、W或其合金或其他適當之材料。 The second conductive material layer comprises one or more conductive materials formed by chemical vapor deposition, physical vapor deposition, atomic layer deposition or electroplating or other suitable methods, such as TiN, Ti, Cu, Al, W or alloys thereof. Or other suitable materials.

以第二導電材料填充接觸開口73而形成接觸層80及線路層85,上述接觸層80及線路層85組成了一體地成形結構 (integrally formed structure)。 The contact layer 80 and the wiring layer 85 are formed by filling the contact opening 73 with a second conductive material, and the contact layer 80 and the wiring layer 85 constitute an integrally formed structure. (integrally formed structure).

接觸層80接觸金屬閘極10及源極/汲極接觸60而電性連接金屬閘極10及源極/汲極區域50。以第二導電材料填充接觸開口73G而形成接觸層80G及線路層85G。接觸層80G接觸金屬閘極10。以第二導電材料填充接觸開口73S而形成接觸層80S及線路層85S。接觸層80S接觸源極/汲極接觸60。 The contact layer 80 contacts the metal gate 10 and the source/drain contacts 60 to electrically connect the metal gate 10 and the source/drain regions 50. The contact opening 80G is filled with the second conductive material to form the contact layer 80G and the wiring layer 85G. The contact layer 80G contacts the metal gate 10. The contact opening 80S is filled with the second conductive material to form the contact layer 80S and the wiring layer 85S. Contact layer 80S contacts source/drain contact 60.

如第6B圖所示,接觸層80及線路層85重疊(overlap)鰭結構5。接觸層80S及線路層85S亦重疊鰭結構5,然而接觸層80G及線路層85G不重疊鰭結構5。 As shown in FIG. 6B, the contact layer 80 and the wiring layer 85 overlap the fin structure 5. The contact layer 80S and the wiring layer 85S also overlap the fin structure 5, but the contact layer 80G and the wiring layer 85G do not overlap the fin structure 5.

於剖面圖中,接觸層80、80G或80S與線路層85、85G或85S各自之間沒有介面(interface)或界線(boundary)。此外,於俯視圖中,接觸層80、80G或80S之面積各自小於線路層85、85G或85S。 In the cross-sectional view, there is no interface or boundary between the contact layer 80, 80G or 80S and the circuit layer 85, 85G or 85S. Further, in plan view, the areas of the contact layers 80, 80G or 80S are each smaller than the wiring layers 85, 85G or 85S.

根據本揭露另一實施例,第7-11B圖例示性繪示出對應第1A圖中線段X1-X1之剖面圖,用以說明一循續之半導體裝置製造流程中之各個步驟。應理解的是,可提供額外的步驟於第7-11B圖所示之流程之前、之中、及之後,且在上述方法之其他的實施例中,一些下述之步驟可被取代或移除。步驟/流程之順序可相互交換。於接下來的實施例中,可使用與第2-6B圖詳述之前述實施例類似或相同之配置(configuration)、結構、材料、流程及/或步驟,因此可能省略其詳細說明。 In accordance with another embodiment of the present disclosure, FIGS. 7-11B exemplarily illustrate a cross-sectional view corresponding to line segment X1-X1 of FIG. 1A for explaining various steps in a manufacturing process of a semiconductor device. It should be understood that additional steps may be provided before, during, and after the processes illustrated in Figures 7-11B, and in other embodiments of the above methods, some of the following steps may be replaced or removed. . The order of the steps/processes can be exchanged. In the following embodiments, configurations, structures, materials, processes, and/or steps similar or identical to those of the foregoing embodiments detailed in FIGS. 2-6B may be used, and thus detailed description thereof may be omitted.

在形成第3圖之結構之後,移除絕緣蓋層20而形成如第7圖所示之閘極空間25。在第7圖中,完全移除絕緣蓋層20以暴露出金屬閘極10。在其他的實施例中,移除部分之絕緣蓋 層20以暴露出金屬閘極10,使得絕緣蓋層20殘留於閘極開口25之壁上。在一些實施例中,形成額外的層間介電層於第一層間介電層40之上,而形成閘極開口25穿過上述額外的層間介電層。 After the structure of Fig. 3 is formed, the insulating cap layer 20 is removed to form the gate space 25 as shown in Fig. 7. In Fig. 7, the insulating cap layer 20 is completely removed to expose the metal gate 10. In other embodiments, a portion of the insulating cover is removed Layer 20 exposes metal gate 10 such that insulating cap layer 20 remains on the walls of gate opening 25. In some embodiments, an additional interlayer dielectric layer is formed over the first interlayer dielectric layer 40, and a gate opening 25 is formed through the additional interlayer dielectric layer.

在形成閘極開口25之後,如第8圖所示,形成閘極接觸65於暴露之金屬閘極10之上。形成導電材料之毯覆層於第7圖之結構之上。上述導電材料層包括一或多層之導電材料,例如:Co、W、Ni、Mo或Cu。在一實施例中,使用鎢(W)。可以化學氣相沉積、物理氣相沉積(包括濺鍍)、原子層沉積、電鍍或上述之組合、或其他合適之成膜方法形成上述之導電材料層。 After forming the gate opening 25, as shown in Fig. 8, a gate contact 65 is formed over the exposed metal gate 10. A blanket layer of conductive material is formed over the structure of Figure 7. The above conductive material layer comprises one or more layers of conductive materials such as Co, W, Ni, Mo or Cu. In an embodiment, tungsten (W) is used. The conductive material layer described above may be formed by chemical vapor deposition, physical vapor deposition (including sputtering), atomic layer deposition, electroplating, or a combination thereof, or other suitable film formation methods.

在一些實施例中,在形成導電材料層之前,形成附著層(adhesive layer)。附著層包括一或多層之導電材料,例如:TiN及Ti。可以化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或上述之組合、或其他合適之成膜方法形成附著層。附著層係用來防止導電材料脫落。 In some embodiments, an adhesive layer is formed prior to forming a layer of conductive material. The adhesion layer comprises one or more layers of electrically conductive materials such as TiN and Ti. The adhesion layer can be formed by chemical vapor deposition, physical vapor deposition, atomic layer deposition, electroplating, or a combination thereof, or other suitable film formation methods. The adhesion layer is used to prevent the conductive material from falling off.

在形成”厚”導電材料層之後,進行如化學機械研磨(CMP)或回蝕刻之平坦化步驟,以移除沉積在第一層間介電層40上表面上的導電材料層從而形成如第8圖所示之閘極接觸65。 After forming a "thick" layer of conductive material, a planarization step such as chemical mechanical polishing (CMP) or etch back is performed to remove the layer of conductive material deposited on the upper surface of the first interlayer dielectric layer 40 to form The gate contact shown in Figure 8 is 65.

類似於第4圖,在形成閘極接觸65之後,如第9圖所示,形成第二層間介電層70及接觸蝕刻停止層72。 Similar to FIG. 4, after the gate contact 65 is formed, as shown in FIG. 9, a second interlayer dielectric layer 70 and a contact etch stop layer 72 are formed.

接著,類似於第5圖,如第10圖所示,形成接觸開口75、75G及75S於第二層間介電層之中,以至少部分地暴露閘 極接觸65及源極/汲極接觸60。形成接觸開口75以暴露源極/汲極接觸60及閘極接觸65,形成接觸開口75S以暴露源極/汲極接觸60之上表面,形成接觸開口75G以暴露閘極接觸65之上表面。 Next, similar to FIG. 5, as shown in FIG. 10, contact openings 75, 75G, and 75S are formed in the second interlayer dielectric layer to at least partially expose the gate. The pole contact 65 and the source/drain contact 60. A contact opening 75 is formed to expose the source/drain contact 60 and the gate contact 65, forming a contact opening 75S to expose the upper surface of the source/drain contact 60, forming a contact opening 75G to expose the upper surface of the gate contact 65.

類似於第6A及6B圖,在形成接觸開口75、75G及75S之後,形成一厚的第二導電材料層於第10圖的結構之上,並進行如化學機械研磨之平坦化步驟而形成如第11A及11B圖中所示之結構。第11B圖為俯視圖,而第11A圖對應第11B圖中的線段X1-X1。 Similar to FIGS. 6A and 6B, after forming the contact openings 75, 75G, and 75S, a thick second conductive material layer is formed over the structure of FIG. 10, and a planarization step such as chemical mechanical polishing is performed to form The structure shown in Figures 11A and 11B. Fig. 11B is a plan view, and Fig. 11A corresponds to the line segment X1-X1 in Fig. 11B.

以第二導電材料填充接觸開口75而形成接觸層82及線路層87。接觸層82接觸閘極接觸65及源極/汲極接觸60而電性連接金屬閘極10及源極/汲極區域50。以第二導電材料填充接觸開口75G而形成接觸層82G及線路層87G。接觸層82G接觸閘極接觸65。以第二導電材料填充接觸開口75S而形成接觸層82S及線路層87S。接觸層82S接觸源極/汲極接觸60。 The contact opening 82 and the wiring layer 87 are formed by filling the contact opening 75 with a second conductive material. The contact layer 82 contacts the gate contact 65 and the source/drain contact 60 to electrically connect the metal gate 10 and the source/drain regions 50. The contact opening 75G is filled with the second conductive material to form the contact layer 82G and the wiring layer 87G. Contact layer 82G contacts gate contact 65. The contact opening 82S is filled with the second conductive material to form the contact layer 82S and the wiring layer 87S. Contact layer 82S contacts source/drain contact 60.

如第11B圖所示,接觸層82及線路層87不重疊鰭結構5。接觸層82S及線路層87S重疊鰭結構5,然而接觸層82G及線路層87G不重疊鰭結構5。 As shown in FIG. 11B, the contact layer 82 and the wiring layer 87 do not overlap the fin structure 5. The contact layer 82S and the wiring layer 87S overlap the fin structure 5, but the contact layer 82G and the wiring layer 87G do not overlap the fin structure 5.

於剖面圖中,接觸層82、82G或82S與線路層87、87G或87S各自之間沒有介面或界線。此外,於俯視圖中,接觸層82、82G或82S之面積各自小於線路層87、87G或87S。如第11A圖所示,接觸層82、82G及82S之底部位於實質上相同之平面上,其中上述底部之高度差異在約2nm以內。 In the cross-sectional view, there is no interface or boundary between the contact layer 82, 82G or 82S and the circuit layer 87, 87G or 87S. Further, in plan view, the areas of the contact layers 82, 82G or 82S are each smaller than the wiring layers 87, 87G or 87S. As shown in FIG. 11A, the bottoms of the contact layers 82, 82G, and 82S are located on substantially the same plane, wherein the height difference of the bottom portion is within about 2 nm.

根據本揭露另一實施例,第12-18B圖例示性繪示出對應第1A圖中線段X1-X1之剖面圖,用以說明一循續之半導 體裝置製造流程中之各個步驟。應理解的是,可提供額外的步驟於第12-18B圖所示之流程之前、之中、及之後,且在上述方法之其他的實施例中,一些下述之步驟可被取代或移除。步驟/流程之順序可相互交換。於接下來的實施例中,可使用與第2-6B圖詳述之前述實施例類似或相同之配置、結構、材料、流程及/或步驟,因此可能省略其詳細說明。 According to another embodiment of the present disclosure, FIGS. 12-18B exemplarily illustrate a cross-sectional view corresponding to the line segment X1-X1 in FIG. 1A for illustrating a continuation of the semi-guide. The various steps in the body device manufacturing process. It should be understood that additional steps may be provided before, during, and after the processes illustrated in Figures 12-18B, and in other embodiments of the above methods, some of the following steps may be replaced or removed. . The order of the steps/processes can be exchanged. In the following embodiments, configurations, structures, materials, processes, and/or steps similar or equivalent to those of the foregoing embodiments described in detail in FIGS. 2-6B may be used, and thus detailed description thereof may be omitted.

在形成第3圖之結構之後,如第12圖所示,形成第二層間介電層70及接觸蝕刻停止層72。 After the structure of FIG. 3 is formed, as shown in FIG. 12, the second interlayer dielectric layer 70 and the contact etch stop layer 72 are formed.

接著,如第13圖所示,蝕刻在源極/汲極區域上之第二層間介電層70、接觸蝕刻停止層72及第一層間介電層40以形成接觸開口77及77S。 Next, as shown in FIG. 13, the second interlayer dielectric layer 70, the contact etch stop layer 72, and the first interlayer dielectric layer 40 are etched on the source/drain regions to form contact openings 77 and 77S.

類似於第3圖,如第14圖所示,形成源極/汲極接觸66及66S於開口77及77S中以接觸源極/汲極區域之矽化物層55。 Similar to Fig. 3, as shown in Fig. 14, source/drain contacts 66 and 66S are formed in openings 77 and 77S to contact the germanide layer 55 of the source/drain regions.

在形成第14圖之結構之後,如第15圖所示,蝕刻第二層間介電層70、接觸蝕刻停止層72及絕緣蓋層20以形成閘極接觸開口77G。 After forming the structure of FIG. 14, as shown in FIG. 15, the second interlayer dielectric layer 70, the contact etch stop layer 72, and the insulating cap layer 20 are etched to form the gate contact opening 77G.

類似於第3或14圖,形成閘極接觸插塞67於開口77G之中以接觸金屬閘極10。此外,如第16圖所示,形成第三層間介電層90。在一些實施例中,形成第二接觸蝕刻停止層92於第二層間介電層70及第三層間介電層90之間。 Similar to the third or 14th figure, a gate contact plug 67 is formed in the opening 77G to contact the metal gate 10. Further, as shown in Fig. 16, a third interlayer dielectric layer 90 is formed. In some embodiments, a second contact etch stop layer 92 is formed between the second interlayer dielectric layer 70 and the third interlayer dielectric layer 90.

接著,類似於第5圖,如第17圖所示,形成接觸開口78、78G及78S於第一、第二及第三層間介電層以及絕緣蓋層中,以至少部分地暴露源極/汲極接觸66、以及源極/汲極接觸 66S及閘極接觸插塞67之上表面。如第17圖所示,形成接觸開口78以暴露出源極/汲極接觸66及金屬閘極10,形成接觸開口78S以暴露出源極/汲極接觸66S之上表面,形成接觸開口78G以暴露閘極接觸插塞67之上表面。 Next, similar to FIG. 5, as shown in FIG. 17, contact openings 78, 78G, and 78S are formed in the first, second, and third interlayer dielectric layers and the insulating cap layer to at least partially expose the source/ Bungee contact 66, and source/drain contact The 66S and the gate contact the upper surface of the plug 67. As shown in FIG. 17, a contact opening 78 is formed to expose the source/drain contact 66 and the metal gate 10, and a contact opening 78S is formed to expose the upper surface of the source/drain contact 66S to form a contact opening 78G. The gate is exposed to contact the upper surface of the plug 67.

在形成接觸開口78、78G及78S之後,形成一厚的第二導電材料層於第17圖的結構之上,並進行如化學機械研磨之平坦化步驟而形成如第18A及18B圖中所示之結構。第18B圖為俯視圖,而第18A圖對應第18B圖中的線段X1-X1。 After forming the contact openings 78, 78G and 78S, a thick second conductive material layer is formed over the structure of FIG. 17, and a planarization step such as chemical mechanical polishing is performed to form as shown in FIGS. 18A and 18B. The structure. Fig. 18B is a plan view, and Fig. 18A corresponds to a line segment X1-X1 in Fig. 18B.

以第二導電材料填充接觸開口78而形成接觸層83及線路層88。接觸層83接觸金屬閘極10及源極/汲極接觸66而電性連接金屬閘極10及源極/汲極區域50。以第二導電材料填充接觸開口78G而形成接觸層83G及線路層88G。接觸層83G接觸閘極接觸插塞67。以第二導電材料填充接觸開口78S而形成接觸層83S及線路層88S。接觸層83S接觸源極/汲極接觸66S。 The contact layer 83 and the wiring layer 88 are formed by filling the contact opening 78 with a second conductive material. The contact layer 83 contacts the metal gate 10 and the source/drain contact 66 to electrically connect the metal gate 10 and the source/drain region 50. The contact opening 83G is filled with the second conductive material to form the contact layer 83G and the wiring layer 88G. The contact layer 83G contacts the gate contact plug 67. The contact opening 83S is filled with the second conductive material to form the contact layer 83S and the wiring layer 88S. Contact layer 83S contacts source/drain contact 66S.

如第18B圖所示,接觸層83及線路層88重疊鰭結構5。接觸層83S及線路層88S亦重疊鰭結構5,然而接觸層83G及線路層88G不重疊鰭結構5。 As shown in FIG. 18B, the contact layer 83 and the wiring layer 88 overlap the fin structure 5. The contact layer 83S and the wiring layer 88S also overlap the fin structure 5, but the contact layer 83G and the wiring layer 88G do not overlap the fin structure 5.

於剖面圖中,接觸層83、83G或83S與線路層88、88G或88S各自之間沒有介面或界線。此外,於俯視圖中,接觸層83、83G或83S之面積各自小於線路層88、88G或88S。如第18A圖所示,源極/汲極接觸66及66S以及閘極接觸插塞67之上表面位於實質上相同之平面上,且其高度差在約2nm以內。 In the cross-sectional view, there is no interface or boundary between the contact layer 83, 83G or 83S and the circuit layer 88, 88G or 88S. Further, in plan view, the areas of the contact layers 83, 83G or 83S are each smaller than the wiring layers 88, 88G or 88S. As shown in Fig. 18A, the source/drain contacts 66 and 66S and the upper surface of the gate contact plug 67 are located on substantially the same plane, and the height difference is within about 2 nm.

應注意的是,可應用與第7-11B圖類似之結構及製造步驟於第12-18B圖之實施例中。在這樣的情況下,形成線路 層87及接觸層82於第二及第三層間介電層中,且接觸層82接觸閘極接觸層65及源極/汲極接觸層60之上表面。 It should be noted that the structures and manufacturing steps similar to those of Figures 7-11B can be applied to the embodiment of Figures 12-18B. In such a case, the line is formed The layer 87 and the contact layer 82 are in the second and third interlayer dielectric layers, and the contact layer 82 contacts the upper surface of the gate contact layer 65 and the source/drain contact layer 60.

應被理解的是,可對第6A及6B圖、11A及11B圖、18A及18B圖所示之裝置進行其他互補式金氧半場效電晶體製程以形成各種特徵(例如:互連金屬層、介電層、鈍化層...等)。 It should be understood that other complementary MOS field-effect transistor processes can be performed on the devices shown in Figures 6A and 6B, 11A and 11B, and 18A and 18B to form various features (eg, interconnect metal layers, Dielectric layer, passivation layer, etc.).

於此詳述之不同的實施例或例子相較於現有技術具有許多優點。舉例來說,在本揭露中,使用以鑲嵌技術所形成之接觸層直接連接閘極電極及形成於源極/汲極區域上之源極/汲極接觸層,而可提高線路圖案設計之彈性。 The different embodiments or examples detailed herein have many advantages over the prior art. For example, in the present disclosure, the contact layer formed by the damascene technique is used to directly connect the gate electrode and the source/drain contact layer formed on the source/drain region, thereby improving the flexibility of the line pattern design. .

應理解的是,並非已於此詳加說明所有優點。並非所有實施例或例子須共同具備特定的優點。其他實施例或例子可提供不同的優點。 It should be understood that not all advantages have been described in detail herein. Not all embodiments or examples must share certain advantages. Other embodiments or examples may provide different advantages.

根據本揭露的一個面向,一種半導體裝置,包括鰭式場效電晶體。上述半導體裝置包括:第一閘極電極;第一源極/汲極區域,鄰近第一閘極電極設置;第一源極/汲極接觸,設於第一源極/汲極區域上;第一間隔物層,設於第一閘極電極及第一源極/汲極區域之間;第一接觸層,接觸第一閘極電極及第一源極/汲極接觸;第一線路層,與第一接觸層一體地形成。在剖面圖中第一接觸層及第一線路層之間沒有介面,且在俯視圖中第一接觸層具有小於第一線路層之面積。 In accordance with one aspect of the present disclosure, a semiconductor device includes a fin field effect transistor. The semiconductor device includes: a first gate electrode; a first source/drain region disposed adjacent to the first gate electrode; and a first source/drain contact disposed on the first source/drain region; a spacer layer disposed between the first gate electrode and the first source/drain region; the first contact layer contacting the first gate electrode and the first source/drain contact; the first circuit layer, Formed integrally with the first contact layer. There is no interface between the first contact layer and the first circuit layer in the cross-sectional view, and the first contact layer has a smaller area than the first circuit layer in plan view.

根據本揭露的另一個面向,一種半導體裝置,包括鰭式場效電晶體。上述半導體裝置包括:第一閘極電極;第一閘極接觸層,設於第一閘極電極之上;第一源極/汲極區域,鄰近第一閘極電極設置;第一源極/汲極接觸,設於第一源極/ 汲極區域上;第一間隔物層,設於第一閘極電極及第一源極/汲極區域之間;第一接觸層,接觸第一閘極接觸及第一源極/汲極接觸;以及第一線路層,與第一接觸層一體地形成。在剖面圖中第一接觸層及第一線路層之間沒有介面,且在俯視圖中第一接觸層具有小於第一線路層之面積。 In accordance with another aspect of the present disclosure, a semiconductor device includes a fin field effect transistor. The semiconductor device includes: a first gate electrode; a first gate contact layer disposed over the first gate electrode; and a first source/drain region disposed adjacent to the first gate electrode; the first source/ Bungee contact, set at the first source / a first spacer layer disposed between the first gate electrode and the first source/drain region; the first contact layer contacting the first gate contact and the first source/drain contact And a first circuit layer integrally formed with the first contact layer. There is no interface between the first contact layer and the first circuit layer in the cross-sectional view, and the first contact layer has a smaller area than the first circuit layer in plan view.

根據本揭露的再另一個面向,一種半導體裝置之製造方法,上述半導體裝置包括鰭式場效電晶體。在上述方法中形成閘極結構於鰭結構上。上述閘極結構包括閘極電極層及絕緣蓋層。形成源極/汲極接觸層於源極/汲極結構上。形成層間介電層。以鑲嵌技術(damascene technique)形成第一接觸層及第一線路層。上述接觸層電性連接閘極電極層及源極/汲極接觸層且於俯視圖中重疊(overlap)閘極電極及源極/汲極接觸層。 According to still another aspect of the present disclosure, a method of fabricating a semiconductor device including a fin field effect transistor. A gate structure is formed on the fin structure in the above method. The gate structure includes a gate electrode layer and an insulating cap layer. A source/drain contact layer is formed on the source/drain structure. An interlayer dielectric layer is formed. The first contact layer and the first wiring layer are formed by a damascene technique. The contact layer is electrically connected to the gate electrode layer and the source/drain contact layer and overlaps the gate electrode and the source/drain contact layer in a plan view.

上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本揭露之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本揭露為基礎,設計或修改其他製程及結構,以達到與本揭露實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本揭露之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本揭露的精神及範圍。 The above summary is a summary of the features of the various embodiments, and thus, those of ordinary skill in the art can be understood. Other processes and structures may be designed or modified to achieve the same objectives and/or the same advantages as the embodiments of the present disclosure, without departing from the scope of the present disclosure. It is to be understood by those of ordinary skill in the art that the present invention may be practiced without departing from the spirit and scope of the disclosure.

3‧‧‧隔離絕緣層 3‧‧‧Isolation insulation

5‧‧‧鰭結構 5‧‧‧Fin structure

10‧‧‧金屬閘極結構 10‧‧‧Metal gate structure

20‧‧‧絕緣蓋層 20‧‧‧Insulation cover

30‧‧‧側壁間隔物 30‧‧‧ sidewall spacers

40‧‧‧第一層間介電層 40‧‧‧First interlayer dielectric layer

50‧‧‧源極/汲極區域 50‧‧‧Source/bungee area

55‧‧‧矽化物層 55‧‧‧ Telluride layer

60‧‧‧源極/汲極接觸 60‧‧‧Source/bungee contact

70‧‧‧第二層間介電層 70‧‧‧Second interlayer dielectric layer

72‧‧‧接觸蝕刻停止層 72‧‧‧Contact etch stop layer

80、80S、80G‧‧‧接觸層 80, 80S, 80G‧‧‧ contact layer

85、85S、85G‧‧‧線路層 85, 85S, 85G‧‧‧ circuit layer

Claims (10)

一種半導體裝置,包括一鰭式場效電晶體,該半導體裝置包括:一第一閘極電極;一第一源極/汲極區域,鄰近該第一閘極電極設置;一第一源極/汲極接觸,設於該第一源極/汲極區域上;一第一間隔物層,設於該第一閘極電極及該第一源極/汲極區域之間;一第一接觸層,接觸該第一閘極電極及該第一源極/汲極接觸;以及一第一線路層,與該第一接觸層一體地形成;其中,在一剖面圖中該第一接觸層及該第一線路層之間沒有介面,且在俯視圖中該第一接觸層具有一小於該第一線路層之面積。 A semiconductor device comprising a fin field effect transistor, the semiconductor device comprising: a first gate electrode; a first source/drain region disposed adjacent to the first gate electrode; a first source/汲a first contact layer is disposed between the first gate electrode and the first source/drain region; a first contact layer is disposed on the first source/drain region; Contacting the first gate electrode and the first source/drain contact; and a first circuit layer integrally formed with the first contact layer; wherein the first contact layer and the first portion are in a cross-sectional view There is no interface between a circuit layer, and the first contact layer has a smaller area than the first circuit layer in a plan view. 如申請專利範圍第1項所述之半導體裝置,更包括:一第一閘極絕緣蓋層,形成於該閘極電極之上;其中該第一接觸層之一側表面接觸該第一閘極絕緣蓋層。 The semiconductor device of claim 1, further comprising: a first gate insulating cap layer formed on the gate electrode; wherein a side surface of the first contact layer contacts the first gate Insulating cover. 如申請專利範圍第2項所述之半導體裝置,更包括:一第一源極/汲極絕緣層,形成於該源極/汲極區域之上;其中該第一接觸層之一下表面接觸該第一源極/汲極絕緣層。 The semiconductor device of claim 2, further comprising: a first source/drain insulating layer formed on the source/drain region; wherein a lower surface of the first contact layer contacts the lower surface The first source/drain insulation layer. 如申請專利範圍第2項所述之半導體裝置,更包括:一第一層間介電層,至少設於該第一絕緣蓋層之上;其中該第一線路層內埋於該第一層間介電層之一上部中,且該第一接觸層穿過該第一層間介電層之一下部及該第一閘極 絕緣蓋層。 The semiconductor device of claim 2, further comprising: a first interlayer dielectric layer disposed on the first insulating cap layer; wherein the first circuit layer is buried in the first layer In an upper portion of the dielectric layer, and the first contact layer passes through a lower portion of the first interlayer dielectric layer and the first gate Insulating cover. 如申請專利範圍第4項所述之半導體裝置,更包括:一第二閘極電極;一閘極接觸插塞,設於該第二閘極電極之上;一第二接觸層,接觸該閘極接觸插塞;以及一第二線路層,與該第二接觸層一體地形成;其中,在一剖面圖中該第二接觸層及該第二線路層之間沒有介面,該第二線路層內埋於該第一層間介電層之該上部中,且該第二接觸層穿過該第一層間介電層之該下部及該第二閘極絕緣蓋層。 The semiconductor device of claim 4, further comprising: a second gate electrode; a gate contact plug disposed on the second gate electrode; and a second contact layer contacting the gate a pole contact plug; and a second circuit layer integrally formed with the second contact layer; wherein, in a cross-sectional view, there is no interface between the second contact layer and the second circuit layer, the second circuit layer Buried in the upper portion of the first interlayer dielectric layer, and the second contact layer passes through the lower portion of the first interlayer dielectric layer and the second gate insulating cap layer. 如申請專利範圍第5項所述之半導體裝置,更包括:一第二源極/汲極區域;一第二源極/汲極接觸,設於該第二源極/汲極區域上;一第三接觸層,接觸該第二源極/汲極接觸;以及一第三線路層,與該第三接觸層一體地形成;其中,在一剖面圖中該第三接觸層及該第三線路層之間沒有介面,該第三線路層內埋於該第一層間介電層之該上部中,且該第三接觸層穿過該第一層間介電層之該下部。 The semiconductor device of claim 5, further comprising: a second source/drain region; a second source/drain contact disposed on the second source/drain region; a third contact layer contacting the second source/drain contact; and a third circuit layer integrally formed with the third contact layer; wherein the third contact layer and the third line are in a cross-sectional view There is no interface between the layers, the third circuit layer is buried in the upper portion of the first interlayer dielectric layer, and the third contact layer passes through the lower portion of the first interlayer dielectric layer. 如申請專利範圍第6項所述之半導體裝置,更包括:一鰭結構;其中,該第一接觸層及該第三接觸層在俯視圖中設於該鰭結構之上,而該第二接觸層在俯視圖中則不設於該鰭結構之上,且該第一源極/汲極接觸、該第二源極/汲極接觸及該閘極接觸插塞之上表面位於一實質上相同之平面上。 The semiconductor device of claim 6, further comprising: a fin structure; wherein the first contact layer and the third contact layer are disposed on the fin structure in a top view, and the second contact layer The top surface is not disposed on the fin structure, and the first source/drain contact, the second source/drain contact, and the upper surface of the gate contact plug are located on a substantially same plane. on. 一種半導體裝置之製造方法,該半導體裝置包括一鰭式場效電晶體,該方法包括:形成一閘極結構於一鰭結構上,該閘極結構包括一閘極電極層及一絕緣蓋層;形成一源極/汲極接觸層於一源極/汲極結構上;形成一層間介電層;以及以一鑲嵌技術(damascene technique)形成一第一接觸層及一第一線路層;其中,該接觸層電性連接該閘極電極層及該源極/汲極接觸層且於俯視圖中重疊(overlap)該閘極電極及該源極/汲極接觸層。 A method of fabricating a semiconductor device, the semiconductor device comprising a fin field effect transistor, the method comprising: forming a gate structure on a fin structure, the gate structure comprising a gate electrode layer and an insulating cap layer; forming a source/drain contact layer on a source/drain structure; forming an interlayer dielectric layer; and forming a first contact layer and a first circuit layer by a damascene technique; wherein The contact layer is electrically connected to the gate electrode layer and the source/drain contact layer and overlaps the gate electrode and the source/drain contact layer in a plan view. 如申請專利範圍第8項所述之半導體裝置之製造方法,其中該接觸層接觸該閘極電極及該源極/汲極接觸層。 The method of fabricating a semiconductor device according to claim 8, wherein the contact layer contacts the gate electrode and the source/drain contact layer. 如申請專利範圍第8項所述之半導體裝置之製造方法,其中形成該第一接觸層及該第一線路層之步驟包括蝕刻該層間介電層以暴露出該閘極電極之一上表面以及該源極/汲極接觸層之一部分。 The method of fabricating a semiconductor device according to claim 8, wherein the step of forming the first contact layer and the first wiring layer comprises etching the interlayer dielectric layer to expose an upper surface of the gate electrode and One of the source/drain contact layers.
TW105132030A 2016-01-29 2016-10-04 Semiconductor device and method of manufacturing same TWI650821B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662289153P 2016-01-29 2016-01-29
US62/289,153 2016-01-29
US15/090,202 2016-04-04
US15/090,202 US9947657B2 (en) 2016-01-29 2016-04-04 Semiconductor device and a method for fabricating the same

Publications (2)

Publication Number Publication Date
TW201727758A true TW201727758A (en) 2017-08-01
TWI650821B TWI650821B (en) 2019-02-11

Family

ID=59387744

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105132030A TWI650821B (en) 2016-01-29 2016-10-04 Semiconductor device and method of manufacturing same

Country Status (3)

Country Link
US (4) US9947657B2 (en)
CN (1) CN107026201B (en)
TW (1) TWI650821B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709195B (en) * 2018-07-31 2020-11-01 台灣積體電路製造股份有限公司 Semiconductor device and manufacturing method thereof
TWI792234B (en) * 2020-04-16 2023-02-11 台灣積體電路製造股份有限公司 Semiconductor structures and methods for forming the same

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9947657B2 (en) * 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9842927B1 (en) * 2016-08-26 2017-12-12 Globalfoundries Inc. Integrated circuit structure without gate contact and method of forming same
KR102575420B1 (en) * 2016-10-05 2023-09-06 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10475890B2 (en) * 2017-10-09 2019-11-12 Globalfoundries Inc. Scaled memory structures or other logic devices with middle of the line cuts
US10651284B2 (en) * 2017-10-24 2020-05-12 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
US10236215B1 (en) * 2017-10-24 2019-03-19 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
KR102516266B1 (en) 2017-11-10 2023-03-31 삼성전자주식회사 Semiconductor device
US10529624B2 (en) * 2017-11-21 2020-01-07 International Business Machines Corporation Simple contact over gate on active area
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
DE102018102685A1 (en) * 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation process and associated structure
US10636697B2 (en) * 2017-11-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
EP3514833B1 (en) * 2018-01-22 2022-05-11 GLOBALFOUNDRIES U.S. Inc. A semiconductor device and a method
KR102593561B1 (en) * 2018-06-25 2023-10-26 삼성전자주식회사 Semiconductor device
US10553486B1 (en) * 2018-07-27 2020-02-04 Globalfoundries Inc. Field effect transistors with self-aligned metal plugs and methods
KR102491555B1 (en) * 2018-11-30 2023-01-20 삼성전자주식회사 Semiconductor device and method for fabricating the same
TWI801614B (en) * 2019-06-21 2023-05-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
US11004750B2 (en) * 2019-09-16 2021-05-11 International Business Machines Corporation Middle of the line contact formation
CN112885775A (en) * 2019-11-29 2021-06-01 广东汉岂工业技术研发有限公司 Semiconductor structure and manufacturing method thereof
US11189525B2 (en) 2020-02-21 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Via-first process for connecting a contact and a gate electrode

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8952547B2 (en) * 2007-07-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same
WO2013048516A1 (en) 2011-09-30 2013-04-04 Intel Corporation Capping dielectric structure for transistor gates
KR101887414B1 (en) * 2012-03-20 2018-08-10 삼성전자 주식회사 Semiconductor device and method for manufacturing the device
KR20130126036A (en) * 2012-05-10 2013-11-20 삼성전자주식회사 Semiconductor device including transistor
US9105704B2 (en) * 2012-12-20 2015-08-11 Intermolecular, Inc. Method of depositing films with narrow-band conductive properties
US8841711B1 (en) * 2013-03-12 2014-09-23 Globalfoundries Inc. Methods of increasing space for contact elements by using a sacrificial liner and the resulting device
US8937359B2 (en) * 2013-05-15 2015-01-20 Globalfoundries Inc. Contact formation for ultra-scaled devices
US8993433B2 (en) * 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9761721B2 (en) * 2014-05-20 2017-09-12 International Business Machines Corporation Field effect transistors with self-aligned extension portions of epitaxial active regions
US9960256B2 (en) * 2014-05-20 2018-05-01 Globalfoundries Inc. Merged gate and source/drain contacts in a semiconductor device
US20150372100A1 (en) * 2014-06-19 2015-12-24 GlobalFoundries, Inc. Integrated circuits having improved contacts and methods for fabricating same
US9455254B2 (en) * 2014-11-07 2016-09-27 Globalfoundries Inc. Methods of forming a combined gate and source/drain contact structure and the resulting device
KR20160072476A (en) * 2014-12-15 2016-06-23 삼성전자주식회사 Semiconductor device and method of fabricating the same
KR102318410B1 (en) * 2015-04-01 2021-10-28 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9490317B1 (en) * 2015-05-14 2016-11-08 Globalfoundries Inc. Gate contact structure having gate contact layer
US9947657B2 (en) * 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709195B (en) * 2018-07-31 2020-11-01 台灣積體電路製造股份有限公司 Semiconductor device and manufacturing method thereof
US11469143B2 (en) 2018-07-31 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with elongated pattern
US11978672B2 (en) 2018-07-31 2024-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with elongated pattern
TWI792234B (en) * 2020-04-16 2023-02-11 台灣積體電路製造股份有限公司 Semiconductor structures and methods for forming the same

Also Published As

Publication number Publication date
US20180158820A1 (en) 2018-06-07
CN107026201A (en) 2017-08-08
US11127742B2 (en) 2021-09-21
US20170221891A1 (en) 2017-08-03
CN107026201B (en) 2020-08-18
TWI650821B (en) 2019-02-11
US10515961B2 (en) 2019-12-24
US10269797B2 (en) 2019-04-23
US20190229119A1 (en) 2019-07-25
US20200135727A1 (en) 2020-04-30
US9947657B2 (en) 2018-04-17

Similar Documents

Publication Publication Date Title
TWI650821B (en) Semiconductor device and method of manufacturing same
CN108257954B (en) Semiconductor device and method for manufacturing the same
TWI540678B (en) Contact plug and method of making same and semiconductor device
TWI739187B (en) Methods for forming semiconductor devices
TW201709519A (en) Semiconductor device and method for forming the same
US11942530B2 (en) Semiconductor devices with backside power rail and methods of fabrication thereof
US10840181B2 (en) Semiconductor device and a method for fabricating the same
US20200152507A1 (en) Integrated circuit with conductive line having line-ends
US10056407B2 (en) Semiconductor device and a method for fabricating the same
KR20220027742A (en) Semiconductor devices with backside power rail and method thereof
KR102133326B1 (en) Semiconductor device having a liner layer with a configured profile and method of fabricating thereof
KR102545432B1 (en) Nterconnect structure and method
TW202243260A (en) Semiconductor structure
TW202238910A (en) Interconnection structure
TW202238902A (en) Interconnection structures
TW202209556A (en) Semiconductor device
TW202322352A (en) Semiconductor device and fabrication method thereof
TW202141691A (en) Interconnect structure and method of manufacturing thereof
CN115566002A (en) Internal connection structure