TW201712752A - Method for collapse-free drying of high aspect ratio structures - Google Patents

Method for collapse-free drying of high aspect ratio structures Download PDF

Info

Publication number
TW201712752A
TW201712752A TW105117719A TW105117719A TW201712752A TW 201712752 A TW201712752 A TW 201712752A TW 105117719 A TW105117719 A TW 105117719A TW 105117719 A TW105117719 A TW 105117719A TW 201712752 A TW201712752 A TW 201712752A
Authority
TW
Taiwan
Prior art keywords
substrate
solution
drying
weight
har
Prior art date
Application number
TW105117719A
Other languages
Chinese (zh)
Inventor
史帝芬 M 席拉德
雷查納 李莫里
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/730,457 external-priority patent/US10008396B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201712752A publication Critical patent/TW201712752A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02601Nanoparticles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A method for drying a substrate including a plurality of high aspect ratio (HAR) structures includes, after at least one of (i) wet etching, and (ii) wet cleaning, and (iii) wet rinsing the substrate using at least one of (a) wet etching solution, and (b) wet cleaning solution, and (c) wet rinsing solution, respectively, and without drying the substrate: depositing, between the plurality of HAR structures, a solution that includes a polymer component, a nanoparticle component, and a solvent; wherein as the solvent evaporates, a sacrificial bracing material precipitates out of solution and at least partially fills the plurality of HAR structures, the sacrificial bracing material including (i) polymer material from the polymer component of the solution and (ii) nanoparticle material from the nanoparticle component of the solution; and exposing the substrate to plasma generated using a plasma gas chemistry to volatilize the sacrificial bracing material.

Description

高深寬比結構之不造成坍陷的乾燥方法Drying method for high aspect ratio structure without causing collapse

[相關申請案之交互參照] 本案為2014年10月6日提申之美國專利申請案第14/507080號的部分連續案。前述申請案係整體併入本文中以供參照。[Reciprocal Reference to Related Applications] This is a continuation of the U.S. Patent Application Serial No. 14/507,080, filed on Oct. 6, 2014. The aforementioned application is hereby incorporated by reference in its entirety.

本揭露內容係關於處理基板之系統及方法,更具體而言,係關於使基板之高深寬比(HAR, high aspect ratio)結構乾燥而不坍陷之系統及方法。The present disclosure relates to systems and methods for processing substrates, and more particularly to systems and methods for drying a high aspect ratio (HAR) structure without collapse.

此處所提供的背景敘述係為了概略地呈現本揭露內容的背景。在本「先前技術」段落中所描述的範圍內,目前所列名的發明人的成果、及在申請時可能未以其他方式認定為先前技術的描述之態樣,並未明示或默示地被承認為是相對於本揭露內容的先前技術。The background description provided herein is for the purpose of illustration of the disclosure. Within the scope described in this "Prior Art" paragraph, the results of the inventors currently listed, and the manner in which they may not be otherwise identified as prior art descriptions at the time of application, are not expressly or impliedly It is recognized as a prior art with respect to the disclosure.

例如半導體晶圓之基板的製造通常需要多個處理步驟,該等處理步驟可包含材料沉積、平坦化、特徵部圖案化、特徵部蝕刻、及/或特徵部清潔。在該基板處理期間,該等處理步驟通常重複一或多次。Fabrication of substrates such as semiconductor wafers typically requires multiple processing steps, which may include material deposition, planarization, feature patterning, feature etching, and/or feature cleaning. These processing steps are typically repeated one or more times during the processing of the substrate.

隨著半導體裝置持續縮小至更小的特徵部尺寸,逐漸需要高深寬比(HAR)結構以達到期望的裝置性能目標。HAR結構之使用會對若干基板處理步驟產生挑戰。As semiconductor devices continue to shrink to smaller feature sizes, high aspect ratio (HAR) structures are increasingly needed to achieve desired device performance goals. The use of HAR structures can present several substrate processing steps.

舉例而言,由於使基板乾燥期間所產生的毛細力所致,濕式製程(例如蝕刻及清潔)會造成HAR結構的問題。毛細力之強度取決於正經歷乾燥之蝕刻、清潔、或沖洗流體的接觸角度、表面張力、特徵部間距、及/或結構之深寬比。若乾燥期間所產生的毛細力過高,HAR結構將變得扭曲或坍陷於彼此上,而可能產生黏滯效應(stiction),其嚴重降低裝置良率。For example, wet processes (such as etching and cleaning) can cause problems with the HAR structure due to the capillary forces generated during drying of the substrate. The strength of the capillary force depends on the contact angle, surface tension, feature spacing, and/or aspect ratio of the structure of the etching, cleaning, or rinsing fluid that is undergoing drying. If the capillary force generated during drying is too high, the HAR structure will become distorted or collapsed on each other, and a stiction may occur, which seriously degrades the device yield.

為避免塌陷及黏滯效應,一方法使用具有低於去離子水之表面張力的沖洗液體以避免結構坍陷。雖然對於相對較低深寬比的結構而言此方法大致上是有效的,但此方法仍面臨如使用去離子水之方法時相同的坍陷及黏滯效應問題。沖洗流體仍具有會在乾燥期間產生應力的有限的表面張力量,而該應力對於脆弱的HAR結構而言仍過於強大。To avoid collapse and viscous effects, one method uses a rinse liquid having a surface tension lower than that of deionized water to avoid structural collapse. Although this method is generally effective for relatively low aspect ratio structures, this method still faces the same problems of collapse and viscous effects as when using deionized water. The rinsing fluid still has a limited amount of surface tension that can create stress during drying, which is still too strong for fragile HAR structures.

用於使HAR結構乾燥的另一方法涉及以超臨界流體來溶解及沖淨沖洗流體。若處理得當,超臨界流體並無表面張力。然而,當使用超臨界流體時,會產生數個技術上及生產上的挑戰。該等挑戰包含高設備及安全成本、長製程時間、製程期間易改變的溶劑特性、由於流體的擴散及可調性質所致的極度敏感度、及由超臨界流體與處理腔室之元件交互作用而產生的晶圓缺陷度及污染問題。Another method for drying the HAR structure involves dissolving and flushing the flushing fluid with a supercritical fluid. Supercritical fluids have no surface tension if handled properly. However, when using supercritical fluids, several technical and production challenges arise. These challenges include high equipment and safety costs, long process times, solvent characteristics that are subject to change during the process, extreme sensitivity due to fluid diffusion and tunable properties, and interaction of components from the supercritical fluid with the processing chamber. The resulting wafer defect and contamination problems.

針對避免HAR結構坍陷的另一策略係加入支承結構之永久性的機械性支撐結構。此方法存在數種折衷條件,包含對產能與良率有負面影響之較高成本及製程複雜度。再者,永久性的機械性支撐結構被侷限在支承特定類型的HAR結構。Another strategy for avoiding HAR structure collapse is to incorporate a permanent mechanical support structure of the support structure. There are several trade-offs for this approach, including higher costs and process complexity that have a negative impact on capacity and yield. Furthermore, permanent mechanical support structures are limited to supporting a particular type of HAR structure.

冷凍乾燥亦已被提出作為使HAR結構乾燥之方法。冷凍乾燥係藉由最初使溶劑冷凍而接著在真空下直接使其昇華來排除坍陷。冷凍乾燥避開液/氣介面,此情況會使毛細力最小化。儘管冷凍乾燥顯示出好的前景,但與競爭方法相比,冷凍乾燥具有相對高成本、低產能及高缺陷。Freeze drying has also been proposed as a method of drying the HAR structure. Freeze-drying eliminates the collapse by initially freezing the solvent and then sublimating it directly under vacuum. Freeze drying avoids the liquid/air interface, which minimizes capillary forces. Although freeze drying shows good prospects, freeze drying has relatively high cost, low throughput, and high defects compared to competitive methods.

亦可執行HAR結構之側壁的表面改質。在此方法中,小分子可與HAR結構之側壁化學性地結合。該等小分子係藉由當其接觸時避免材料的黏滯效應或藉由改變濕式化學的接觸角度以使拉普拉斯壓力(Laplace pressure)最小化,來改善坍塌的表現。表面改質並非完全地排除乾燥應力,而結構可能在乾燥製程期間變形,此情況可能導致損害。再者,當表面材料被改變時,需要新的特定分子以與HAR結構之側壁結合。Surface modification of the sidewalls of the HAR structure can also be performed. In this method, small molecules can be chemically bonded to the sidewalls of the HAR structure. These small molecules improve the performance of the collapse by avoiding the viscous effect of the material when it contacts or by changing the contact angle of the wet chemical to minimize the Laplace pressure. Surface modification does not completely eliminate the drying stress, and the structure may be deformed during the drying process, which may cause damage. Furthermore, when the surface material is altered, new specific molecules are required to bind to the sidewalls of the HAR structure.

在一特徵中,描述使包括複數高深寬比(HAR)結構之基板乾燥的方法。該方法包含下列步驟:在分別使用(a)濕式蝕刻溶液、(b)濕式清潔溶液、及(c)濕式沖洗溶液中之至少一者對該基板進行(i)濕式蝕刻、(ii)濕式清潔、及(iii)濕式沖洗中之至少一者之後,且在不使該基板乾燥之情況下:在該複數 HAR結構之間沉積溶液,該沉積溶液包括聚合物成分、奈米微粒成分、及溶劑;其中當溶劑揮發,犧牲性支撐材料由溶液析出,且至少部分地填充該複數 HAR結構,且該犧牲性支撐材料包括:(i)聚合物材料,來自該溶液的該聚合物成分;以及(ii)奈米微粒材料,來自該溶液的該奈米微粒成分;並且將該基板暴露於使用電漿氣體化學所產生的電漿,以使該犧牲性支撐材料揮發。In one feature, a method of drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The method comprises the steps of: (i) wet etching, (i) wet etching, using at least one of (a) a wet etching solution, (b) a wet cleaning solution, and (c) a wet rinsing solution, respectively ( Ii) after at least one of wet cleaning, and (iii) wet rinsing, and without drying the substrate: depositing a solution between the plurality of HAR structures, the deposition solution comprising a polymer component, a rice particle component, and a solvent; wherein when the solvent is volatilized, the sacrificial support material is precipitated from the solution and at least partially fills the plurality of HAR structures, and the sacrificial support material comprises: (i) a polymer material, the solution from the solution a polymer component; and (ii) a nanoparticulate material, the nanoparticle component from the solution; and exposing the substrate to a plasma generated using plasma gas chemistry to volatilize the sacrificial support material.

在一特徵中,描述用以使包括複數高深寬比(HAR)結構之基板乾燥的系統。該系統包含:一處理腔室;一基板支承體,設置在該處理腔室中;一氣體輸送系統,用以輸送氣體混合物至該處理腔室;一流體輸送系統,配置以輸送溶液至該基板;一電漿產生器,配置以在該處理腔室中產生電漿;一控制器,與該流體輸送系統、該氣體輸送系統、及該電漿產生器通訊。該控制器配置以進行下列操作:在分別使用(a)濕式蝕刻溶液、(b)濕式清潔溶液、或(c)濕式沖洗溶液中之至少一者對該基板進行(i)濕式蝕刻、(ii)濕式清潔、或(iii)濕式沖洗中之至少一者之後,且在不使該基板乾燥之情況下:在該複數 HAR結構之間沉積溶液,該溶液包括聚合物成分、奈米微粒成分、及溶劑;並且將該基板暴露於使用電漿氣體化學所產生的電漿,以使該犧牲性支撐材料揮發。當該溶劑揮發,犧牲性支撐材料由溶液析出,且至少部分地填充該複數 HAR結構,且該犧牲性支撐材料包括:(i)聚合物材料,來自該溶液的該聚合物成分;以及(ii)奈米微粒材料,來自該溶液的該奈米微粒成分。In one feature, a system for drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The system comprises: a processing chamber; a substrate support disposed in the processing chamber; a gas delivery system for delivering a gas mixture to the processing chamber; and a fluid delivery system configured to deliver the solution to the substrate a plasma generator configured to generate plasma in the processing chamber; a controller in communication with the fluid delivery system, the gas delivery system, and the plasma generator. The controller is configured to: (i) wet the substrate using at least one of (a) a wet etching solution, (b) a wet cleaning solution, or (c) a wet rinsing solution, respectively After at least one of etching, (ii) wet cleaning, or (iii) wet rinsing, and without drying the substrate: depositing a solution between the plurality of HAR structures, the solution comprising a polymer component a nanoparticle component, and a solvent; and exposing the substrate to a plasma generated using plasma gas chemistry to volatilize the sacrificial support material. When the solvent evaporates, the sacrificial support material is precipitated from the solution and at least partially fills the plurality of HAR structures, and the sacrificial support material comprises: (i) a polymer material, the polymer component from the solution; and (ii) a nanoparticulate material, the composition of the nanoparticle from the solution.

本揭露內容的可應用性之進一步範圍將從實施方式、請求項、及圖式而變得清楚明瞭。實施方式及具體實例僅意為說明之目的且並非意為限制本揭露內容之範疇。Further scope of applicability of the present disclosure will be apparent from the embodiments, claims, and drawings. The embodiments and the specific examples are intended to be illustrative only and are not intended to limit the scope of the disclosure.

某些犧牲性支撐方法已被用以避免高深寬比(HAR)結構之坍陷。僅以舉例而言,申請於2013年6月21日,發明名稱為「Method of Collapse-Free Drying of High Aspect Ratio Structures」的共同受讓之美國專利申請案第13/924314號揭露犧牲性支撐方法,該申請案係整體併入本文中以供參照。如該申請案中所述,在清潔或濕式蝕刻製程之後,但在使晶圓乾燥之前,犧牲性支撐材料(如:玻璃態聚合物或富勒烯(fullerene)溶液)係直接沉積至HAR結構中。Some sacrificial support methods have been used to avoid the collapse of high aspect ratio (HAR) structures. By way of example, the application of the sacrificial support method is disclosed in the co-pending U.S. Patent Application Serial No. 13/924,314, the entire disclosure of which is incorporated herein by reference. This application is incorporated herein in its entirety for reference. As described in this application, a sacrificial support material (eg, a glassy polymer or a fullerene solution) is deposited directly onto the HAR after a cleaning or wet etching process, but before the wafer is dried. In the structure.

當溶劑揮發,該犧牲性支撐材料由溶液析出,且填充該結構。機械性的支撐形成於HAR結構之間以抵銷在溶劑揮發期間所產生的毛細力。之後,以乾式電漿製程來將該犧牲性支撐材料移除。電漿製程可使用例如N2 、 O2 、H2 、及/或 O3 氣體等反應物。 如本說明書中所使用的HAR,意指AR 8:1、10:1、15:1、20:1、或 50:1的HAR結構。在HAR應用中,相鄰結構之間的距離小於40奈米(nm)、小於30nm、或小於20nm。When the solvent evaporates, the sacrificial support material is precipitated from the solution and the structure is filled. Mechanical support is formed between the HAR structures to counteract the capillary forces generated during solvent evaporation. Thereafter, the sacrificial support material is removed in a dry plasma process. The plasma process may use a reactant such as N 2 , O 2 , H 2 , and/or O 3 gas. HAR as used in this specification means a HAR structure of AR > 8:1, 10:1, 15:1, 20:1, or 50:1. In HAR applications, the distance between adjacent structures is less than 40 nanometers (nm), less than 30 nm, or less than 20 nm.

某些犧牲性支撐材料(例如某些聚合物型的犧牲性支撐材料)可能在犧牲性支撐材料移除期間經歷玻璃轉變而形成熔化物。該熔化物表現得像液體且可能在犧牲性支撐材料移除期間引起HAR結構坍陷。Certain sacrificial support materials, such as certain polymeric types of sacrificial support materials, may undergo a glass transition to form a melt during the removal of the sacrificial support material. The melt behaves like a liquid and may cause HAR structure collapse during the removal of the sacrificial support material.

根據本揭露內容, 犧牲性支撐材料包括奈米微粒材料(例如富勒醇(fullerol))及聚合物。該奈米微粒材料使犧牲性支撐材料的玻璃轉變溫度提高,而高於該聚合物的玻璃轉變溫度。較高的玻璃轉變溫度能達到較高的灰化溫度,使得犧牲性支撐材料之電漿移除速率更快,而縮短製程時間並提高產能。所使用之奈米微粒材料及聚合物的相對的量亦可經選擇,以降低表面張力及/或提高流動性以增加HAR結構之間的間隙填充。According to the present disclosure, the sacrificial support material includes a nanoparticulate material (such as fullerol) and a polymer. The nanoparticulate material increases the glass transition temperature of the sacrificial support material above the glass transition temperature of the polymer. Higher glass transition temperatures allow for higher ashing temperatures, resulting in faster plasma removal rates for sacrificial support materials, shorter process times and increased throughput. The relative amounts of nanoparticulate material and polymer used may also be selected to reduce surface tension and/or increase flow to increase gap filling between the HAR structures.

現參照圖1,顯示用以使包含複數HAR結構之基板乾燥的方法100。在122,使用所需的蝕刻溶液(例如酸)及/或清潔溶液,對包含複數HAR結構之基板進行濕式蝕刻或清潔。在濕式蝕刻或清潔之後,不使基板乾燥,且濕式蝕刻或清潔溶液留在該基板上。Referring now to Figure 1, a method 100 for drying a substrate comprising a plurality of HAR structures is shown. At 122, the substrate comprising the plurality of HAR structures is wet etched or cleaned using the desired etching solution (e.g., acid) and/or cleaning solution. After wet etching or cleaning, the substrate is not dried and a wet etch or cleaning solution remains on the substrate.

在一些範例中,HAR結構為線/間隔、STI、FinFETs、或圓柱狀電容器。材料可包含金屬、半導體或介電材料。在一些範例中,蝕刻及清潔製程係在旋塗處理腔室內執行。In some examples, the HAR structure is a line/space, STI, FinFETs, or cylindrical capacitor. The material can comprise a metal, semiconductor or dielectric material. In some examples, the etching and cleaning process is performed within a spin coating process chamber.

在123,可使用沖洗溶液來置換濕式蝕刻或清潔溶液。在沖洗之後,不使基板乾燥,且沖洗溶液留在該基板上。At 123, a rinsing solution can be used to replace the wet etch or cleaning solution. After rinsing, the substrate is not dried and the rinsing solution remains on the substrate.

在124,可使用選擇性的過渡溶劑來置換沖洗溶液。可根據沖洗溶液及用以溶解支撐材料的溶劑之化學組成和相容性,來使用過渡溶劑。At 124, a selective transition solvent can be used to replace the rinse solution. The transition solvent can be used depending on the chemical composition and compatibility of the rinsing solution and the solvent used to dissolve the support material.

在126,以包含犧牲性支撐材料的溶劑來置換沖洗溶液或選擇性的過渡溶劑。在一些範例中,犧牲性支撐材料包含一或更多聚合物、及奈米微粒添加物。亦可包含表面活化劑。可以想見,基板在步驟122、123、124及126期間保持濕的狀態。在一些範例中,犧牲性支撐材料包含可使用電漿化學而被加以揮發的含碳材料。At 126, the rinse solution or selective transition solvent is replaced with a solvent comprising a sacrificial support material. In some examples, the sacrificial support material comprises one or more polymers, and nanoparticle additives. A surfactant may also be included. It is envisioned that the substrate remains wet during steps 122, 123, 124, and 126. In some examples, the sacrificial support material comprises a carbonaceous material that can be volatilized using plasma chemistry.

在130,可選擇性地將多餘的溶劑旋轉去除(spin off)。犧牲性且機械性的支撐,填充了基板上的複數HAR結構。更具體而言,當溶劑揮發,犧牲性支撐材料由溶液析出並填充結構。機械性的支撐形成於HAR結構之間以抵銷在溶劑乾燥期間所產生的毛細力。在132,可選擇性地將基板退火或烘烤,以(例如)交聯化、移除殘餘溶劑、及/或釋放應力。可將基板轉移至電漿處理腔室,或若使用結合的旋塗及電漿處理腔室,則可不轉移而繼續進行處理。At 130, excess solvent can be selectively spun off. Sacrificial and mechanical support fills the complex HAR structure on the substrate. More specifically, when the solvent evaporates, the sacrificial support material is precipitated from the solution and fills the structure. Mechanical support is formed between the HAR structures to counteract the capillary forces generated during solvent drying. At 132, the substrate can be selectively annealed or baked to, for example, crosslink, remove residual solvent, and/or release stress. The substrate can be transferred to the plasma processing chamber, or if a combined spin coating and plasma processing chamber is used, the processing can be continued without transfer.

在一些範例中,基板支承體或平台於電漿曝露期間加熱基板至介於25o C-400o C之間的溫度。在134中,將基板曝露於電漿氣體化學品。例如,可將基板曝露於富含氫的電漿氣體化學品。可將富含氫的氣體與其他氣體混合,以改善殘留物或蝕刻速率而不將HAR結構的表面改質。在一些範例中,額外的氣體可包含溫和的氧化劑或惰性氣體。溫和氧化劑的範例包括二氧化碳、一氧化碳、一氧化氮、一氧化二氮、二氧化氮、氧化硫、二氧化硫、水及含氧烴類。在一些例子中,混合物包含少於10%的CO2 。亦可添加惰性氣體,包含氮、氬、氙、氪、氦、及氖。在一些範例中,可使用富含H2 的分子(諸如甲烷(CH4 )或氨(NH3 ))。這些富含H或富含H2 的分子可單獨使用、或與惰性氣體及/或溫和的氧化劑結合使用。雖然提供富含氫的電漿氣體化學品之範例,但可使用其他適當的電漿氣體化學品,例如富含氧的電漿氣體化學品及/或臭氧。In some examples, the substrate support or platform heats the substrate to a temperature between 25 o C and 400 o C during plasma exposure. At 134, the substrate is exposed to a plasma gas chemical. For example, the substrate can be exposed to a hydrogen-rich plasma gas chemical. The hydrogen-rich gas can be mixed with other gases to improve the residue or etch rate without modifying the surface of the HAR structure. In some examples, the additional gas may comprise a mild oxidant or an inert gas. Examples of mild oxidants include carbon dioxide, carbon monoxide, nitrogen monoxide, nitrous oxide, nitrogen dioxide, sulfur oxides, sulfur dioxide, water, and oxygenated hydrocarbons. In some examples, the mixture contains less than 10% CO 2 . An inert gas may also be added, including nitrogen, argon, helium, neon, xenon, and krypton. In some examples, it can be used enriched with H 2 molecule (such as methane (CH 4) or ammonia (NH 3)). The H-rich or enriched in H 2 molecules may be used alone, or in combination with an inert gas and / or a mild oxidizing agent. While providing an example of a hydrogen-rich plasma gas chemical, other suitable plasma gas chemicals can be used, such as oxygen-rich plasma gas chemicals and/or ozone.

在138,在處理腔室內點燃電漿並將基板曝露於電漿以移除犧牲性支撐材料。在一些範例中,該電漿係遠程或下游電漿。在一些範例中,製程條件包含使用500W-10kW的RF功率、0.1托-3托的真空壓力、及500-10000 sccms的總氣體流量所產生的電漿,但可使用其他的製程條件。在140,可使用選擇性的基板RF偏壓。At 138, the plasma is ignited in the processing chamber and the substrate is exposed to the plasma to remove the sacrificial support material. In some examples, the plasma is a remote or downstream plasma. In some examples, the process conditions include plasma generated using 500 W-10 kW of RF power, a vacuum pressure of 0.1 Torr to 3 Torr, and a total gas flow of 500-10,000 sccms, although other process conditions can be used. At 140, a selective substrate RF bias can be used.

在142,在移除犧牲性支撐材料之後,將基板自電漿處理腔室中移出。At 142, the substrate is removed from the plasma processing chamber after removal of the sacrificial support material.

現參照圖2A-2D,說明於乾燥期間使用犧牲性支撐之基板200的一範例。在圖2A中,基板200包含自下基板層212向上延伸的複數HAR結構204。舉例而言,複數HAR結構204可包含一或更多柱形體216、或其他結構(例如:線/間隔、電容器等),其自下基板層212向上延伸,然而亦可考量其他HAR結構。Referring now to Figures 2A-2D, an example of a substrate 200 that uses sacrificial support during drying is illustrated. In FIG. 2A, substrate 200 includes a plurality of HAR structures 204 that extend upwardly from lower substrate layer 212. For example, the plurality of HAR structures 204 can include one or more pillars 216, or other structures (eg, lines/spacers, capacitors, etc.) that extend upwardly from the lower substrate layer 212, although other HAR structures can also be considered.

在濕式蝕刻或濕式清潔後,流體224留在基板200上。僅以舉例而言,流體224可填充柱形體216之間的間隙220。在圖2B中,選擇性的過渡溶劑238可用以置換流體224。 在圖2C中,包含犧牲性支撐材料的溶劑240可用以置換流體224或選擇性的過渡溶劑238(若有使用)。在圖2D中,可使用電漿以移除犧牲性支撐材料(在241顯示部分地移除)而不損壞複數HAR結構204。Fluid 224 remains on substrate 200 after wet etching or wet cleaning. By way of example only, fluid 224 may fill gap 220 between cylindrical bodies 216. In FIG. 2B, a selective transition solvent 238 can be used to displace fluid 224. In FIG. 2C, a solvent 240 comprising a sacrificial support material can be used to displace fluid 224 or a selective transition solvent 238, if used. In FIG. 2D, a plasma can be used to remove the sacrificial support material (shown partially removed at 241) without damaging the plurality of HAR structures 204.

現參照圖3A及3B,顯示用於使具有複數HAR結構之基板乾燥的處理腔室之範例。在圖3A中,可在旋塗處理腔室300內執行濕式蝕刻、清潔及/或沖洗。此外,在旋塗處理腔室300內,可將具有犧牲性支撐材料的溶劑(或過渡溶劑以及具有犧牲性支撐材料的溶劑)塗佈在基板上。接著,可將基板轉移至用於電漿處理的電漿處理腔室304,以移除犧牲性支撐材料而不損壞複數HAR結構。3A and 3B, an example of a processing chamber for drying a substrate having a plurality of HAR structures is shown. In FIG. 3A, wet etching, cleaning, and/or rinsing can be performed within the spin coating process chamber 300. Further, in the spin coating process chamber 300, a solvent having a sacrificial support material (or a transition solvent and a solvent having a sacrificial support material) may be coated on the substrate. The substrate can then be transferred to a plasma processing chamber 304 for plasma processing to remove the sacrificial support material without damaging the plurality of HAR structures.

在圖3B中,顯示結合的旋塗及電漿處理腔室310。可使用結合的旋塗及電漿處理腔室310之旋塗元件來執行濕式蝕刻、清潔、及/或沖洗。可使用旋塗元件來塗佈具有犧牲性支撐材料的溶劑(或過渡溶劑以及具有犧牲性支撐材料的溶劑)。接著,結合的旋塗及電漿處理腔室310的電漿元件進行電漿處理,以移除基板的犧牲性支撐材料而不損壞HAR結構。In Figure 3B, a combined spin coating and plasma processing chamber 310 is shown. Wet etching, cleaning, and/or rinsing can be performed using a combined spin coating and spin coating element of the plasma processing chamber 310. A solvent coated with a sacrificial support material (or a transition solvent and a solvent with a sacrificial support material) can be applied using a spin-on element. Next, the combined spin coating and plasma elements of the plasma processing chamber 310 are plasma treated to remove the sacrificial support material of the substrate without damaging the HAR structure.

現參照圖4,顯示系統409之範例,該系統包含旋塗處理腔室404。可設置基板支承體408,例如支座或平台。基板410係安置於基板支承體408上。馬達412可用以視需要而選擇性地轉動基板支承體408,以將液體旋塗於基板410上。基板支承體408可包含連接至加熱器422的內嵌式線圈(未圖示)。Referring now to Figure 4, an example of a system 409 is shown that includes a spin coating process chamber 404. A substrate support 408, such as a stand or platform, can be provided. The substrate 410 is disposed on the substrate support 408. Motor 412 can be used to selectively rotate substrate support 408 as needed to spin the liquid onto substrate 410. The substrate support 408 can include an in-line coil (not shown) that is coupled to the heater 422.

流體輸送系統424用以將流體從一或更多流體源426-1、426-2、…、及426-N(統稱為流體源426)輸送至基板410。流體輸送系統424可包含一或更多閥428-1、428-2、…、428-N(統稱為閥428)。分流閥430可用以沖入來自流體輸送系統424的流體。流體輸送系統424可配置成輸送用於濕式蝕刻、濕式清潔之流體、沖淨流體、包含結構性支撐材料之溶劑、及/或其他流體。閥452及泵浦454可用以視需要而將反應物自旋塗處理腔室404中排空。可設置一或更多感測器458以監測處理腔室404中例如溫度、壓力等條件。Fluid delivery system 424 is used to deliver fluid from one or more fluid sources 426-1, 426-2, ..., and 426-N (collectively referred to as fluid source 426) to substrate 410. Fluid delivery system 424 can include one or more valves 428-1, 428-2, ..., 428-N (collectively referred to as valves 428). A diverter valve 430 can be used to flush fluid from the fluid delivery system 424. Fluid delivery system 424 can be configured to deliver fluids for wet etching, wet cleaning, flushing fluids, solvents comprising structural support materials, and/or other fluids. Valve 452 and pump 454 can be used to vent the reactants in spin coating processing chamber 404 as needed. One or more sensors 458 can be provided to monitor conditions such as temperature, pressure, etc. in the processing chamber 404.

控制器460可用以控制系統409中的一或更多裝置。更具體而言,控制器460可用以控制馬達412、加熱器422、流體輸送系統424、及/或閥452與泵浦454。控制器460可部分地基於來自一或更多感測器458之回饋而操作。Controller 460 can be used to control one or more devices in system 409. More specifically, controller 460 can be used to control motor 412, heater 422, fluid delivery system 424, and/or valve 452 and pump 454. Controller 460 can operate based in part on feedback from one or more sensors 458.

現參照圖5,顯示根據本揭露內容的基板處理系統510的範例。基板處理系統510包含處理腔室512及氣體分配裝置513。在一些範例中,遠程電漿可被供應至氣體分配裝置513中,或在氣體分配裝置513內產生,如下進一步描述。在處理腔室512內可設置基板支承體516(諸如支座或平台)。在使用期間,基板518(諸如半導體晶圓或其他類型的基板)可安置在基板支承體516上。Referring now to Figure 5, an example of a substrate processing system 510 in accordance with the present disclosure is shown. The substrate processing system 510 includes a processing chamber 512 and a gas distribution device 513. In some examples, the remote plasma can be supplied to the gas distribution device 513 or generated within the gas distribution device 513 as further described below. A substrate support 516 (such as a stand or platform) may be disposed within the processing chamber 512. A substrate 518, such as a semiconductor wafer or other type of substrate, can be disposed on the substrate support 516 during use.

基板處理系統510包含氣體輸送系統520。僅以舉例而言,氣體輸送系統520可包含:一或多個氣體源522-1、522-2、…、及522-N(統稱為氣體源522),其中N係大於零的整數;閥524-1、524-2、…、及524-N(統稱為閥524);及質流控制器(MFC)526-1、526-2、…、及526-N(統稱為MFC 526)。氣體輸送系統520的輸出可在歧管530中被加以混合、並輸送至遠程電漿源及/或氣體分配裝置513。氣體輸送系統520供應電漿氣體化學品。The substrate processing system 510 includes a gas delivery system 520. By way of example only, gas delivery system 520 can include: one or more gas sources 522-1, 522-2, ..., and 522-N (collectively referred to as gas sources 522), where N is an integer greater than zero; 524-1, 524-2, ..., and 524-N (collectively referred to as valve 524); and mass flow controllers (MFC) 526-1, 526-2, ..., and 526-N (collectively referred to as MFC 526). The output of the gas delivery system 520 can be mixed in the manifold 530 and delivered to a remote plasma source and/or gas distribution device 513. Gas delivery system 520 supplies plasma gas chemicals.

控制器540可連接至一或多個感測器541,一或多個感測器541監測處理腔室512中諸如溫度、壓力等的操作參數。可設置加熱器542以視需要而加熱基板支承體516及基板518。可設置閥550及泵552以將氣體自處理腔室512中排空。Controller 540 can be coupled to one or more sensors 541 that monitor operational parameters such as temperature, pressure, etc. in processing chamber 512. A heater 542 may be provided to heat the substrate support 516 and the substrate 518 as needed. Valve 550 and pump 552 can be provided to evacuate gas from processing chamber 512.

僅以舉例而言,可設置電漿產生器556。在一些範例中,電漿產生器556係下游電漿源。電漿產生器556可包含電漿管、感應式線圈或其他用以產生遠程電漿的其他裝置。僅以舉例而言,電漿產生器556可使用射頻(RF)或微波功率,並使用上述之氣體化學品來產生遠程電漿。在一些範例中,感應式線圈係纏繞在噴淋頭的上桿部,並被由RF源及匹配網絡所產生的RF訊號激發。流經桿部的反應性氣體被通過感應式線圈的RF訊號激發成電漿態。By way of example only, a plasma generator 556 can be provided. In some examples, the plasma generator 556 is a downstream plasma source. The plasma generator 556 can include a plasma tube, an inductive coil, or other device for generating remote plasma. By way of example only, the plasma generator 556 can use radio frequency (RF) or microwave power and use the gaseous chemicals described above to generate remote plasma. In some examples, the inductive coil is wound around the upper stem of the showerhead and is excited by RF signals generated by the RF source and the matching network. The reactive gas flowing through the stem is excited into a plasma state by the RF signal of the inductive coil.

控制器540可用以控制氣體輸送系統520、加熱器542、閥550、泵浦552、及由遠程電漿產生器556所產生的電漿。Controller 540 can be used to control gas delivery system 520, heater 542, valve 550, pump 552, and plasma generated by remote plasma generator 556.

現參照圖6,顯示結合的旋塗及電漿處理腔室610。結合的旋塗及電漿處理腔室610包含控制器620,其配置以控制濕式蝕刻或濕式清潔、濕式沖洗、選擇性過渡溶劑之塗佈、包含犧牲性支撐材料的溶劑之塗佈、及電漿之產生。Referring now to Figure 6, a combined spin coating and plasma processing chamber 610 is shown. The combined spin-on and plasma processing chamber 610 includes a controller 620 configured to control wet or wet cleaning, wet rinse, selective transition solvent coating, solvent coating of a sacrificial support material And the generation of plasma.

更具體而言,控制器620輸送濕式蝕刻溶液、清潔溶液、或濕式沖洗溶液至基板。之後,控制器620輸送包含犧牲性支撐材料的溶劑(或選擇性過渡溶劑及接著的包含犧牲性支撐材料的溶劑)。在流體輸送期間或之後,控制器可使用馬達412來旋轉基板支承體408以旋塗流體至基板上。旋轉基板支承體408亦可將多餘的溶劑/犧牲性支撐材料旋轉去除。More specifically, the controller 620 delivers a wet etching solution, a cleaning solution, or a wet rinsing solution to the substrate. Thereafter, the controller 620 delivers a solvent (or a selective transition solvent and a subsequent solvent comprising the sacrificial support material) comprising the sacrificial support material. During or after fluid delivery, the controller can use motor 412 to rotate substrate support 408 to spin coating fluid onto the substrate. Rotating the substrate support 408 can also remove excess solvent/sacrificial support material.

塗佈之後,溶劑蒸發,留下支承HAR結構的犧牲性支撐材料。隨後,控制器620控制氣體輸送系統520及電漿產生器556,以產生電漿來移除犧牲性支撐材料,並使HAR結構乾燥而不損壞HAR結構。雖然以圖呈現並描述犧牲性支撐材料之包括電漿的移除範例,但替代地,可使用臭氧來移除犧牲性支撐材料。After coating, the solvent evaporates leaving a sacrificial support material that supports the HAR structure. Controller 620 then controls gas delivery system 520 and plasma generator 556 to produce a plasma to remove the sacrificial support material and to dry the HAR structure without damaging the HAR structure. While the example of removal of the sacrificial support material including the plasma is presented and described in the figures, ozone may alternatively be used to remove the sacrificial support material.

在一些範例中,犧牲性支撐材料包含聚合物成分及奈米微粒成分。犧牲性支撐材料係經由溶劑來輸送,如上所述。僅以舉例而言,適當的溶劑包括有機溶劑與去離子水,但亦可使用其他的溶劑。包含奈米微粒的犧牲性支撐材料具有熱穩定性,且可達到更高的灰化溫度,而因此達到更高的產能。In some examples, the sacrificial support material comprises a polymer component and a nanoparticle component. The sacrificial support material is delivered via a solvent, as described above. By way of example only, suitable solvents include organic solvents and deionized water, although other solvents may be used. Sacrificial support materials comprising nanoparticulates are thermally stable and can achieve higher ashing temperatures, thus achieving higher throughput.

奈米微粒成分之範例包括(但不限於):含奈米微粒或碳基奈米微粒的有機聚合物(例如富勒烯或富勒醇)、有機微粒、乳膠、無機奈米微粒(例如SiO2 )。在一些範例中,所使用的奈米微粒材料的最大尺寸小於12 nm、小於10 nm、或小於 8 nm。在一些範例中,奈米微粒材料的最大尺寸小於或等於相鄰的HAR結構之間的距離(例如最短距離)的一半。在一些範例中,奈米微粒材料能夠使用電漿化學而被加以揮發。Examples of nanoparticle constituents include, but are not limited to, organic polymers containing nanoparticle or carbon-based nanoparticles (such as fullerenes or fullerenes), organic microparticles, latexes, inorganic nanoparticles (such as SiO). 2 ). In some examples, the nanoparticle material used has a largest dimension of less than 12 nm, less than 10 nm, or less than 8 nm. In some examples, the largest dimension of the nanoparticulate material is less than or equal to half the distance between adjacent HAR structures (eg, the shortest distance). In some examples, the nanoparticulate material can be volatilized using plasma chemistry.

聚合物之範例包括低分子量的聚合物或寡聚物。低分子重的聚合物指涉分子重小於每莫耳15000克、或小於每莫耳10000克、或小於每莫耳8000克、或小於每莫耳5000克、或小於每莫耳3000克、或小於每莫耳2000克、或小於每莫耳1000克的聚合物。在一些範例中,可使用分子重大約每莫耳600克的聚合物。在一些範例中,聚合物的最大尺寸小於或等於相鄰的HAR結構之間的距離(例如最短距離)的一半。在一些範例中,聚合物能夠使用電漿化學而被加以揮發。Examples of polymers include low molecular weight polymers or oligomers. The low molecular weight polymer refers to a molecular weight of less than 15000 grams per mole, or less than 10,000 grams per mole, or less than 8000 grams per mole, or less than 5000 grams per mole, or less than 3000 grams per mole, or Less than 2000 grams per mole, or less than 1000 grams per mole of polymer. In some examples, a polymer having a molecular weight of about 600 grams per mole can be used. In some examples, the largest dimension of the polymer is less than or equal to half the distance between adjacent HAR structures (eg, the shortest distance). In some examples, the polymer can be volatilized using plasma chemistry.

在一些範例中,犧牲性支撐材料可相對於奈米微粒材料而富含聚合物。在一些範例中,富含聚合物意指聚合物比奈米微粒材料的重量比大於或等於1:1、大於或等於2:1、大於或等於3:1、大於或等於5:1、大於或等於10:1、大於或等於25:1、或大於或等於50:1。在一些範例中,固體比溶劑的重量比可小於或等於0.40、小於或等於 0.25、小於或等於 0.2、小於或等於 0.15、小於或等於  0.1、或小於或等於 0.05。固體可包括聚合物的固體及奈米微粒的固體。如上述,溶劑之範例可包括有機溶劑及水。In some examples, the sacrificial support material can be enriched in polymer relative to the nanoparticulate material. In some examples, a polymer-rich means that the weight ratio of the polymer to the nanoparticulate material is greater than or equal to 1:1, greater than or equal to 2:1, greater than or equal to 3:1, greater than or equal to 5:1, greater than or Equal to 10:1, greater than or equal to 25:1, or greater than or equal to 50:1. In some examples, the weight ratio of solids to solvent can be less than or equal to 0.40, less than or equal to 0.25, less than or equal to 0.2, less than or equal to 0.15, less than or equal to 0.1, or less than or equal to 0.05. The solid may comprise a solid of the polymer and a solid of the nanoparticulates. As described above, examples of the solvent may include an organic solvent and water.

犧牲性支撐材料亦可包括一或更多表面活化劑,用以降低表面張力及/或改善HAR結構之間的間隙填充及HAR結構的塗布均勻性。可基於互溶性、使用電漿移除的能力、及表面張力的影響來選擇所使用的表面活化劑。The sacrificial support material may also include one or more surfactants to reduce surface tension and/or improve gap fill between the HAR structures and coating uniformity of the HAR structure. The surfactant used can be selected based on the mutual solubility, the ability to use plasma removal, and the effect of surface tension.

在犧牲性支撐材料的電漿移除期間發生的高溫放熱反應可能引起犧牲性支撐材料的玻璃轉變。此玻璃轉變會導致熔化物形成。該熔化物表現得像液體且可能在犧牲性支撐材料移除期間引起HAR結構坍陷。可透過設計複雜、交聯化的支撐材料及/或限制支撐材料移除期間的溫度而避免坍陷。然而,因為支撐材料的移除需要花更長的時間來完成,這可能使製程產能降低。The high temperature exothermic reaction that occurs during plasma removal of the sacrificial support material may cause a glass transition of the sacrificial support material. This glass transition causes the melt to form. The melt behaves like a liquid and may cause HAR structure collapse during the removal of the sacrificial support material. Avoiding collapse by designing complex, cross-linked support materials and/or limiting the temperature during removal of the support material. However, because the removal of the support material takes longer to complete, this may result in reduced process capacity.

添加奈米微粒材料使犧牲性支撐材料的玻璃轉變溫度提高。玻璃轉變溫度較高且熱穩定性提高的犧牲性支撐材料被期望用來增強製程產能。包括聚合物及奈米微粒材料兩者的犧牲性支撐材料的玻璃轉變溫度,高於不包括奈米微粒材料的犧牲性支撐材料的玻璃轉變溫度。奈米微粒材料使犧牲性支撐的玻璃轉變溫度提高,係因為聚合物與微粒表面的交互作用,使聚合物鏈的動力作用(dynamics)減速。提高玻璃轉變溫度,讓更高的溫度得以用於犧牲性支撐材料之電漿移除,而藉此提高電漿移除速率並縮短製程時間。The addition of the nanoparticulate material increases the glass transition temperature of the sacrificial support material. Sacrificial support materials with higher glass transition temperatures and improved thermal stability are expected to enhance process throughput. The glass transition temperature of the sacrificial support material comprising both the polymer and the nanoparticulate material is higher than the glass transition temperature of the sacrificial support material excluding the nanoparticulate material. The nanoparticulate material increases the glass transition temperature of the sacrificial support because the interaction of the polymer with the surface of the particle decelerates the dynamics of the polymer chain. Increasing the glass transition temperature allows higher temperatures to be used for plasma removal of the sacrificial support material, thereby increasing the plasma removal rate and reducing process time.

奈米微粒材料亦可吸附在HAR結構的側壁上並避免黏滯效應。從溶劑中析出的一些材料(例如矽酸鹽)會形成化學性結合,例如矽氧橋(silica bridge)。因為奈米微粒材料具有較大的尺寸,所以奈米微粒材料可避免此化學性結合/橋之形成。因此奈米微粒可減少或避免黏滯效應。The nanoparticulate material can also be adsorbed on the sidewalls of the HAR structure and avoid viscous effects. Some materials (such as citrate) that precipitate out of the solvent form a chemical bond, such as a silica bridge. Because the nanoparticulate material has a large size, the nanoparticulate material can avoid the formation of this chemical bond/bridge. Therefore, nanoparticle can reduce or avoid the viscous effect.

僅以舉例而言,提供犧牲性支撐材料的溶液具有比聚合物更高的玻璃轉變溫度,且包括10 wt%聚丙烯醯胺(聚合物)、 1 wt%富勒醇(奈米微粒材料)、 0.2 wt%十二烷基硫酸銨(表面活化劑)、及去離子水 (溶劑)。在另一範例中,提供犧牲性支撐材料的溶液具有比聚合物更高的玻璃轉變溫度,且包括10 wt%聚丙烯醯胺(聚合物)、 0.2 wt%富勒醇(奈米微粒材料)、 0.2 wt%十二烷基硫酸銨(表面活化劑)、及去離子水 (溶劑)。如上述,合成物之成分的重量比可經選擇/調整,以最佳化所產生之犧牲性支撐的填充、塗佈均勻性、薄膜厚度、及期望的熱性質。在一些富含聚合物的範例中,溶液可包括上達20 wt% 之聚合物、上達10 wt% 之奈米微粒、上達 5 wt%之表面活化劑、及其餘的溶劑。By way of example only, a solution providing a sacrificial support material has a higher glass transition temperature than the polymer and includes 10 wt% polypropylene decylamine (polymer), 1 wt% fullerol (nanoparticle material) 0.2 wt% ammonium lauryl sulfate (surfactant), and deionized water (solvent). In another example, the solution providing the sacrificial support material has a higher glass transition temperature than the polymer and includes 10 wt% polypropylene decylamine (polymer), 0.2 wt% fullerol (nanoparticle material) 0.2 wt% ammonium lauryl sulfate (surfactant), and deionized water (solvent). As noted above, the weight ratio of the components of the composition can be selected/adjusted to optimize the filling, coating uniformity, film thickness, and desired thermal properties of the resulting sacrificial support. In some polymer-rich paradigms, the solution may include up to 20 wt% polymer, up to 10 wt% of nanoparticulates, up to 5 wt% of surfactant, and the balance of solvents.

現參照圖7A,例示性基板部分包括犧牲性支撐材料704,其不包括奈米微粒材料。犧牲性支撐材料704在電漿移除期間歷經玻璃轉變而形成熔化物。當犧牲性支撐材料704被移除,熔化物引起HAR結構坍陷。此外,相鄰的HAR結構之間發生架橋或化學性結合。Referring now to Figure 7A, an exemplary substrate portion includes a sacrificial support material 704 that does not include a nanoparticulate material. The sacrificial support material 704 undergoes a glass transition during plasma removal to form a melt. When the sacrificial support material 704 is removed, the melt causes the HAR structure to collapse. In addition, bridging or chemical bonding occurs between adjacent HAR structures.

現參照圖7B,例示性基板部分包括犧牲性支撐材料708,其包括奈米微粒材料。僅以舉例而言,可使用C60-富勒醇(奈米微粒材料) 與聚丙烯醯胺(PAM)聚合物。奈米微粒材料使所產生之犧牲性支撐材料的玻璃轉變溫度提高。因此在犧牲性支撐材料708之電漿移除期間可使用更高的溫度。此外,透過將相鄰HAR結構之間的化學性結合或架橋降至最低或加以避免,奈米微粒材料可避免黏滯效應。Referring now to Figure 7B, the exemplary substrate portion includes a sacrificial support material 708 that includes a nanoparticulate material. By way of example only, C60-fullerol (nanoparticulate material) and polypropylene decylamine (PAM) polymers can be used. The nanoparticulate material increases the glass transition temperature of the resulting sacrificial support material. Higher temperatures can therefore be used during plasma removal of the sacrificial support material 708. In addition, nanoparticle materials can avoid viscous effects by minimizing or avoiding chemical bonding or bridging between adjacent HAR structures.

現參照圖8,提高犧牲性支撐的玻璃轉變溫度,亦讓具有較低玻璃轉變溫度(Tg)的聚合物得以被使用。因此,其他較低成本的組成配方選項可被利用,且仍產生無坍陷的乾燥。僅以舉例而言,針對不同類型之聚合物,顯示玻璃轉變溫度作為富勒烯奈米微粒重量比例的函數。圖8圖解基於奈米微粒材料的量及類型來使用不同的聚合物。Referring now to Figure 8, the glass transition temperature of the sacrificial support is increased and a polymer having a lower glass transition temperature (Tg) is also used. Therefore, other lower cost component formulation options can be utilized and still produce a non-fragmented drying. By way of example only, for different types of polymers, the glass transition temperature is shown as a function of the weight ratio of the fullerene nanoparticles. Figure 8 illustrates the use of different polymers based on the amount and type of nanoparticulate material.

某些類型的奈米微粒材料可僅部分地填充HAR結構之間的間隙而在相鄰的HAR結構之間留下孔洞(未填充區域)。部分填充HAR結構之間的間隙,可能對HAR結構造成應力。在一些例子中,退火有助於促使犧牲性支撐材料填充孔洞並使HAR結構上的應力降低。在其他例子中,在低於可允許之最大裝置處理溫度的溫度下,犧牲性支撐材料可不經歷玻璃或相轉變。因此,退火可能無助於在移除之前使犧牲性支撐材料填充孔洞。Certain types of nanoparticulate materials may only partially fill the gaps between the HAR structures and leave holes (unfilled areas) between adjacent HAR structures. Partially filling the gap between the HAR structures may cause stress on the HAR structure. In some examples, annealing helps to cause the sacrificial support material to fill the pores and reduce the stress on the HAR structure. In other examples, the sacrificial support material may not undergo glass or phase transitions at temperatures below the maximum allowable device processing temperature. Therefore, annealing may not help to fill the sacrificial support material with holes prior to removal.

在一些範例中,犧牲性支撐材料可相對於聚合物而富含奈米微粒材料。在一些範例中,富含奈米微粒材料意指奈米微粒材料比聚合物的重量比大於或等於1:1、大於或等於1.05:1、大於或等於1.1:1、大於或等於1.2:1、大於或等於1.5:1、大於或等於2:1。在一些範例中,固體比溶劑的重量比可小於或等於0.40、小於或等於 0.25、小於或等於 0.2、小於或等於 0.15、小於或等於  0.1、或小於或等於 0.05。固體可包括聚合物的固體及奈米微粒材料的固體。如上述,溶劑之範例可包括有機溶劑及水。In some examples, the sacrificial support material can be enriched in nanoparticulate material relative to the polymer. In some examples, the nanoparticle-rich material means that the weight ratio of the nanoparticulate material to the polymer is greater than or equal to 1:1, greater than or equal to 1.05:1, greater than or equal to 1.1:1, greater than or equal to 1.2:1. , greater than or equal to 1.5:1, greater than or equal to 2:1. In some examples, the weight ratio of solids to solvent can be less than or equal to 0.40, less than or equal to 0.25, less than or equal to 0.2, less than or equal to 0.15, less than or equal to 0.1, or less than or equal to 0.05. The solid may comprise a solid of the polymer and a solid of the nanoparticulate material. As described above, examples of the solvent may include an organic solvent and water.

犧牲性支撐材料亦可包括一或更多表面活化劑,用以降低表面張力及/或改善HAR結構之間的間隙填充及HAR結構的塗布均勻性。如上述,可基於互溶性、使用電漿移除的能力、及表面張力的影響來選擇所使用的表面活化劑。The sacrificial support material may also include one or more surfactants to reduce surface tension and/or improve gap fill between the HAR structures and coating uniformity of the HAR structure. As described above, the surfactant used can be selected based on the mutual solubility, the ability to remove using plasma, and the influence of surface tension.

聚合物可為液體或固體,且其改善犧牲性支撐材料的流動性。聚合物可包括有機基聚合物、有機基寡聚物、一或更多有機分子、及/或一或更多離子液體。在一些範例中,聚合物能夠使用電漿化學而被加以揮發。在一些範例中,聚合物在溶劑中可與奈米微粒材料互溶。The polymer can be a liquid or a solid and it improves the flow of the sacrificial support material. The polymer may comprise an organic based polymer, an organic based oligomer, one or more organic molecules, and/or one or more ionic liquids. In some examples, the polymer can be volatilized using plasma chemistry. In some examples, the polymer is miscible with the nanoparticulate material in a solvent.

提供具有更高流動性而達到更佳填充的犧牲性支撐材料的溶液之範例包括7wt%富勒醇(奈米微粒)、分子重< 15000 g/mol的 5 wt%聚丙烯醯胺(聚合物)、 0.2 wt%十二烷基硫酸銨(表面活化劑)、及其餘的去離子水 (溶劑)。提供具有更高流動性而達到更佳填充的犧牲性支撐材料的溶液之另一範例包括7wt%富勒醇(奈米微粒材料)、分子重< 1000 g/mol的 3 wt%聚乙二醇(聚合物)、 0.2 wt%十二烷基硫酸銨(表面活化劑)、及去離子水 (溶劑)。在一些富含奈米微粒的範例中,溶液可包括上達10 wt% 之聚合物、上達20 wt% 之奈米微粒、上達 5 wt%之表面活化劑、及其餘的溶劑。雖然提供具體的範例,但重量比或材料可經調整,以最佳化合成物之犧牲性支撐材料的填充、塗佈均勻性、薄膜厚度、及期望的熱性質。Examples of solutions that provide a sacrificial support material with higher fluidity for better filling include 7 wt% fullerol (nanoparticles), 5 wt% polyacrylamide with a molecular weight < 15000 g/mol (polymer) ), 0.2 wt% ammonium lauryl sulfate (surfactant), and the rest of the deionized water (solvent). Another example of a solution that provides a higher flowability to a better filled sacrificial support material includes 7 wt% fullerol (nanoparticulate material), 3 wt% polyethylene glycol having a molecular weight < 1000 g/mol (Polymer), 0.2 wt% ammonium lauryl sulfate (surfactant), and deionized water (solvent). In some examples of nanoparticles rich in microparticles, the solution may include up to 10 wt% polymer, up to 20 wt% of nanoparticulates, up to 5 wt% of surfactant, and the balance of solvents. While specific examples are provided, the weight ratio or material can be adjusted to optimize the filling, coating uniformity, film thickness, and desired thermal properties of the sacrificial support material of the composition.

現參照圖9A及9B,顯示犧牲性支撐材料之範例。在圖9A中,顯示退火之前的基板部分,其包括兩個柱形體216、兩個柱形體216之間的間隙220、及犧牲性支撐材料904。在圖9B中,顯示退火之後的基板部分,其包括兩個柱形體216、兩個柱形體216之間的間隙220、及犧牲性支撐材料904。Referring now to Figures 9A and 9B, an example of a sacrificial support material is shown. In FIG. 9A, a portion of the substrate prior to annealing is shown that includes two cylindrical bodies 216, a gap 220 between the two cylindrical bodies 216, and a sacrificial support material 904. In FIG. 9B, a portion of the substrate after annealing is shown that includes two cylindrical bodies 216, a gap 220 between the two cylindrical bodies 216, and a sacrificial support material 904.

在圖9A及9B的範例中,犧牲性支撐材料不包括聚合物且僅部分地填充間隙220,而在基板212附近留下孔洞908。僅以舉例而言,犧牲性支撐材料904可從包括下列成分之例示性溶液中產生:7wt%富勒醇(奈米微粒材料)、0.2 wt%十二烷基硫酸銨(表面活化劑)、及去離子水 (溶劑)。儘管在上達可允許之最大裝置處理溫度的溫度下執行退火,犧牲性支撐材料904在退火期間亦不歷經玻璃轉變。因此,孔洞908在退火之後仍存在。In the example of FIGS. 9A and 9B, the sacrificial support material does not include a polymer and only partially fills the gap 220 while leaving a hole 908 near the substrate 212. By way of example only, the sacrificial support material 904 can be produced from an exemplary solution comprising: 7 wt% fullerol (nanoparticulate material), 0.2 wt% ammonium lauryl sulfate (surfactant), And deionized water (solvent). Although the annealing is performed at a temperature that allows for the maximum device processing temperature, the sacrificial support material 904 does not undergo a glass transition during annealing. Therefore, the holes 908 are still present after annealing.

現參照圖10A及10B,顯示犧牲性支撐材料之另一範例。在圖10A中,顯示退火之前的基板部分,其包括柱形體216、柱形體216之間的間隙220、及犧牲性支撐材料1004。在圖10B中,顯示退火之後的基板部分,其包括柱形體216、柱形體216之間的間隙220、及犧牲性支撐材料1004。在圖10A及10B的範例中,犧牲性支撐材料1004包括聚合物。犧牲性支撐材料1004亦可包括表面活化劑。僅以舉例而言,犧牲性支撐材料1004可從包括下列成分之例示性溶液中產生: 7wt%富勒醇(奈米微粒材料)、0.2 wt%十二烷基硫酸銨(表面活化劑)、3 wt%聚乙二醇(聚合物)、及去離子水 (溶劑)。Referring now to Figures 10A and 10B, another example of a sacrificial support material is shown. In FIG. 10A, a portion of the substrate prior to annealing is shown that includes a cylindrical body 216, a gap 220 between the cylindrical bodies 216, and a sacrificial support material 1004. In FIG. 10B, a portion of the substrate after annealing is shown that includes a cylindrical body 216, a gap 220 between the cylindrical bodies 216, and a sacrificial support material 1004. In the example of Figures 10A and 10B, the sacrificial support material 1004 comprises a polymer. The sacrificial support material 1004 can also include a surfactant. By way of example only, the sacrificial support material 1004 can be produced from an exemplary solution comprising: 7 wt% fullerol (nanoparticulate material), 0.2 wt% ammonium lauryl sulfate (surfactant), 3 wt% polyethylene glycol (polymer), and deionized water (solvent).

在圖10A中,犧牲性支撐材料1004可僅部分地填充間隙220,而在基板212附近留下孔洞1008。在圖10B中,顯示流進間隙220並填充孔洞1008之後的犧牲性支撐材料1004。在有退火或無退火之情況下,犧牲性支撐材料1004均流進間隙220並填充孔洞1008。在完全或部分移除犧牲性支撐材料1004之後,流入而填充孔洞1008的犧牲性支撐材料1004有助於避免HAR結構坍陷。In FIG. 10A, the sacrificial support material 1004 can only partially fill the gap 220 while leaving a hole 1008 near the substrate 212. In FIG. 10B, the sacrificial support material 1004 after flowing into the gap 220 and filling the holes 1008 is shown. The sacrificial support material 1004 flows into the gap 220 and fills the holes 1008 with or without annealing. After the sacrificial support material 1004 is completely or partially removed, the sacrificial support material 1004 that flows into filling the holes 1008 helps to avoid HAR structure collapse.

現參照圖11A,一基板包括複數柱形體216、及柱形體216之間的間隙220。在圖11A中,使用不包括聚合物的犧牲性支撐材料。僅以舉例而言,圖11A的犧牲性支撐材料可從包括下列成分之例示性溶液中產生:7wt%富勒醇(奈米微粒材料)、0.2 wt%十二烷基硫酸銨(表面活化劑)、及去離子水 (溶劑)。如圖所示,由於相鄰柱形體之間的間隙,即使在退火之後,柱形體216仍被彼此拉近。Referring now to Figure 11A, a substrate includes a plurality of cylindrical bodies 216 and a gap 220 between the cylindrical bodies 216. In Figure 11A, a sacrificial support material that does not include a polymer is used. By way of example only, the sacrificial support material of Figure 11A can be produced from an exemplary solution comprising the following ingredients: 7 wt% fullerol (nanoparticulate material), 0.2 wt% ammonium lauryl sulfate (surfactant) ), and deionized water (solvent). As shown, the cylindrical bodies 216 are drawn closer to each other even after annealing, due to the gap between adjacent cylindrical bodies.

現參照圖11B,一基板包括複數柱形體216、及柱形體216之間的間隙220。在圖11B中,使用包括聚合物的犧牲性支撐材料並執行退火。僅以舉例而言,圖11B的犧牲性支撐材料可從包括下列成分之例示性溶液中產生:7wt%富勒醇(奈米微粒材料)、0.2 wt%十二烷基硫酸銨(表面活化劑)、分子重600g/mol的3 wt%聚乙二醇(聚合物)、及去離子水 (溶劑)。如圖所示,與圖11A之範例相比,柱形體216較未被彼此拉近。Referring now to Figure 11B, a substrate includes a plurality of cylindrical bodies 216 and a gap 220 between the cylindrical bodies 216. In Figure 11B, a sacrificial support material comprising a polymer is used and annealing is performed. By way of example only, the sacrificial support material of Figure 11B can be produced from an exemplary solution comprising the following ingredients: 7 wt% fullerol (nanoparticulate material), 0.2 wt% ammonium lauryl sulfate (surfactant) ), 3 wt% polyethylene glycol (polymer) having a molecular weight of 600 g/mol, and deionized water (solvent). As shown, the cylindrical bodies 216 are less closely drawn to each other than the example of FIG. 11A.

在一特徵中,描述使包括複數高深寬比(HAR)結構之基板乾燥的方法。該方法包含下列步驟:在分別使用(a)濕式蝕刻溶液、(b)濕式清潔溶液、及(c)濕式沖洗溶液中之至少一者對該基板進行(i)濕式蝕刻、(ii)濕式清潔、及(iii)濕式沖洗中之至少一者之後,且在不使該基板乾燥之情況下:在該複數 HAR結構之間沉積溶液,該沉積溶液包括聚合物成分、奈米微粒成分、及溶劑;其中當溶劑揮發,犧牲性支撐材料由該溶液析出,且至少部分地填充該複數 HAR結構,且該犧牲性支撐材料包括:(i)聚合物材料,來自該溶液的該聚合物成分;以及(ii)奈米微粒材料,來自該溶液的該奈米微粒成分;並且將該基板暴露於使用電漿氣體化學所產生的電漿,以使該犧牲性支撐材料揮發。In one feature, a method of drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The method comprises the steps of: (i) wet etching, (i) wet etching, using at least one of (a) a wet etching solution, (b) a wet cleaning solution, and (c) a wet rinsing solution, respectively ( Ii) after at least one of wet cleaning, and (iii) wet rinsing, and without drying the substrate: depositing a solution between the plurality of HAR structures, the deposition solution comprising a polymer component, a rice particle component, and a solvent; wherein when the solvent volatilizes, the sacrificial support material is precipitated from the solution and at least partially fills the plurality of HAR structures, and the sacrificial support material comprises: (i) a polymer material from the solution The polymer component; and (ii) a nanoparticulate material, the nanoparticle component from the solution; and exposing the substrate to a plasma generated using plasma gas chemistry to volatilize the sacrificial support material.

在其他特徵中,該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1:1。在其他特徵中,該溶液包括小於或等於0.4的固體比溶劑之重量分率。在其他特徵中,該溶液包括:重量百分比為5的聚丙烯醯胺,其分子重小於每莫耳 15000克;重量百分比為7的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該溶液包括:重量百分比為7的富勒醇;重量百分比為3的聚乙二醇,其分子重小於每莫耳 1000克;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1.2:1。在其他特徵中,該溶液更包括表面活化劑。在其他特徵中,該奈米微粒材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。在其他特徵中,該奈米微粒材料之最大尺寸小於20奈米(nm)。在其他特徵中,該聚合物材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。在其他特徵中,該聚合物材料之最大尺寸小於20奈米(nm)。在其他特徵中,該聚合物材料之分子重小於每莫耳15000克。在其他特徵中,該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於5:1。在其他特徵中,該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於10:1。在其他特徵中,該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於1:1。在其他特徵中,該溶液包括小於或等於0.4的固體比溶劑之重量分率。在其他特徵中,該溶液包括: 重量百分比為10的聚丙烯醯胺;重量百分比為0.2的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該溶液包括:重量百分比為1的富勒醇;重量百分比為10的聚丙烯醯胺;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該聚合物材料的第一玻璃轉變溫度低於該犧牲性支撐材料的第二玻璃轉變溫度。在其他特徵中,該電漿為下游電漿。In other features, the weight ratio of the nanoparticulate component of the solution to the polymer component of the solution is greater than or equal to 1:1. In other features, the solution comprises a weight fraction of solids to solvent less than or equal to 0.4. In other features, the solution comprises: 5 percent by weight of polyacrylamide having a molecular weight of less than 15,000 grams per mole; 7 percent by weight of fullerol; and 0.2 percent by weight of ammonium lauryl sulfate; The rest is deionized water. In other features, the solution comprises: 7 parts by weight of fullerol; 3 parts by weight of polyethylene glycol having a molecular weight of less than 1000 grams per mole; and 0.2 weight percent ammonium lauryl sulfate; The rest is deionized water. In other features, the weight ratio of the nanoparticulate component of the solution to the polymer component of the solution is greater than or equal to 1.2:1. In other features, the solution further comprises a surfactant. In other features, the nanoparticle material has a largest dimension that is less than half the distance between adjacent ones of the plurality of HAR structures. In other features, the nanoparticulate material has a largest dimension of less than 20 nanometers (nm). In other features, the largest dimension of the polymeric material is less than half the distance between adjacent ones of the plurality of HAR structures. In other features, the polymeric material has a largest dimension of less than 20 nanometers (nm). In other features, the polymeric material has a molecular weight of less than 15,000 grams per mole. In other features, the weight ratio of the polymer component of the solution to the nanoparticulate component of the solution is greater than or equal to 5:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 10:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 1:1. In other features, the solution comprises a weight fraction of solids to solvent less than or equal to 0.4. In other features, the solution comprises: 10 parts by weight of polyacrylamide; 0.2% by weight of fullerol; 0.2% by weight of ammonium lauryl sulfate; and the balance being deionized water. In other features, the solution comprises: 1 part by weight of fullerol; 10 parts by weight of polyacrylamide; 0.2% by weight of ammonium lauryl sulfate; and the balance being deionized water. In other features, the first glass transition temperature of the polymeric material is lower than the second glass transition temperature of the sacrificial support material. In other features, the plasma is a downstream plasma.

在一特徵中,描述用以使包括複數高深寬比(HAR)結構之基板乾燥的系統。該系統包含:一處理腔室;一基板支承體,設置在該處理腔室中;一氣體輸送系統,用以輸送氣體混合物至該處理腔室;一流體輸送系統,配置以輸送溶液至該基板;一電漿產生器,配置以在該處理腔室中產生電漿;一控制器,與該流體輸送系統、該氣體輸送系統、及該電漿產生器通訊。該控制器配置以進行下列操作:在分別使用(a)濕式蝕刻溶液、(b)濕式清潔溶液、或(c)濕式沖洗溶液中之至少一者對該基板進行(i)濕式蝕刻、(ii)濕式清潔、或(iii)濕式沖洗中之至少一者之後,且在不使該基板乾燥之情況下:在該複數 HAR結構之間沉積溶液,該溶液包括聚合物成分、奈米微粒成分、及溶劑;並且將該基板暴露於使用電漿氣體化學所產生的電漿,以使該犧牲性支撐材料揮發。當該溶劑揮發,犧牲性支撐材料由該溶液析出,且至少部分地填充該複數 HAR結構,且該犧牲性支撐材料包括:(i)聚合物材料,來自該溶液的該聚合物成分;以及(ii)奈米微粒材料,來自該溶液的該奈米微粒成分。In one feature, a system for drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The system comprises: a processing chamber; a substrate support disposed in the processing chamber; a gas delivery system for delivering a gas mixture to the processing chamber; and a fluid delivery system configured to deliver the solution to the substrate a plasma generator configured to generate plasma in the processing chamber; a controller in communication with the fluid delivery system, the gas delivery system, and the plasma generator. The controller is configured to: (i) wet the substrate using at least one of (a) a wet etching solution, (b) a wet cleaning solution, or (c) a wet rinsing solution, respectively After at least one of etching, (ii) wet cleaning, or (iii) wet rinsing, and without drying the substrate: depositing a solution between the plurality of HAR structures, the solution comprising a polymer component a nanoparticle component, and a solvent; and exposing the substrate to a plasma generated using plasma gas chemistry to volatilize the sacrificial support material. When the solvent evaporates, the sacrificial support material is precipitated from the solution and at least partially fills the plurality of HAR structures, and the sacrificial support material comprises: (i) a polymer material, the polymer component from the solution; and Ii) a nanoparticulate material, the nanoparticulate component from the solution.

在其他特徵中,該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1:1。在其他特徵中,該溶液包括小於或等於0.4的固體比溶劑之重量分率。在其他特徵中,該溶液包括:重量百分比為5的聚丙烯醯胺,其分子重小於每莫耳 15000克;重量百分比為7的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該溶液包括:重量百分比為7的富勒醇;重量百分比為3的聚乙二醇,其分子重小於每莫耳1000克;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1.2:1。在其他特徵中,該溶液更包括表面活化劑。在其他特徵中,該奈米微粒材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。在其他特徵中,該奈米微粒材料之最大尺寸小於20奈米(nm)。在其他特徵中,該聚合物材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。在其他特徵中,該聚合物材料之最大尺寸小於20奈米(nm)。在其他特徵中,該聚合物材料之分子重小於每莫耳15000克。在其他特徵中,該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於5:1。在其他特徵中,該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於10:1。在其他特徵中,該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於1:1。在其他特徵中,該溶液包括小於或等於0.4的固體比溶劑之重量分率。在其他特徵中,該溶液包括:重量百分比為10的聚丙烯醯胺;重量百分比為0.2的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該溶液包括:重量百分比為1的富勒醇;重量百分比為10的聚丙烯醯胺;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。在其他特徵中,該聚合物材料的第一玻璃轉變溫度低於該犧牲性支撐材料的第二玻璃轉變溫度。在其他特徵中,該電漿產生器配置以在該處理腔室中產生下游電漿。In other features, the weight ratio of the nanoparticulate component of the solution to the polymer component of the solution is greater than or equal to 1:1. In other features, the solution comprises a weight fraction of solids to solvent less than or equal to 0.4. In other features, the solution comprises: 5 percent by weight of polyacrylamide having a molecular weight of less than 15,000 grams per mole; 7 percent by weight of fullerol; and 0.2 percent by weight of ammonium lauryl sulfate; The rest is deionized water. In other features, the solution comprises: 7 parts by weight of fullerol; 3 parts by weight of polyethylene glycol having a molecular weight of less than 1000 grams per mole; and 0.2 weight percent ammonium lauryl sulfate; The rest is deionized water. In other features, the weight ratio of the nanoparticulate component of the solution to the polymer component of the solution is greater than or equal to 1.2:1. In other features, the solution further comprises a surfactant. In other features, the nanoparticle material has a largest dimension that is less than half the distance between adjacent ones of the plurality of HAR structures. In other features, the nanoparticulate material has a largest dimension of less than 20 nanometers (nm). In other features, the largest dimension of the polymeric material is less than half the distance between adjacent ones of the plurality of HAR structures. In other features, the polymeric material has a largest dimension of less than 20 nanometers (nm). In other features, the polymeric material has a molecular weight of less than 15,000 grams per mole. In other features, the weight ratio of the polymer component of the solution to the nanoparticulate component of the solution is greater than or equal to 5:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 10:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 1:1. In other features, the solution comprises a weight fraction of solids to solvent less than or equal to 0.4. In other features, the solution comprises: 10 parts by weight of polyacrylamide; 0.2% by weight of fullerol; 0.2% by weight of ammonium lauryl sulfate; and the balance being deionized water. In other features, the solution comprises: 1 part by weight of fullerol; 10 parts by weight of polyacrylamide; 0.2% by weight of ammonium lauryl sulfate; and the balance being deionized water. In other features, the first glass transition temperature of the polymeric material is lower than the second glass transition temperature of the sacrificial support material. In other features, the plasma generator is configured to generate downstream plasma in the processing chamber.

先前的敘述實質上僅為說明性,且無限制本揭露內容、其應用、或使用之意圖。可以各種形式來實施本揭露內容之主要教示。因此,儘管本揭露內容包含特定的實例,由於根據圖式、說明書、及下列請求項的研究,其他修改將變得清楚明瞭,故本揭露內容的真實範疇不應受到如此限制。如此處所使用,用語「A、B、及C其中之至少一者」應解釋為意指使用非排除性邏輯上的OR之邏輯上的(A or B or C),且不應解釋為意指「A中之至少一者、B中之至少一者、及C中之至少一者」。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多的步驟。The previous description is merely illustrative, and is not intended to limit the scope of the disclosure, its application, or use. The main teachings of the present disclosure can be implemented in various forms. Therefore, the present invention is not to be limited as being limited by the scope of the present disclosure. As used herein, the phrase "at least one of A, B, and C" shall be interpreted to mean the logical (A or B or C) of the non-exclusive logical OR, and shall not be construed as meaning "At least one of A, at least one of B, and at least one of C". It will be appreciated that one or more of the steps may be performed in a different order (or concurrently) without changing the principles of the disclosure.

在某些實施例中,控制器係為系統的部分,其可為上述實例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓支座、氣體氣流系統等)。該等系統可與電子設備整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。In some embodiments, the controller is part of a system that can be part of the above examples. Such systems may include semiconductor processing equipment including one or more processing tools, one or multiple chambers, one or multiple stages for processing, and/or specific processing elements (wafer holders, gas flow systems, etc.). The systems can be integrated with the electronic device to control the operation of the semiconductor wafer or substrate before, during, and after processing. These electronic devices may be referred to as "controllers" which may control various components or sub-components of one or more systems. Depending on the needs of the process and/or the type of system, the controller can be programmed to control any of the processes disclosed in this specification, including process gas delivery, temperature setting (eg, heating and/or cooling), pressure Settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operational settings, access tools, and other connections to specific systems or interfaces with specific system interfaces Wafer transfer of tools and/or load lock chambers.

廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。Broadly speaking, a controller can be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receive commands, send commands, control operations, allow cleaning operations, allow end point measurements, and the like. The integrated circuit may include a firmware in the form of firmware for storing program instructions, digital signal processors (DSPs), chips defined as special application integrated circuits (ASICs), and/or one of executable program instructions (eg, software). Or more microprocessors or microcontrollers. The program instructions can be instructions that are transmitted to the controller in various individual settings (or program files) that define operational parameters for performing a particular process on a semiconductor wafer, or for a semiconductor wafer, or for a system. In some implementations, the operational parameter can be part of a formulation defined by a process engineer for one or more layers, materials, metals, oxides, ruthenium, ruthenium dioxide, surfaces, circuits And/or one or more processing steps are completed during manufacture of the die of the wafer.

在某些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,參數及/或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。In some embodiments, the controller can be part of a computer or connected to a computer that is integrated with the system, connected to the system, or connected to the system via a network, or a combination thereof. For example, the controller can be located in the "cloud" or all or part of the fab's host computer system, which allows for remote access to wafer processing. The computer can achieve remote access to the system to monitor the current manufacturing process, view past manufacturing operations history, view trends or performance metrics from multiple manufacturing operations, and change current processing parameters to set processing Steps to continue the current process or start a new process. In some instances, a remote computer (eg, a server) can provide process recipes to the system over a network, which can include a local area network or the Internet. The remote computer can include a user interface that can be parameterized and/or configured for input or programming, and parameters and/or settings are then transmitted from the remote computer to the system. In some examples, the controller receives instructions in the form of data that, during one or more operations, specify parameters for each of the processing steps to be performed. It should be appreciated that the parameters may be specific to the type of process to be performed, and the type of tool (the controller is configured to interface with or control the tool interface). Thus, as described above, the controller can be dispersed, for example by including one or more separate controllers that are connected together through a network and operate toward a common target, such as the process and control described in this specification. . An example of a separate controller for such purposes may be one or more integrated circuits on the chamber that are located at one of the remote end (eg, at the platform level, or part of the remote computer) or A plurality of integrated circuit connections are combined to control the process on the chamber.

例示性系統可包含電漿蝕刻腔室或模組、電漿剝離腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。An exemplary system can include a plasma etch chamber or module, a plasma stripping chamber or module, a deposition chamber or module, a rotary rinsing chamber or module, a metal plating chamber or module, a clean chamber, or Modules, beveled etching chambers or modules, physical vapor deposition (PVD) chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, Atomic layer etching (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductors that may be associated with or used in the manufacture and/or production of semiconductor wafers Processing system, but not limited to this.

如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。As described above, depending on the process steps (or multiple process steps) to be performed by the tool, the controller can communicate with one or more of the following: other tool circuits or modules, other tool components, cluster tools, other tool interfaces, and traction tools. Tools adjacent to the tool, throughout the plant, main computer, another controller, or the location of the wafer container to or from the tool in the semiconductor manufacturing facility and/or the tool for material transfer.

100‧‧‧方法
122‧‧‧步驟
123‧‧‧步驟
124‧‧‧步驟
126‧‧‧步驟
130‧‧‧步驟
132‧‧‧步驟
134‧‧‧步驟
138‧‧‧步驟
140‧‧‧步驟
142‧‧‧步驟
200‧‧‧基板
204‧‧‧HAR結構
212‧‧‧下基板層/基板
216‧‧‧柱形體
220‧‧‧間隙
224‧‧‧流體
238‧‧‧過渡溶劑
240‧‧‧溶劑
241‧‧‧支撐材料
300‧‧‧腔室
304‧‧‧腔室
310‧‧‧腔室
404‧‧‧旋塗處理腔室
408‧‧‧基板支承體
409‧‧‧系統
410‧‧‧基板
412‧‧‧馬達
422‧‧‧加熱器
424‧‧‧流體輸送系統
426‧‧‧液體源
428‧‧‧閥
430‧‧‧分流閥
452‧‧‧閥
454‧‧‧泵浦
458‧‧‧感測器
460‧‧‧控制器
510‧‧‧系統
512‧‧‧處理腔室
513‧‧‧氣體分配裝置
516‧‧‧基板支承體
518‧‧‧基板
520‧‧‧氣體輸送系統
522‧‧‧氣體源
524‧‧‧閥
526‧‧‧MFC
530‧‧‧歧管
540‧‧‧控制器
541‧‧‧感測器
542‧‧‧加熱器
550‧‧‧閥
552‧‧‧泵浦
556‧‧‧電漿產生器
610‧‧‧腔室
620‧‧‧控制器
704‧‧‧材料
708‧‧‧材料
904‧‧‧犧牲性支撐材料
908‧‧‧孔洞
1004‧‧‧犧牲性支撐材料
1008‧‧‧孔洞
100‧‧‧ method
122‧‧‧Steps
123‧‧‧Steps
124‧‧‧Steps
126‧‧ steps
130‧‧‧Steps
132‧‧‧Steps
134‧‧‧Steps
138‧‧‧Steps
140‧‧‧Steps
142‧‧‧Steps
200‧‧‧Substrate
204‧‧‧HAR structure
212‧‧‧Lower substrate layer/substrate
216‧‧‧ cylindrical body
220‧‧‧ gap
224‧‧‧ fluid
238‧‧‧Transition solvent
240‧‧‧Solvent
241‧‧‧Support material
300‧‧‧ chamber
304‧‧‧ chamber
310‧‧‧ chamber
404‧‧‧Spin coating chamber
408‧‧‧Substrate support
409‧‧‧System
410‧‧‧Substrate
412‧‧‧Motor
422‧‧‧heater
424‧‧‧Fluid transport system
426‧‧‧liquid source
428‧‧‧Valve
430‧‧‧Diverter valve
452‧‧‧ valve
454‧‧ ‧ pump
458‧‧‧ sensor
460‧‧‧ Controller
510‧‧‧ system
512‧‧‧Processing chamber
513‧‧‧Gas distribution device
516‧‧‧Substrate support
518‧‧‧Substrate
520‧‧‧ gas delivery system
522‧‧‧ gas source
524‧‧‧ valve
526‧‧‧MFC
530‧‧‧Management
540‧‧‧ Controller
541‧‧‧ sensor
542‧‧‧heater
550‧‧‧ valve
552‧‧‧ pump
556‧‧‧Plastic generator
610‧‧‧室
620‧‧‧ Controller
704‧‧‧Materials
708‧‧‧Materials
904‧‧‧ Sacrificial support material
908‧‧‧ hole
1004‧‧‧ Sacrificial support material
1008‧‧‧ hole

本揭露內容將從實施方式及隨附圖式而變得更能徹底理解,其中︰The disclosure will be more fully understood from the embodiments and the accompanying drawings, in which:

圖1為一流程圖,圖解以電漿使基板之複數HAR結構乾燥的方法之範例;1 is a flow chart illustrating an example of a method of drying a plurality of HAR structures of a substrate by plasma;

圖2A-2D為側視圖,圖解在使用電漿之乾燥期間的基板之範例;2A-2D are side views illustrating an example of a substrate during drying using plasma;

圖3A為功能性方塊圖,圖解旋塗處理腔室及電漿處理腔室;Figure 3A is a functional block diagram illustrating a spin coating process chamber and a plasma processing chamber;

圖3B為功能性方塊圖,圖解結合的旋塗及電漿處理腔室;Figure 3B is a functional block diagram illustrating a combined spin coating and plasma processing chamber;

圖4為例示性旋塗處理腔室之功能性方塊圖;4 is a functional block diagram of an exemplary spin coating process chamber;

圖5為例示性電漿處理腔室之功能性方塊圖;Figure 5 is a functional block diagram of an exemplary plasma processing chamber;

圖6 為例示性結合的旋塗及電漿處理腔室之功能性方塊圖;Figure 6 is a functional block diagram of an exemplary combined spin coating and plasma processing chamber;

圖7A為基板與犧牲性支撐材料的例示性側視圖,其中該犧牲性支撐材料不包括奈米微粒材料;7A is an exemplary side view of a substrate and a sacrificial support material, wherein the sacrificial support material does not include a nanoparticulate material;

圖7B為基板與犧牲性支撐材料的例示性側視圖,其中該犧牲性支撐材料包括奈米微粒材料;7B is an exemplary side view of a substrate and a sacrificial support material, wherein the sacrificial support material comprises a nanoparticulate material;

圖8 為針對不同聚合物之玻璃轉變溫度與奈米微粒重量分率的例示性作圖;Figure 8 is an exemplary plot of glass transition temperature and nanoparticle weight fraction for different polymers;

圖9A為基板部分及奈米微粒的犧牲性支撐材料退火前的側視圖,其中該犧牲性支撐材料不包含聚合物的添加材料;9A is a side view of the substrate portion and the sacrificial support material of the nanoparticle before annealing, wherein the sacrificial support material does not comprise an additive material of the polymer;

圖9B為基板部分及奈米微粒的犧牲性支撐材料退火後的側視圖,其中該犧牲性支撐材料不包含聚合物的添加材料;9B is a side view of the substrate portion and the sacrificial support material of the nanoparticles after annealing, wherein the sacrificial support material does not comprise an additive material of the polymer;

圖10A為基板部分及奈米微粒的犧牲性支撐材料退火前的側視圖,其中該犧牲性支撐材料包含聚合物的添加材料;10A is a side view of the substrate portion and the sacrificial support material of the nanoparticle before annealing, wherein the sacrificial support material comprises a polymer addition material;

圖10B為基板部分及奈米微粒的犧牲性支撐材料退火後的側視圖,其中該犧牲性支撐材料包含聚合物的添加材料;10B is a side view of the substrate portion and the sacrificial support material of the nanoparticle after annealing, wherein the sacrificial support material comprises a polymer addition material;

圖11A為基板及犧牲性支撐材料的例示性退火後的側視圖,其中該犧牲性支撐材料包含奈米微粒材料但不含聚合物;11A is a side view of an exemplary annealed substrate and a sacrificial support material, wherein the sacrificial support material comprises a nanoparticulate material but no polymer;

圖11B為基板及犧牲性支撐材料的例示性退火後的側視圖,其中該犧牲性支撐材料包含奈米微粒材料及聚合物;11B is a side view of an exemplary annealed substrate and a sacrificial support material, wherein the sacrificial support material comprises a nanoparticulate material and a polymer;

在該等圖式中,可重複使用參考符號以識別相似及/或相同的元件。In the figures, reference symbols may be reused to identify similar and/or identical elements.

100‧‧‧方法 100‧‧‧ method

122‧‧‧步驟 122‧‧‧Steps

123‧‧‧步驟 123‧‧‧Steps

124‧‧‧步驟 124‧‧‧Steps

126‧‧‧步驟 126‧‧ steps

130‧‧‧步驟 130‧‧‧Steps

132‧‧‧步驟 132‧‧‧Steps

134‧‧‧步驟 134‧‧‧Steps

138‧‧‧步驟 138‧‧‧Steps

140‧‧‧步驟 140‧‧‧Steps

142‧‧‧步驟 142‧‧‧Steps

Claims (40)

一種使包括複數高深寬比(HAR)結構之基板乾燥的方法,包含下列步驟: 在分別使用(a)濕式蝕刻溶液、(b)濕式清潔溶液、及(c)濕式沖洗溶液中之至少一者對該基板進行(i)濕式蝕刻、(ii)濕式清潔、及(iii)濕式沖洗中之至少一者之後,且在不使該基板乾燥之情況下: 在該複數 HAR結構之間沉積溶液,該溶液包括聚合物成分、奈米微粒成分、及溶劑; 其中當該溶劑揮發,犧牲性支撐材料由該溶液析出,且至少部分地填充該複數 HAR結構,且該犧牲性支撐材料包括:(i)聚合物材料,來自該溶液的該聚合物成分;以及(ii)奈米微粒材料,來自該溶液的該奈米微粒成分;並且 將該基板暴露於使用電漿氣體化學所產生的電漿,以使該犧牲性支撐材料揮發。A method of drying a substrate comprising a plurality of high aspect ratio (HAR) structures, comprising the steps of: (a) a wet etching solution, (b) a wet cleaning solution, and (c) a wet rinsing solution, respectively After at least one of (i) wet etching, (ii) wet cleaning, and (iii) wet rinsing of the substrate, and without drying the substrate: at the plural HAR Depositing a solution between the structures, the solution comprising a polymer component, a nanoparticulate component, and a solvent; wherein when the solvent volatilizes, the sacrificial support material is precipitated from the solution and at least partially fills the complex HAR structure, and the sacrificial The support material comprises: (i) a polymeric material, the polymeric component from the solution; and (ii) a nanoparticulate material, the nanoparticulate component from the solution; and exposing the substrate to the use of plasma gas chemistry The plasma is generated to volatilize the sacrificial support material. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1:1。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is greater than or equal to 1:1. 如申請專利範圍第2項之使包括複數高深寬比(HAR)結構之基板乾燥的方法,其中該溶液包括小於或等於0.4的固體比溶劑之重量分率。A method of drying a substrate comprising a plurality of high aspect ratio (HAR) structures, as in claim 2, wherein the solution comprises a weight fraction of solids to solvent less than or equal to 0.4. 如申請專利範圍第2項之使包括複數HAR結構之基板乾燥的方法,其中該溶液包括:重量百分比為5的聚丙烯醯胺,其分子量小於每莫耳 15000克;重量百分比為7的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A method for drying a substrate comprising a plurality of HAR structures, as in claim 2, wherein the solution comprises: 5 parts by weight of polyacrylamide having a molecular weight of less than 15,000 grams per mole; and a weight percentage of 7 Alcohol; ammonium lauryl sulfate in a weight percentage of 0.2; and the remainder being deionized water. 如申請專利範圍第2項之使包括複數HAR結構之基板乾燥的方法,其中該溶液包括:重量百分比為7的富勒醇;重量百分比為3的聚乙二醇,其分子量小於每莫耳 1000克;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A method for drying a substrate comprising a plurality of HAR structures, as in claim 2, wherein the solution comprises: 7 parts by weight of fullerol; and 3 parts by weight of polyethylene glycol having a molecular weight of less than 1000 per mole. g; ammonium dodecyl sulfate in a weight percentage of 0.2; and the remainder being deionized water. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1.2:1。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is greater than or equal to 1.2:1. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該溶液更包括表面活化劑。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the solution further comprises a surfactant. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該奈米微粒材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the maximum size of the nanoparticulate material is less than half the distance between adjacent ones of the plurality of HAR structures. 如申請專利範圍第8項之使包括複數HAR結構之基板乾燥的方法,其中該奈米微粒材料之最大尺寸小於20奈米(nm)。A method of drying a substrate comprising a plurality of HAR structures, as in claim 8, wherein the nanoparticulate material has a largest dimension of less than 20 nanometers (nm). 如申請專利範圍第8項之使包括複數HAR結構之基板乾燥的方法,其中該聚合物材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。A method of drying a substrate comprising a plurality of HAR structures, as in claim 8, wherein the maximum dimension of the polymeric material is less than half the distance between adjacent ones of the plurality of HAR structures. 如申請專利範圍第10項之使包括複數HAR結構之基板乾燥的方法,其中該聚合物材料之最大尺寸小於20奈米(nm)。A method of drying a substrate comprising a plurality of HAR structures, as in claim 10, wherein the maximum dimension of the polymeric material is less than 20 nanometers (nm). 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該聚合物材料之分子量小於每莫耳15000克。A method of drying a substrate comprising a plurality of HAR structures as claimed in claim 1 wherein the molecular weight of the polymeric material is less than 15,000 grams per mole. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於5:1。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 5:1. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於10:1。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 10:1. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於1:1。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 1:1. 如申請專利範圍第15項之使包括複數HAR結構之基板乾燥的方法,其中該溶液包括小於或等於0.4的固體比溶劑之重量分率。A method of drying a substrate comprising a plurality of HAR structures, as in claim 15, wherein the solution comprises a weight ratio of solid to solvent of less than or equal to 0.4. 如申請專利範圍第15項之使包括複數HAR結構之基板乾燥的方法,其中該溶液包括:重量百分比為10的聚丙烯醯胺;重量百分比為0.2的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A method for drying a substrate comprising a plurality of HAR structures, as in claim 15, wherein the solution comprises: 10% by weight of polyacrylamide; 0.2% by weight of fullerol; and 0.2 by weight of 0.2 Ammonium alkyl sulfate; and the rest is deionized water. 如申請專利範圍第15項之使包括複數HAR結構之基板乾燥的方法,其中該溶液包括:重量百分比為1的富勒醇;重量百分比為10的聚丙烯醯胺;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A method for drying a substrate comprising a plurality of HAR structures, as in claim 15, wherein the solution comprises: 1 part by weight of fullerol; 10 parts by weight of polyacrylamide; and 12 parts by weight of 0.2 Ammonium alkyl sulfate; and the rest is deionized water. 如申請專利範圍第15項之使包括複數HAR結構之基板乾燥的方法,其中該聚合物材料的第一玻璃轉變溫度低於該犧牲性支撐材料的第二玻璃轉變溫度。A method of drying a substrate comprising a plurality of HAR structures, as in claim 15 wherein the first glass transition temperature of the polymeric material is lower than a second glass transition temperature of the sacrificial support material. 如申請專利範圍第1項之使包括複數HAR結構之基板乾燥的方法,其中該電漿為下游電漿。A method of drying a substrate comprising a plurality of HAR structures, as in claim 1, wherein the plasma is a downstream plasma. 一種用以使包括複數高深寬比(HAR)結構之基板乾燥的系統,包含: 一處理腔室; 一基板支承體,設置在該處理腔室中; 一氣體輸送系統,用以輸送氣體混合物至該處理腔室; 一流體輸送系統,配置以輸送溶液至該基板; 一電漿產生器,配置以在該處理腔室中產生電漿; 一控制器,與該流體輸送系統、該氣體輸送系統、及該電漿產生器通訊,且配置以進行下列操作: 在分別使用(a)濕式蝕刻溶液、(b)濕式清潔溶液、或(c)濕式沖洗溶液中之至少一者對該基板進行(i)濕式蝕刻、(ii)濕式清潔、或(iii)濕式沖洗中之至少一者之後,且在不使該基板乾燥之情況下: 在該複數 HAR結構之間沉積溶液,該溶液包括聚合物成分、奈米微粒成分、及溶劑; 其中當該溶劑揮發,犧牲性支撐材料由該溶液析出,且至少部分地填充該複數 HAR結構,且該犧牲性支撐材料包括:(i)聚合物材料,來自該溶液的該聚合物成分;以及(ii)奈米微粒材料,來自該溶液的該奈米微粒成分;並且 將該基板暴露於使用電漿氣體化學所產生的電漿,以使該犧牲性支撐材料揮發。A system for drying a substrate comprising a plurality of high aspect ratio (HAR) structures, comprising: a processing chamber; a substrate support disposed in the processing chamber; a gas delivery system for transporting the gas mixture to a processing chamber; a fluid delivery system configured to deliver a solution to the substrate; a plasma generator configured to generate plasma in the processing chamber; a controller, the fluid delivery system, the gas delivery system Communicating with the plasma generator and configured to: operate at least one of (a) a wet etching solution, (b) a wet cleaning solution, or (c) a wet rinse solution, respectively After the substrate is subjected to at least one of (i) wet etching, (ii) wet cleaning, or (iii) wet rinsing, and without drying the substrate: depositing a solution between the plurality of HAR structures The solution includes a polymer component, a nanoparticulate component, and a solvent; wherein when the solvent evaporates, the sacrificial support material is precipitated from the solution and at least partially fills the plurality of HAR structures, and the sacrificial support material comprises: (i) a polymeric material, the polymeric component from the solution; and (ii) a nanoparticulate material, the nanoparticulate component from the solution; and exposing the substrate to electricity generated using plasma gas chemistry Slurry to volatilize the sacrificial support material. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1:1。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the weight ratio of the nanoparticulate component of the solution to the polymer component of the solution is greater than or equal to 1:1. 如申請專利範圍第22項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液包括小於或等於0.4的固體比溶劑之重量分率。A system for drying a substrate comprising a plurality of HAR structures, as in claim 22, wherein the solution comprises a weight fraction of solids to solvent less than or equal to 0.4. 如申請專利範圍第22項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液包括:重量百分比為5的聚丙烯醯胺,其分子量小於每莫耳 15000克;重量百分比為7的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A system for drying a substrate comprising a plurality of HAR structures, as in claim 22, wherein the solution comprises: 5 parts by weight of polyacrylamide having a molecular weight of less than 15,000 grams per mole; and 7 by weight Fullerol; ammonium dodecyl sulfate in a weight percentage of 0.2; and the remainder being deionized water. 如申請專利範圍第22項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液包括:重量百分比為7的富勒醇;重量百分比為3的聚乙二醇,其分子量小於每莫耳 1000克;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A system for drying a substrate comprising a plurality of HAR structures, as in claim 22, wherein the solution comprises: 7 parts by weight of fullerol; and 3 parts by weight of polyethylene glycol, the molecular weight of which is less than 1000 g of ear; ammonium lauryl sulfate in a weight percentage of 0.2; and the rest being deionized water. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液的該奈米微粒成分比該溶液的該聚合物成分的重量比大於或等於1.2:1。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the weight ratio of the nanoparticulate component of the solution to the polymer component of the solution is greater than or equal to 1.2:1. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液更包括表面活化劑。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the solution further comprises a surfactant. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該奈米微粒材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the maximum size of the nanoparticulate material is less than half the distance between adjacent ones of the plurality of HAR structures. 如申請專利範圍第28項之用以使包括複數HAR結構之基板乾燥的系統,其中該奈米微粒材料之最大尺寸小於20奈米(nm)。A system for drying a substrate comprising a plurality of HAR structures, as in claim 28, wherein the nanoparticulate material has a largest dimension of less than 20 nanometers (nm). 如申請專利範圍第28項之用以使包括複數HAR結構之基板乾燥的系統,其中該聚合物材料之最大尺寸小於該複數 HAR結構中相鄰的兩者之間的距離的一半。A system for drying a substrate comprising a plurality of HAR structures, as in claim 28, wherein the maximum dimension of the polymeric material is less than half the distance between adjacent ones of the plurality of HAR structures. 如申請專利範圍第30項之用以使包括複數HAR結構之基板乾燥的系統,其中該聚合物材料之最大尺寸小於20奈米(nm)。A system for drying a substrate comprising a plurality of HAR structures, as in claim 30, wherein the polymeric material has a largest dimension of less than 20 nanometers (nm). 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該聚合物材料之分子量小於每莫耳15000克。A system for drying a substrate comprising a plurality of HAR structures, as claimed in claim 21, wherein the molecular weight of the polymeric material is less than 15,000 grams per mole. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於5:1。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 5:1. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於10:1。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 10:1. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液的該聚合物成分比該溶液的該奈米微粒成分的重量比大於或等於1:1。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is greater than or equal to 1:1. 如申請專利範圍第35項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液包括小於或等於0.4的固體比溶劑之重量分率。A system for drying a substrate comprising a plurality of HAR structures, as in claim 35, wherein the solution comprises a weight fraction of solids to solvent less than or equal to 0.4. 如申請專利範圍第35項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液包括:重量百分比為10的聚丙烯醯胺;重量百分比為0.2的富勒醇;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A system for drying a substrate comprising a plurality of HAR structures, as in claim 35, wherein the solution comprises: 10% by weight of polyacrylamide; 0.2% by weight of fullerol; 0.2% by weight Ammonium dodecyl sulfate; and the rest is deionized water. 如申請專利範圍第35項之用以使包括複數HAR結構之基板乾燥的系統,其中該溶液包括:重量百分比為1的富勒醇;重量百分比為10的聚丙烯醯胺;重量百分比為0.2 的十二烷基硫酸銨;及其餘的為去離子水。A system for drying a substrate comprising a plurality of HAR structures, as in claim 35, wherein the solution comprises: 1 part by weight of fullerol; 10 parts by weight of polyacrylamide; and 0.2 by weight Ammonium dodecyl sulfate; and the rest is deionized water. 如申請專利範圍第35項之用以使包括複數HAR結構之基板乾燥的系統,其中該聚合物材料的第一玻璃轉變溫度低於該犧牲性支撐材料的第二玻璃轉變溫度。A system for drying a substrate comprising a plurality of HAR structures, as in claim 35, wherein the first glass transition temperature of the polymeric material is lower than a second glass transition temperature of the sacrificial support material. 如申請專利範圍第21項之用以使包括複數HAR結構之基板乾燥的系統,其中該電漿產生器係配置以在該處理腔室中產生下游電漿。A system for drying a substrate comprising a plurality of HAR structures, as in claim 21, wherein the plasma generator is configured to produce downstream plasma in the processing chamber.
TW105117719A 2015-06-04 2016-06-04 Method for collapse-free drying of high aspect ratio structures TW201712752A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/730,457 US10008396B2 (en) 2014-10-06 2015-06-04 Method for collapse-free drying of high aspect ratio structures

Publications (1)

Publication Number Publication Date
TW201712752A true TW201712752A (en) 2017-04-01

Family

ID=57575963

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105117719A TW201712752A (en) 2015-06-04 2016-06-04 Method for collapse-free drying of high aspect ratio structures

Country Status (2)

Country Link
KR (1) KR102591277B1 (en)
TW (1) TW201712752A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11260431B2 (en) 2018-05-31 2022-03-01 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus
TWI831129B (en) * 2021-03-25 2024-02-01 日商斯庫林集團股份有限公司 Substrate processing method and substrate processing apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018186231A (en) * 2017-04-27 2018-11-22 信越化学工業株式会社 Method for cleaning and drying semiconductor substrate

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5622675B2 (en) * 2011-07-05 2014-11-12 株式会社東芝 Substrate processing method and substrate processing apparatus
US9666427B2 (en) * 2013-06-21 2017-05-30 Lam Research Corporation Method of collapse-free drying of high aspect ratio structures

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11260431B2 (en) 2018-05-31 2022-03-01 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus
TWI760091B (en) * 2018-05-31 2022-04-01 日商斯庫林集團股份有限公司 Substrate processing method and substrate processing apparatus
TWI831134B (en) * 2018-05-31 2024-02-01 日商斯庫林集團股份有限公司 Substrate processing method and substrate processing apparatus
TWI831129B (en) * 2021-03-25 2024-02-01 日商斯庫林集團股份有限公司 Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
KR102591277B1 (en) 2023-10-18
KR20160143578A (en) 2016-12-14

Similar Documents

Publication Publication Date Title
TWI679390B (en) Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
US10008396B2 (en) Method for collapse-free drying of high aspect ratio structures
JP7343543B2 (en) Removal methods for high aspect ratio structures
TWI419258B (en) System and method for forming patterned copper lines through electroless copper plating
TWI682458B (en) Dielectric repair for emerging memory devices
US9466511B2 (en) Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
JP6425517B2 (en) Substrate processing method, substrate processing apparatus and storage medium
JP4999419B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
TW201712752A (en) Method for collapse-free drying of high aspect ratio structures
US9679770B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP6914111B2 (en) Board processing method, board processing device, board processing system and control device for board processing system
JP2019102600A (en) Substrate processing method and substrate processing apparatus
US20070093069A1 (en) Purge process after dry etching
JP2013251379A (en) Etching method, etching device and storage medium
TW202201484A (en) Methods for euv inverse patterning in processing of microelectronic workpieces
JP2009021577A (en) Ashing method and ashing device
JP5069982B2 (en) Semiconductor device manufacturing method and semiconductor device
TWI791285B (en) Atomic layer deposition bonding layer for joining two semiconductor devices
KR20020096577A (en) Apparatus for drying semiconductor