KR102591277B1 - Method for collapse-free drying of high aspect ratio structures - Google Patents

Method for collapse-free drying of high aspect ratio structures Download PDF

Info

Publication number
KR102591277B1
KR102591277B1 KR1020160070160A KR20160070160A KR102591277B1 KR 102591277 B1 KR102591277 B1 KR 102591277B1 KR 1020160070160 A KR1020160070160 A KR 1020160070160A KR 20160070160 A KR20160070160 A KR 20160070160A KR 102591277 B1 KR102591277 B1 KR 102591277B1
Authority
KR
South Korea
Prior art keywords
solution
drying
substrate
har structures
weight
Prior art date
Application number
KR1020160070160A
Other languages
Korean (ko)
Other versions
KR20160143578A (en
Inventor
스티븐 시라드
라차나 리마리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/730,457 external-priority patent/US10008396B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160143578A publication Critical patent/KR20160143578A/en
Application granted granted Critical
Publication of KR102591277B1 publication Critical patent/KR102591277B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02601Nanoparticles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Abstract

복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 방법은, (a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계로서, 용매가 기화될 때, 희생적 브레이싱 재료 (sacrificial bracing material) 가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생적 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계; 및 희생적 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 단계를 포함한다.A method for drying a substrate comprising a plurality of high aspect ratio (HAR) structures includes using at least one of (a) a wet etching solution, (b) a wet cleaning solution, and (c) a wet rinsing solution, respectively. After at least one of (i) wet etching, (ii) wet cleaning, and (iii) wet rinsing, and without drying the substrate, a solution comprising a polymer component, a nanoparticle component, and a solvent is applied to the plurality of HAR structures. wherein, when the solvent is vaporized, a sacrificial bracing material precipitates from the solution and at least partially fills the plurality of HAR structures, wherein the sacrificial bracing material (i) forms a polymer from the polymer component of the solution; depositing a solution comprising a material and (ii) a solvent comprising nanoparticle material from a nanoparticle component of the solution, between the plurality of HAR structures; and exposing the substrate to a plasma generated using plasma gas chemistry to vaporize the sacrificial bracing material.

Description

고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법{METHOD FOR COLLAPSE-FREE DRYING OF HIGH ASPECT RATIO STRUCTURES}Method for drying high aspect ratio structures without collapse {METHOD FOR COLLAPSE-FREE DRYING OF HIGH ASPECT RATIO STRUCTURES}

본 개시는 기판들을 프로세싱하기 위한 시스템들 및 방법들에 관한 것이고, 보다 구체적으로 고 종횡비 (HAR: HIGH ASPECT RATIO) 구조체들을 붕괴 없이 건조하기 위한 시스템들 및 방법들에 관한 것이다.This disclosure relates to systems and methods for processing substrates, and more particularly to systems and methods for drying HIGH ASPECT RATIO (HAR) structures without collapse.

본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.The background description provided herein is generally intended to provide context for the disclosure. Achievements of the present inventors to the extent described in this background section and aspects of the technology that may not be recognized as prior art at the time of filing are not explicitly or implicitly recognized as prior art for this disclosure.

반도체 웨이퍼들과 같은 기판들의 제조는 통상적으로 재료 증착, 평탄화, 피처 패터닝, 피처 에칭, 및/또는 피처 세정을 포함할 수도 있는 복수의 프로세싱 단계들을 필요로 한다. 이들 프로세싱 단계들은 통상적으로 기판의 프로세싱 동안 1 회 이상 반복된다.Fabrication of substrates, such as semiconductor wafers, typically requires multiple processing steps that may include material deposition, planarization, feature patterning, feature etching, and/or feature cleaning. These processing steps are typically repeated one or more times during processing of the substrate.

반도체 디바이스들이 보다 작은 피처 사이즈들로 계속해서 축소됨에 따라, 고 종횡비 (HAR) 구조체들은 목표된 디바이스 성능 목적들을 달성하기 위해 점점 더 필요해진다. HAR 구조체들의 사용은 기판 프로세싱 단계들 중 일부에 대해 과제들을 생성한다.As semiconductor devices continue to shrink to smaller feature sizes, high aspect ratio (HAR) structures become increasingly necessary to achieve targeted device performance objectives. The use of HAR structures creates challenges for some of the substrate processing steps.

예를 들어, 에칭 및 셍정과 같은 습식 프로세스들은 기판의 건조 동안 생성되는 모세관력으로 인해 HAR 구조체들에 대한 문제들을 제기한다. 모세관력의 강도는 표면 장력, 건조될 에칭 유체, 세정 유체 또는 린싱 유체들의 콘택트 각도, 피처 간격 및/또는 구조체들의 종횡비에 따라 결정된다. 건조 동안 생성된 모세관력이 너무 높다면, HAR 구조체들은 변형되거나 서로 붕괴될 것이고, 마찰력이 발생할 수도 있고, 이는 디바이스 수율을 심각하게 열화시킨다.For example, wet processes such as etching and encapsulation pose challenges for HAR structures due to the capillary forces created during drying of the substrate. The strength of the capillary force is determined by surface tension, contact angle of the etching fluid, cleaning fluid or rinsing fluids to be dried, feature spacing and/or aspect ratio of the structures. If the capillary force generated during drying is too high, the HAR structures will deform or collapse into each other, and friction forces may occur, which seriously deteriorates device yield.

붕괴 및 마찰력을 방지하기 위한 일 방법은 구조체들이 붕괴하는 것을 방지하도록 탈이온수보다 낮은 표면 장력을 갖는 린싱 액체들을 사용하는 것이다. 상대적으로 저 종횡비 구조체들에 대해 대체로 성공적이지만, 이 방법은 방법들이 탈 이온수를 사용하기 때문에 보다 높은 종횡비의 구조체들에 대해 동일한 붕괴 및 마찰력 문제들을 가질 수도 있다. 린싱 유체들은 부서지기 쉬운 (fragile) HAR 구조체들에 대해 여전히 너무 강한 힘들을 건조하는 동안 생성하는 유한한 양의 표면 장력을 여전히 갖는다.One way to prevent collapse and friction is to use rinsing liquids that have a lower surface tension than deionized water to prevent the structures from collapsing. Although generally successful for relatively low aspect ratio structures, this method may have the same collapse and friction problems for higher aspect ratio structures because the methods use deionized water. Rinsing fluids still have a finite amount of surface tension, creating forces during drying that are still too strong for fragile HAR structures.

HAR 구조체들을 건조하기 위한 대안적인 방법은 초임계 유체를 사용하여 린싱 유체를 분해 및 플러싱하는 것을 수반한다. 초임계 유체들은 올바르게 프로세싱될 때 표면 장력이 없다. 그러나, 몇몇 기술적 과제들 및 제작 상의 과제들이 초임계 유체들을 사용할 때 발생한다. 이 과제들은 높은 장비 및 안전성 비용들, 긴 프로세스 시간들, 프로세스 동안 가변하는 용매 품질, 유체의 확산 및 튜닝가능한 특성으로 인한 극심한 센서티비티, 및 프로세싱 챔버의 컴포넌트들과 초임계 유체의 상호작용으로부터 발생하는 웨이퍼 결함 및 오염 문제들을 포함한다.An alternative method for drying HAR structures involves decomposing and flushing the rinsing fluid using a supercritical fluid. Supercritical fluids have no surface tension when processed correctly. However, several technical and manufacturing challenges arise when using supercritical fluids. These challenges arise from high equipment and safety costs, long process times, variable solvent quality during the process, extreme sensitivity due to the diffusion and tunable properties of the fluid, and interaction of the supercritical fluid with components of the processing chamber. Includes wafer defects and contamination problems that occur.

HAR 구조체들의 붕괴를 방지하기 위한 또 다른 전략은 구조체들을 지지하는 영구 기계적 브레이싱 구조체 (permanent mechanical bracing structure) 를 추가하는 것이다. 쓰루풋 및 수율에 부정적으로 영향을 줄 수도 있는, 보다 높은 비용 및 프로세스 복잡도와 같이 이 방법에 대한 몇몇 트레이드오프들이 있다. 게다가, 영구 기계적 브레이싱 구조체들은 특정한 타입들의 HAR 구조체들로 제한될 수도 있다.Another strategy to prevent collapse of HAR structures is to add a permanent mechanical bracing structure to support the structures. There are several trade-offs with this method, such as higher cost and process complexity, which may negatively impact throughput and yield. Additionally, permanent mechanical bracing structures may be limited to certain types of HAR structures.

동결 건조가 HAR 구조체들을 건조하기 위한 방법으로서 또한 제안되었다. 동결 건조는 먼저 용매를 동결하고 이어서 진공 하에서 바로 승화시킴으로써 붕괴를 제거한다. 동결 건조는 모세관력을 최소화하는 액체/기체 계면을 방지한다. 유망해 보이지만, 동결 건조는 경합하는 방법들과 비교할 때 상대적으로 고 비용, 저 쓰루풋 및 고 디펙트들을 갖는다.Freeze drying has also been proposed as a method for drying HAR structures. Freeze drying eliminates disintegration by first freezing the solvent and then sublimating it directly under vacuum. Freeze drying prevents the liquid/gas interface, minimizing capillary forces. Although it appears promising, freeze drying has relatively high costs, low throughput, and high defects compared to competing methods.

HAR 구조체들의 측벽들의 표면 개질이 수행될 수도 있다. 이 방법에서, 저 분자들이 HAR 구조체들의 측벽들에 화학적으로 결합될 수도 있다. 저 분자들은 재료들이 콘택트할 때 재료들의 마찰을 방지하거나 라플라스 압력을 최소화하기 위해 습식 화학반응의 콘택트 각도를 변경함으로써 붕괴 퍼포먼스를 개선한다. 표면 개질은 건조하는 힘들을 완전히 제거하지 못하고, 구조체들은 건조 프로세스 동안 변형할 수도 있고, 이는 대미지를 유발할 수도 있다. 게다가, 표면 재료들이 변화될 때, 새로 맞춰진 (tailored) 분자들이 HAR 구조체들의 측벽들에 결합하기 위해 필요하다.Surface modification of the sidewalls of HAR structures may be performed. In this method, those molecules may be chemically bonded to the sidewalls of the HAR structures. Those molecules improve collapse performance by preventing friction between materials when they come into contact, or by changing the contact angle of wet chemistry to minimize Laplace pressure. Surface modification does not completely eliminate drying forces, and structures may deform during the drying process, which may cause damage. Additionally, when surface materials are changed, newly tailored molecules are needed to bind to the sidewalls of HAR structures.

일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 방법이 기술된다. 방법은 (a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계, 및 용매가 기화될 때, 희생 브레이싱 재료 (sacrificial bracing material) 가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하여, 희생 브레이싱 재료를 휘발시키기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 단계를 포함하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함한다.In one aspect, a method for drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The method includes (i) wet etching, and (ii) wet cleaning, and (iii) a substrate using at least one of (a) a wet etching solution, and (b) a wet cleaning solution, and (c) a wet rinsing solution, respectively. After at least one of wet rinsing, and without drying the substrate, depositing a solution comprising a polymer component, a nanoparticle component, and a solvent between the plurality of HAR structures, and when the solvent is vaporized, a sacrificial bracing material ( A sacrificial bracing material is precipitated from the solution and at least partially fills the plurality of HAR structures, comprising exposing the substrate to a plasma generated using plasma gas chemistry to volatilize the sacrificial bracing material, comprises (i) a polymeric material from the polymeric component of the solution and (ii) a nanoparticle material from the nanoparticle component of the solution.

일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 시스템이 기술된다. 시스템은, 프로세싱 챔버; 프로세싱 챔버 내에 배치된 기판 지지부; 프로세싱 챔버로 가스 혼합물을 전달하기 위한 가스 전달 시스템; 기판으로 용액을 전달하도록 구성된 유체 전달 시스템; 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기; 및 유체 전달 시스템, 가스 전달 시스템 및 플라즈마 생성기와 통신하는 제어기를 포함한다. 제어기는 (a) 습식 에칭 용액, 또는 (b) 습식 세정 용액, 또는 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 동작 및 희생 브레이싱 재료를 휘발시키기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 동작을 위해 구성된다. 용매가 기화될 때, 희생 브레이싱 재료가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함한다.In one aspect, a system for drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The system includes a processing chamber; A substrate support disposed within the processing chamber; a gas delivery system for delivering the gas mixture to the processing chamber; a fluid delivery system configured to deliver a solution to a substrate; a plasma generator configured to generate plasma within the processing chamber; and a controller in communication with the fluid delivery system, gas delivery system, and plasma generator. The controller controls (i) wet etching, and (ii) wet cleaning, and (iii) of the substrate using at least one of (a) a wet etching solution, or (b) a wet cleaning solution, or (c) a wet rinsing solution, respectively. After at least one of wet rinsing and without drying the substrate, depositing a solution comprising polymer components, nanoparticle components, and solvent between the plurality of HAR structures and plasma gas chemistry to volatilize the sacrificial bracing material. It is configured for the operation of exposing the substrate to plasma generated using. When the solvent evaporates, a sacrificial bracing material precipitates from the solution and at least partially fills the plurality of HAR structures, the sacrificial bracing material comprising (i) a polymeric material from the polymeric component of the solution and (ii) a nanoparticle component of the solution. It contains nanoparticle materials.

본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.Additional areas of applicability of the present disclosure will become apparent from the detailed description, claims, and drawings. The detailed description and specific examples are intended for illustrative purposes only and are not intended to limit the scope of the disclosure.

본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 플라즈마를 사용하여 기판의 복수의 HAR 피처들을 건조하기 위한 방법의 예를 예시하는 플로우차트이다.
도 2a 내지 도 2d는 플라즈마를 사용하여 건조하는 동안 기판의 예를 예시하는 측면도들이다.
도 3a는 스핀 코팅 프로세싱 챔버 및 플라즈마 프로세싱 챔버를 예시하는 기능적 블록도이다.
도 3b는 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버를 예시하는 기능적 블록도이다.
도 4는 예시적인 스핀 코팅 프로세싱 챔버의 기능적 블록도이다.
도 5는 예시적인 플라즈마 프로세싱 챔버의 기능적 블록도이다.
도 6은 예시적인 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버의 기능적 블록도이다.
도 7a는 기판 및 나노입자 재료를 포함하지 않는 희생 브레이싱 재료의 예시적인 측면도이다.
도 7b는 기판 및 나노입자 재료를 포함하는 희생 브레이싱 재료의 예시적인 측면도이다.
도 8은 상이한 폴리머들에 대한 유리 전이 온도 대 나노입자 중량 분율의 예시적인 그래프이다.
도 9a는 기판의 일부 및 폴리머 첨가 재료를 포함하지 않는 나노입자 희생 브레이싱 재료의 어닐링 전 측면도이다.
도 9b는 기판의 일부 및 폴리머 첨가 재료를 포함하지 않는 나노입자 희생 브레이싱 재료의 어닐링 후 측면도이다.
도 10a는 기판의 일부 및 폴리머 첨가 재료를 포함하는 나노입자 희생 브레이싱 재료의 어닐링 전 측면도이다.
도 10b는 기판의 일부 및 폴리머 첨가 재료를 포함하는 나노입자 희생 브레이싱 재료의 어닐링 후 측면도이다.
도 11a는 기판 및 나노입자 재료를 포함하지만 폴리머를 포함하지 않는 희생 브레이싱 재료의 어닐링 후 예시적인 측면도이다.
도 11b는 기판 및 나노입자 재료 및 폴리머를 포함하는 희생 브레이싱 재료의 어닐링 후 예시적인 측면도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
The present disclosure will be more fully understood from the detailed description and accompanying drawings.
1 is a flow chart illustrating an example of a method for drying a plurality of HAR features of a substrate using plasma.
2A-2D are side views illustrating an example of a substrate during drying using plasma.
3A is a functional block diagram illustrating a spin coating processing chamber and a plasma processing chamber.
3B is a functional block diagram illustrating a combined spin coating and plasma processing chamber.
4 is a functional block diagram of an exemplary spin coating processing chamber.
Figure 5 is a functional block diagram of an example plasma processing chamber.
6 is a functional block diagram of an exemplary combined spin coating and plasma processing chamber.
7A is an exemplary side view of a sacrificial bracing material without a substrate and nanoparticle material.
7B is an exemplary side view of a sacrificial bracing material comprising a substrate and nanoparticle material.
Figure 8 is an example graph of glass transition temperature versus nanoparticle weight fraction for different polymers.
Figure 9A is a side view of the nanoparticle sacrificial bracing material without a portion of the substrate and without the polymer added material before annealing.
Figure 9B is a side view after annealing of the nanoparticle sacrificial bracing material without a portion of the substrate and without the polymer added material.
Figure 10A is a side view of a nanoparticle sacrificial bracing material including a portion of the substrate and polymer additive material before annealing.
Figure 10B is a side view of a nanoparticle sacrificial bracing material comprising a portion of the substrate and polymer additive material after annealing.
Figure 11A is an exemplary side view after annealing of a sacrificial bracing material comprising a substrate and nanoparticle material but no polymer.
FIG. 11B is an exemplary side view of a substrate and a sacrificial bracing material comprising nanoparticle material and polymer after annealing.
In the drawings, reference numbers may be reused to identify similar and/or identical elements.

관련 출원들에 대한 교차 참조Cross-reference to related applications

본 개시는 2014년 10월 6일 출원된 미국 특허 출원 번호 제 14/507,080 호의 일부 계속 출원이다. 상기 참조된 출원의 전체 개시는 본 명세서에 참조로서 인용되었다.This disclosure is a continuation-in-part of U.S. Patent Application No. 14/507,080, filed October 6, 2014. The entire disclosure of the above-referenced applications is incorporated herein by reference.

일부 희생적 브레이싱 방법들이 고 종횡비 (HAR) 구조체들의 붕괴를 방지하도록 사용되었다. 단지 예를 들면, 본 명세서에 전체가 참조로서 인용된, 공동으로 양도된 명칭이 "Method of Collapse-Free Drying of High Aspect Ratio Structures"인, 2013년 6월 21일 출원된 미국 특허 출원 번호 제 13/924,314 호는 희생적 브레이싱 방법을 개시한다. 상기 출원에 기술된 바와 같이, 희생 브레이싱 재료, 예컨대 유리상 폴리머 또는 풀러렌 (fullerene) 용액은 습식 에칭 또는 세정 프로세스 직후에, 그러나 웨이퍼들을 건조하기 전에 HAR 구조체들 내에 증착된다.Some sacrificial bracing methods have been used to prevent collapse of high aspect ratio (HAR) structures. By way of example only, U.S. Patent Application No. 13, filed June 21, 2013, entitled “Method of Collapse-Free Drying of High Aspect Ratio Structures,” which is incorporated herein by reference in its entirety. /924,314 discloses a sacrificial bracing method. As described in the above application, a sacrificial bracing material, such as a glassy polymer or fullerene solution, is deposited into the HAR structures immediately after the wet etching or cleaning process, but before drying the wafers.

용매가 기화함에 따라, 희생 브레이싱 재료는 용액으로부터 침전되고 구조체들을 충진한다. 용매 기화 동안 생성된 모세관력에 대응하도록 기계적 브레이싱이 HAR 구조체들 내에 형성된다. 그 후, 희생 브레이싱 재료는 건조 플라즈마 프로세스를 사용하여 제거된다. 플라즈마 프로세스는 N2, O2, H2, 및/또는 O3 가스들과 같은 반응물질들을 사용할 수도 있다. 본 명세서에서 사용된 바와 같이, HAR은 8:1, 10:1, 15:1, 20:1 또는 50:1 이상의 AR을 갖는 HAR 구조체들을 지칭한다. HAR 애플리케이션에서 인접한 구조체들 간의 거리는 40 ㎚ 미만, 30 ㎚ 미만, 또는 20 ㎚ 미만이다.As the solvent evaporates, the sacrificial bracing material precipitates out of solution and fills the structures. Mechanical bracing is formed within the HAR structures to counteract the capillary forces generated during solvent vaporization. Afterwards, the sacrificial bracing material is removed using a dry plasma process. The plasma process may use reactants such as N 2 , O 2 , H 2 , and/or O 3 gases. As used herein, HAR refers to HAR structures with an AR greater than 8:1, 10:1, 15:1, 20:1, or 50:1. The distance between adjacent structures in HAR applications is less than 40 nm, less than 30 nm, or less than 20 nm.

일부 희생 브레이싱 재료들, 예컨대 일부 폴리머성 희생 브레이싱 재료들은 희생 브레이싱 재료 제거 동안 유리 전이를 겪을 수도 있고 용해물을 형성할 수도 있다. 용해물은 액체와 같이 거동할 수도 있고 희생 브레이싱 재료의 제거 동안 HAR 구조체 붕괴를 유도할 수 있다.Some sacrificial bracing materials, such as some polymeric sacrificial bracing materials, may undergo a glass transition and form melts during sacrificial bracing material removal. The melt may behave like a liquid and induce HAR structure collapse during removal of sacrificial bracing material.

본 개시에 따라, 희생 브레이싱 재료는 나노입자 재료 (예를 들어, 풀러올 (fullerol)) 및 폴리머를 포함한다. 나노입자 재료는 희생 브레이싱 재료의 유리 전이 온도를 폴리머의 유리 전이 온도보다 높게 상승시킨다. 보다 높은 유리 전이 온도는 보다 빠른 희생 브레이싱 재료의 플라즈마 제거 레이트를 야기하는 보다 높은 애시 온도들을 인에이블할 수도 있고, 이는 프로세싱 시간을 감소시키고 쓰루풋을 증가시킨다. 사용되는 나노입자 재료 및 폴리머의 상대적인 양들은 HAR 구조체들 간의 공간들의 충진을 증가시키기 위해 표면 장력을 감소시키고 그리고/또는 유동성을 증가시키도록 선택될 수도 있다.According to the present disclosure, sacrificial bracing materials include nanoparticle materials (e.g., fullerols) and polymers. The nanoparticle material raises the glass transition temperature of the sacrificial bracing material above that of the polymer. A higher glass transition temperature may enable higher ash temperatures resulting in a faster plasma removal rate of sacrificial bracing material, reducing processing time and increasing throughput. The relative amounts of nanoparticle material and polymer used may be selected to reduce surface tension and/or increase fluidity to increase filling of spaces between HAR structures.

이제 도 1을 참조하면, 복수의 HAR 구조체들을 포함하는 기판을 건조하기 위한 방법 (100) 이 도시된다. 복수의 HAR 구조체들을 포함하는 기판은 산과 같은 목표된 에천트 용액 및/또는 세정 용액을 사용하여 122에서 습식 에칭되거나 세정된다. 습식 에칭 또는 세정 후에, 기판은 건조되지 않고, 습식 에칭 또는 세정 용액이 기판 상에 남는다.Referring now to FIG. 1, a method 100 for drying a substrate comprising a plurality of HAR structures is shown. A substrate comprising a plurality of HAR structures is wet etched or cleaned at 122 using a targeted etchant solution, such as an acid, and/or a cleaning solution. After wet etching or cleaning, the substrate is not dried and the wet etching or cleaning solution remains on the substrate.

일부 예들에서, HAR 구조체들은 라인들/공간들, STI, FinFET들, 또는 원통형 캐패시터들이다. 재료들은 금속, 반도체 또는 유전체 재료들을 포함할 수도 있다. 일부 예들에서, 에칭 및 세정 프로세스들은 스핀 코팅 프로세싱 챔버 내에서 수행될 것이다.In some examples, HAR structures are lines/spaces, STI, FinFETs, or cylindrical capacitors. Materials may include metallic, semiconductor or dielectric materials. In some examples, etching and cleaning processes will be performed within a spin coating processing chamber.

123에서, 린스 용액은 습식 에칭 또는 세정 용액을 밀어내도록 사용될 수도 있다. 린싱 후에, 기판은 건조되지 않고, 린싱 용액이 기판 상에 남는다.At 123, a rinse solution may be used to repel a wet etching or cleaning solution. After rinsing, the substrate is not dried and the rinsing solution remains on the substrate.

124에서, 선택가능한 전이 용매가 린싱 용액을 밀어내도록 사용될 수도 있다. 전이 용매는 린싱 용액들 및 브레이싱 재료를 용해하도록 사용된 용매의 화학적 성분 (chemical make-up) 및 양립가능성에 따라 결정된다.At 124, an optional transfer solvent may be used to push the rinsing solution. The transfer solvent is determined by the chemical make-up and compatibility of the rinsing solutions and the solvent used to dissolve the bracing material.

126에서, 린싱 용액들 또는 선택가능한 전이 용매는 희생 브레이싱 재료를 포함하는 용매가 밀어낸다. 일부 예들에서, 희생 브레이싱 재료는 하나 이상의 폴리머들 및 나노입자 첨가제들을 포함한다. 계면활성제가 또한 포함될 수도 있다. 이해되는 바와 같이, 기판은 단계들 122, 123, 124, 및 126 동안 젖은 채로 남는다. 일부 예들에서, 희생 브레이싱 재료는 플라즈마 화학반응을 통해 휘발될 수 있는 탄소 함유 재료를 포함한다.At 126, the rinsing solutions or optional transfer solvent are repelled by the solvent containing the sacrificial bracing material. In some examples, the sacrificial bracing material includes one or more polymers and nanoparticle additives. Surfactants may also be included. As will be appreciated, the substrate remains wet during steps 122, 123, 124, and 126. In some examples, the sacrificial bracing material includes a carbon-containing material that can be volatilized through plasma chemistry.

130에서, 과잉 용매는 선택가능하게 스핀 오프될 수도 있다. 희생적 기계적 브레이싱은 기판 상의 복수의 HAR 구조체들을 충진한다. 보다 구체적으로, 용매가 기화할 때, 희생 브레이싱 재료는 용액로부터 침전하고 구조체들을 충진한다. 용매 건조 동안 발생되는 모세관력에 대응하도록 기계적 브레이싱은 HAR 구조체들 내에 형성된다. 기판은, 예를 들어, 교차결합, 잔류 용매를 제거 및/또는 응력들을 완화시키도록 132에서 선택가능하게 어닐링 또는 베이킹될 수도 있다. 기판은 플라즈마 프로세싱 챔버로 이송되거나 결합된 스핀 코팅 플라즈마 프로세싱 챔버가 사용된다면 이송되지 않고 프로세싱이 계속된다.At 130, excess solvent may optionally be spun off. Sacrificial mechanical bracing fills the plurality of HAR structures on the substrate. More specifically, when the solvent evaporates, the sacrificial bracing material precipitates out of solution and fills the structures. Mechanical bracing is formed within the HAR structures to counteract the capillary forces generated during solvent drying. The substrate may optionally be annealed or baked at 132 to, for example, remove crosslinks, residual solvent, and/or relieve stresses. The substrate is transferred to the plasma processing chamber or is not transferred and processing continues if a combined spin coating plasma processing chamber is used.

일부 예들에서, 기판 지지부 또는 플레튼은 플라즈마로의 노출 동안 25 ℃ 내지 400 ℃의 온도로 기판을 가열한다. 134에서, 기판은 플라즈마 가스 화학물질에 노출된다. 예를 들어, 기판은 수소 풍부 플라즈마 가스 화학물질에 노출될 수도 있다. HAR 구조체들의 표면을 개질하지 않고 잔류물 또는 에칭 레이트를 개선하도록 다른 가스들이 수소 풍부 가스와 혼합될 수도 있다. 일부 예들에서, 부가적인 가스들이 약 산화제들 또는 불활성 가스들을 포함할 수도 있다. 약 산화제들의 예들은 이산화탄소, 일산화탄소, 아산화질소, 일산화질소, 이산화질소, 산화황, 이산화황, 물, 그리고 산소 함유 탄화수소들을 포함한다. 일부 예들에서, 혼합물은 10 % 미만의 CO2를 포함한다. 질소, 아르곤, 크세논, 크립톤, 헬륨, 및 네온을 포함하는 불활성 가스들이 또한 첨가될 수도 있다. 일부 예들에서, H2-풍부 분자들, 예컨대 메탄 (CH4) 또는 암모니아 (NH3) 가 사용될 수도 있다. 이들 H-풍부 또는 H2-풍부 분자들은 단독으로 또는 불활성 가스들 및/또는 약 산화제들과 조합하여 사용될 수도 있다. 수소 풍부 플라즈마 가스 화학물질의 예가 제공되지만, 또 다른 적합한 플라즈마 가스 화학물질 예컨대 산소 풍부 플라즈마 화학물질 및/또는 오존이 사용될 수도 있다.In some examples, the substrate support or platen heats the substrate to a temperature between 25° C. and 400° C. during exposure to the plasma. At 134, the substrate is exposed to plasma gas chemicals. For example, the substrate may be exposed to hydrogen-rich plasma gas chemistry. Other gases may be mixed with the hydrogen-rich gas to improve residue or etch rate without modifying the surface of the HAR structures. In some examples, additional gases may include mild oxidizing agents or inert gases. Examples of weak oxidizing agents include carbon dioxide, carbon monoxide, nitrous oxide, nitrogen monoxide, nitrogen dioxide, sulfur oxide, sulfur dioxide, water, and oxygen-containing hydrocarbons. In some examples, the mixture includes less than 10% CO 2 . Inert gases including nitrogen, argon, xenon, krypton, helium, and neon may also be added. In some examples, H 2 -rich molecules such as methane (CH 4 ) or ammonia (NH 3 ) may be used. These H-rich or H 2 -rich molecules may be used alone or in combination with inert gases and/or weak oxidizing agents. Although examples of hydrogen-rich plasma gas chemistries are provided, other suitable plasma gas chemistries such as oxygen-rich plasma chemistries and/or ozone may also be used.

138에서, 플라즈마는 프로세싱 챔버 내에서 스트라이킹되고 희생 브레이싱 재료를 제거하도록 기판은 플라즈마에 노출된다. 일부 예들에서, 플라즈마는 리모트 플라즈마 또는 다운스트림 플라즈마이다. 일부 예들에서, 프로세스 조건들은 500 W 내지 10 ㎾의 RF 전력, 0.1 Torr 내지 3 Torr의 진공 압력, 그리고 500 내지 10000 sccm의 총 가스 플로우를 사용하여 생성된 플라즈마를 포함하지만, 다른 프로세스 조건들이 사용될 수도 있다. 140에서, 선택가능한 기판 RF 바이어스가 사용될 수도 있다.At 138, the plasma is struck within the processing chamber and the substrate is exposed to the plasma to remove sacrificial bracing material. In some examples, the plasma is a remote plasma or downstream plasma. In some examples, process conditions include a plasma generated using 500 W to 10 kW of RF power, a vacuum pressure of 0.1 Torr to 3 Torr, and a total gas flow of 500 to 10000 sccm, although other process conditions may be used. there is. At 140, selectable substrate RF bias may be used.

142에서, 기판은 희생 브레이싱 재료의 제거 후에 플라즈마 프로세싱 챔버로부터 제거된다.At 142, the substrate is removed from the plasma processing chamber after removal of the sacrificial bracing material.

이제 도 2a 내지 도 2d를 참조하면, 희생적 브레이싱을 사용하는 건조 동안 기판 (200) 의 예가 예시된다. 도 2a에서, 기판 (200) 은 하부 기판층 (212) 으로부터 상향으로 연장하는 복수의 HAR 구조체들 (204) 을 포함한다. 예를 들어, 복수의 HAR 구조체들 (204) 은 하부 기판층 (212) 으로부터 상향으로 연장하는 하나 이상의 필라들 (216) 또는 다른 구조체들, 예컨대 라인들/공간들, 커패시터들, 등을 포함할 수도 있지만, 다른 HAR 구조체들을 고려할 수도 있다.Referring now to Figures 2A-2D, an example of substrate 200 during drying using sacrificial bracing is illustrated. In FIG. 2A , substrate 200 includes a plurality of HAR structures 204 extending upwardly from lower substrate layer 212 . For example, the plurality of HAR structures 204 may include one or more pillars 216 or other structures, such as lines/spaces, capacitors, etc., extending upwardly from the lower substrate layer 212. However, other HAR structures can also be considered.

유체 (224) 는 습식 에칭 또는 습식 세정 후에 기판 (200) 상에 남는다. 단지 예를 들면, 유체 (224) 는 필라들 (216) 사이의 공간들 (220) 을 충진할 수도 있다. 도 2b에서, 유체 (224) 를 밀어내도록 선택가능한 전이 용매 (238) 가 사용될 수도 있다. 도 2c에서, 유체 (224) 또는 선택가능한 전이 용매 (238) (사용된다면) 를 밀어내도록 희생 브레이싱 재료를 포함하는 용매 (240) 가 사용될 수도 있다. 도 2d에서, 241에서 부분적으로 도시된 바와 같이, 복수의 HAR 구조체들 (204) 에 대미지를 주지 않고 희생 브레이싱 재료를 제거하도록 플라즈마가 사용될 수도 있다.Fluid 224 remains on substrate 200 after wet etching or wet cleaning. By way of example only, fluid 224 may fill spaces 220 between pillars 216 . 2B, an optional transfer solvent 238 may be used to force fluid 224. 2C, solvent 240 containing sacrificial bracing material may be used to repel fluid 224 or optional transfer solvent 238 (if used). As partially shown at 241 in FIG. 2D , plasma may be used to remove sacrificial bracing material without damaging the plurality of HAR structures 204 .

이제 도 3a 및 도 3b를 참조하면, 복수의 HAR 구조체들을 갖는 기판을 건조하기 위한 프로세싱 챔버의 예들이 도시된다. 도 3a에서, 습식 에칭, 세정, 및/또는 린싱이 스핀 코팅 프로세싱 챔버 (300) 에서 수행될 수도 있다. 부가적으로, 희생 브레이싱 재료를 갖는 용매 (또는 전이 용매 및 희생 브레이싱 재료를 갖는 용매) 는 스핀 코팅 프로세싱 챔버 (300) 내의 기판에 도포될 수도 있다. 이어서, 기판은 복수의 HAR 구조체들에 대미지를 주지 않고 희생 브레이싱 재료를 제거하도록 플라즈마 프로세싱을 위해 플라즈마 프로세싱 챔버 (304) 로 이송될 수도 있다.Referring now to FIGS. 3A and 3B, examples of processing chambers for drying a substrate having a plurality of HAR structures are shown. 3A, wet etching, cleaning, and/or rinsing may be performed in spin coating processing chamber 300. Additionally, a solvent with sacrificial bracing material (or a transfer solvent and a solvent with sacrificial bracing material) may be applied to the substrate within spin coating processing chamber 300. The substrate may then be transferred to a plasma processing chamber 304 for plasma processing to remove sacrificial bracing material without damaging the plurality of HAR structures.

도 3b에서, 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (310) 가 도시된다. 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (310) 의 스핀 코팅 컴포넌트들을 사용하여 습식 에칭, 세정, 및/또는 린싱이 수행될 수도 있다. 스핀 코팅 컴포넌트들을 사용하여 희생 브레이싱 재료를 갖는 용매 (또는 전이 용매 및 희생 브레이싱 재료를 갖는 용매) 가 도포될 수도 있다. 이어서, HAR 구조체들에 대미지를 주지 않고 기판의 희생 브레이싱 재료를 제거하도록 플라즈마 프로세싱을 위해 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (310) 의 플라즈마 컴포넌트들이 사용될 수도 있다.In Figure 3B, a combined spin coating and plasma processing chamber 310 is shown. Wet etching, cleaning, and/or rinsing may be performed using spin coating components of a combined spin coating and plasma processing chamber 310. Solvent with sacrificial bracing material (or transfer solvent and solvent with sacrificial bracing material) may be applied using spin coating components. The plasma components of the combined spin coating and plasma processing chamber 310 may then be used for plasma processing to remove the sacrificial bracing material of the substrate without damaging the HAR structures.

이제 도 4를 참조하면, 스핀 코팅 프로세싱 챔버 (404) 를 포함하는 시스템 (409) 의 예가 도시된다. 페데스탈 또는 플레튼과 같은 기판 지지부 (408) 가 제공될 수도 있다. 기판 (410) 은 기판 지지부 (408) 상에 배치된다. 모터 (412) 는 기판 (410) 상에 액체들을 스핀 코팅할 필요가 있을 때 기판 지지부 (408) 를 선택적으로 회전시키도록 사용될 수도 있다. 기판 지지부 (408) 는 히터 (422) 에 연결된 임베딩된 코일 (미도시) 을 포함할 수도 있다.Referring now to FIG. 4 , an example of a system 409 including a spin coating processing chamber 404 is shown. A substrate support 408, such as a pedestal or platen, may be provided. Substrate 410 is placed on substrate support 408. Motor 412 may be used to selectively rotate substrate support 408 as needed to spin coat liquids on substrate 410. Substrate support 408 may include an embedded coil (not shown) connected to heater 422.

유체 전달 시스템 (424) 은 하나 이상의 액체 소스들 (426-1, 426-2, ..., 및 426-N) (집합적으로 액체 소스들 (426)) 로부터 기판 (410) 으로 유체들을 전달하도록 사용된다. 유체 전달 시스템 (424) 은 하나 이상의 밸브들 (428-1, 428-2, ..., 및 428-N) (집합적으로 밸브들 (428)) 을 포함할 수도 있다. 방향전환 밸브 (430) 는 유체 전달 시스템 (424) 으로부터 액체를 플러싱하도록 사용될 수도 있다. 유체 전달 시스템 (424) 은 습식 에칭, 습식 세정을 위한 유체들, 플러싱 유체, 구조적 브레이싱 재료를 포함하는 용매, 및/또는 다른 유체들을 전달하도록 구성될 수도 있다. 밸브 (452) 및 펌프 (454) 는 필요하다면 스핀 코팅 프로세싱 챔버 (404) 로부터 반응물질들을 배출하도록 사용될 수도 있다. 하나 이상의 센서들 (458) 은 프로세스 챔버 (404) 내의 온도 및 압력과 같은 조건들을 모니터링하도록 제공될 수도 있다.The fluid transfer system 424 transfers fluids from one or more liquid sources 426-1, 426-2, ..., and 426-N (collectively liquid sources 426) to the substrate 410. It is used to do so. Fluid delivery system 424 may include one or more valves 428-1, 428-2, ..., and 428-N (collectively valves 428). A divert valve 430 may be used to flush liquid from the fluid delivery system 424. Fluid delivery system 424 may be configured to deliver fluids for wet etching, wet cleaning, flushing fluid, solvent including structural bracing material, and/or other fluids. Valve 452 and pump 454 may be used to discharge reactants from spin coating processing chamber 404 if necessary. One or more sensors 458 may be provided to monitor conditions such as temperature and pressure within the process chamber 404.

제어기 (460) 는 시스템 (409) 내의 하나 이상의 디바이스들을 제어하도록 사용될 수도 있다. 보다 구체적으로, 제어기 (460) 는 모터 (412), 히터 (422), 유체 전달 시스템 (424), 및/또는 밸브 (452) 및 펌프 (454) 를 제어하도록 사용될 수도 있다. 제어기 (460) 는 하나 이상의 센서들 (458) 로부터의 피드백에 기초하여 부분적으로 작동될 수도 있다.Controller 460 may be used to control one or more devices within system 409. More specifically, controller 460 may be used to control motor 412, heater 422, fluid delivery system 424, and/or valve 452 and pump 454. Controller 460 may operate in part based on feedback from one or more sensors 458.

이제 도 5를 참조하면, 본 개시에 따른, 기판 프로세싱 시스템 (510) 의 예가 도시된다. 기판 프로세싱 시스템 (510) 은 프로세싱 챔버 (512) 및 가스 분배 디바이스 (513) 를 포함한다. 일부 예들에서, 리모트 플라즈마는 이하에 더 기술되는 바와 같이, 가스 분배 디바이스 (513) 에 공급될 수도 있거나 가스 분배 디바이스 (513) 내에서 생성될 수도 있다. 페데스탈 또는 플레튼과 같은 기판 지지부 (516) 는 프로세싱 챔버 (512) 내에 배치될 수도 있다. 사용 동안, 반도체 웨이퍼와 같은 기판 (518) 또는 다른 타입의 기판은, 기판 지지부 (516) 상에 배치될 수도 있다.Referring now to FIG. 5, an example of a substrate processing system 510, in accordance with the present disclosure, is shown. Substrate processing system 510 includes a processing chamber 512 and a gas distribution device 513. In some examples, remote plasma may be supplied to or generated within the gas distribution device 513, as described further below. A substrate support 516, such as a pedestal or platen, may be disposed within the processing chamber 512. During use, a substrate 518, such as a semiconductor wafer, or other type of substrate, may be placed on the substrate support 516.

기판 프로세싱 시스템 (510) 은 가스 전달 시스템 (520) 을 포함한다. 단지 예를 들면, 가스 전달 시스템 (520) 은 하나 이상의 가스 소스들 (522-1, 522-2, ..., 및 522-N) (집합적으로 가스 소스들 (522)) (여기서 N은 0보다 큰 정수임), 밸브들 (524-1, 524-2, ..., 및 524-N) (집합적으로 밸브들 (524)), 및 질량 유량 제어기들 (MFC) (526-1, 526-2, ..., 및 526-N) (집합적으로 MFC (526)) 을 포함할 수도 있다. 가스 전달 시스템 (520) 의 출력들은 매니폴드 (530) 내에서 혼합될 수도 있고 리모트 플라즈마 소스 및/또는 가스 분배 디바이스 (513) 로 전달될 수도 있다. 가스 전달 시스템 (520) 은 플라즈마 가스 화학물질을 공급한다.Substrate processing system 510 includes a gas delivery system 520. By way of example only, gas delivery system 520 may include one or more gas sources 522-1, 522-2, ..., and 522-N (collectively gas sources 522), where N is is an integer greater than 0), valves 524-1, 524-2, ..., and 524-N (collectively valves 524), and mass flow controllers (MFC) 526-1, 526-2, ..., and 526-N) (collectively, MFC 526). The outputs of gas delivery system 520 may be mixed within manifold 530 and delivered to a remote plasma source and/or gas distribution device 513. Gas delivery system 520 supplies plasma gas chemicals.

제어기 (540) 는 온도, 압력, 등과 같은 프로세스 챔버 (512) 내의 동작 파라미터들을 모니터링하는 하나 이상의 센서들 (541) 에 연결될 수도 있다. 히터 (542) 는 필요에 따라 기판 지지부 (516) 및 기판 (518) 을 가열하도록 제공될 수도 있다. 밸브 (550) 및 펌프 (552) 는 프로세싱 챔버 (512) 로부터 가스를 배출하도록 제공될 수도 있다.Controller 540 may be coupled to one or more sensors 541 that monitor operating parameters within process chamber 512, such as temperature, pressure, etc. A heater 542 may be provided to heat the substrate support 516 and the substrate 518 as needed. A valve 550 and pump 552 may be provided to vent gas from the processing chamber 512.

단지 예를 들면, 플라즈마 생성기 (556) 가 제공될 수도 있다. 일부 예들에서, 플라즈마 생성기 (556) 는 다운스트림 플라즈마 소스이다. 플라즈마 생성기 (556) 는 리모트 플라즈마를 생성하도록 플라즈마 튜브, 유도 코일 또는 또 다른 디바이스를 포함할 수도 있다. 단지 예를 들면, 플라즈마 생성기 (556) 는 상기에 식별된 가스 화학물질을 사용하여 리모트 플라즈마를 생성하도록 무선 주파수 (RF) 또는 마이크로파 전력을 사용할 수도 있다. 일부 예들에서, 유도 코일은 샤워헤드의 상부 스템 부분 둘레에 감기고 RF 소스 및 매칭 네트워크에 의해 생성된 RF 신호에 의해 여기된다. 스템 부분을 통해 흐르는 반응성 가스는 유도 코일을 통과하는 RF 신호에 의해 플라즈마 상태로 여기된다.By way of example only, a plasma generator 556 may be provided. In some examples, plasma generator 556 is a downstream plasma source. Plasma generator 556 may include a plasma tube, induction coil, or another device to generate a remote plasma. By way of example only, plasma generator 556 may use radio frequency (RF) or microwave power to generate a remote plasma using the gas chemistries identified above. In some examples, an induction coil is wound around the upper stem portion of the showerhead and excited by an RF signal generated by the RF source and matching network. The reactive gas flowing through the stem portion is excited into a plasma state by an RF signal passing through the induction coil.

제어기 (540) 는 가스 전달 시스템 (520), 히터 (542), 밸브 (550), 펌프 (552), 및 리모트 플라즈마 생성기 (556) 에 의해 생성된 플라즈마를 제어하도록 사용될 수도 있다. Controller 540 may be used to control plasma produced by gas delivery system 520, heater 542, valve 550, pump 552, and remote plasma generator 556.

이제 도 6을 참조하면, 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (610) 가 도시된다. 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (610) 는 습식 에칭 또는 습식 세정, 습식 린싱, 선택가능한 전이 용매의 도포, 희생 브레이싱 재료를 포함하는 용매의 도포, 및 플라즈마의 생성을 제어하도록 구성된 제어기 (620) 를 포함한다.Referring now to Figure 6, a combined spin coating and plasma processing chamber 610 is shown. The combined spin coating and plasma processing chamber 610 includes a controller 620 configured to control wet etching or wet cleaning, wet rinsing, application of a selectable transfer solvent, application of a solvent comprising a sacrificial bracing material, and generation of plasma. Includes.

보다 구체적으로, 제어기 (620) 는 습식 에칭 용액 또는 세정 용액 또는 습식 린싱 용액을 기판으로 전달한다. 그 후, 제어기 (620) 는 희생 브레이싱 재료를 포함하는 용매 (또는 선택가능한 전이 용매 및 이어서 희생 브레이싱 재료를 포함하는 용매) 를 전달한다. 유체 전달 동안 또는 유체 전달 후에, 제어기는 기판 상에 유체를 스핀-코팅하도록 모터 (412) 를 사용하여 기판 지지부 (408) 를 회전시킬 수도 있다. 기판 지지부 (408) 의 회전은 또한 과잉 용매/희생 브레이싱 재료를 스핀 오프할 수도 있다.More specifically, controller 620 delivers a wet etching solution or a cleaning solution or a wet rinsing solution to the substrate. Controller 620 then delivers a solvent comprising the sacrificial bracing material (or an optional transfer solvent and then a solvent comprising the sacrificial bracing material). During or after fluid delivery, the controller may use the motor 412 to rotate the substrate support 408 to spin-coat the fluid on the substrate. Rotation of the substrate support 408 may also spin off excess solvent/sacrificial bracing material.

도포 후에, HAR 구조체들을 지지하는 희생 브레이싱 재료를 남기면서 용매는 기화한다. 후속하여, 제어기 (620) 는, HAR 구조체들에 대미지를 주지 않고 희생 브레이싱 재료를 제거하고 HAR 구조체들을 건조하기 위해 플라즈마를 생성하도록 가스 전달 시스템 (520) 및 플라즈마 생성기 (556) 를 제어한다. 플라즈마를 포함하는 희생 브레이싱 재료의 제거의 예가 도시되고 기술되지만, 희생 브레이싱 재료는 대신 오존을 사용하여 제거될 수도 있다.After application, the solvent vaporizes, leaving behind a sacrificial bracing material that supports the HAR structures. Subsequently, controller 620 controls gas delivery system 520 and plasma generator 556 to generate plasma to remove sacrificial bracing material and dry HAR structures without damaging the HAR structures. Although an example of removal of sacrificial bracing material involving plasma is shown and described, sacrificial bracing material may instead be removed using ozone.

일부 예들에서, 희생 브레이싱 재료는 폴리머 컴포넌트 및 나노입자 컴포넌트를 포함한다. 희생 브레이싱 재료는 상기 기술된 바와 같이 용매를 통해 전달된다. 단지 예를 들면, 적합한 용매들은 유기 용매들 및 탈이온수를 포함하지만, 다른 용매들이 사용될 수도 있다. 나노입자들을 포함하는 희생 브레이싱 재료는 열적으로 안정할 수도 있고 보다 높은 애싱 온도들을 인에이블하여, 보다 높은 쓰루풋을 인에이블할 수도 있다.In some examples, the sacrificial bracing material includes polymer components and nanoparticle components. The sacrificial bracing material is delivered via solvent as described above. By way of example only, suitable solvents include organic solvents and deionized water, although other solvents may be used. A sacrificial bracing material containing nanoparticles may be thermally stable and enable higher ashing temperatures, thereby enabling higher throughput.

나노입자 컴포넌트의 예들은 이로 제한되는 것은 아니지만, 나노입자들 또는 탄소계 나노입자들 (예를 들어, 풀러렌 또는 풀러올) 을 포함하는 유기 폴리머들, 유기 입자들, 라텍스들, 및 무기 나노입자들 (예를 들어, SiO2) 을 포함한다. 일부 예들에서, 사용된 나노입자 재료의 최대 크기는 12 ㎚ 미만, 10 ㎚ 미만, 또는 8 ㎚ 미만이다. 일부 예들에서, 나노입자 재료의 최대 크기는 인접한 HAR 구조체들 간의 (예를 들어, 최소) 거리의 1/2 이하이다. 일부 예들에서, 나노입자 재료는 플라즈마 화학반응을 사용하여 휘발될 수 있다.Examples of nanoparticle components include, but are not limited to, organic polymers, organic particles, latexes, and inorganic nanoparticles, including nanoparticles or carbon-based nanoparticles (e.g., fullerenes or fullerols). (eg, SiO 2 ). In some examples, the maximum size of the nanoparticle material used is less than 12 nm, less than 10 nm, or less than 8 nm. In some examples, the maximum size of the nanoparticle material is less than half the (e.g., minimum) distance between adjacent HAR structures. In some examples, nanoparticle materials can be volatilized using plasma chemistry.

폴리머의 예들은 저 분자량 폴리머들 또는 올리고머들을 포함한다. 저 분자량 폴리머들은 15,000 g/mol 미만, 10,000 g/mol 미만, 8,000 g/mol 미만, 5,000 g/mol 미만, 3,000 g/mol 미만, 2,000 g/mol 미만, 또는 1,000 g/mol 미만의 분자량을 갖는 폴리머들을 지칭할 수도 있다. 일부 예들에서, 대략 600 g/mol의 분자량을 갖는 폴리머들이 사용될 수도 있다. 일부 예들에서, 폴리머의 최대 크기는 인접한 HAR 구조체들 간의 (예를 들어, 최소) 거리의 1/2 이하이다. 일부 예들에서, 폴리머는 플라즈마 화학반응을 사용하여 휘발될 수 있다.Examples of polymers include low molecular weight polymers or oligomers. Low molecular weight polymers have a molecular weight of less than 15,000 g/mol, less than 10,000 g/mol, less than 8,000 g/mol, less than 5,000 g/mol, less than 3,000 g/mol, less than 2,000 g/mol, or less than 1,000 g/mol. It may also refer to polymers. In some examples, polymers with a molecular weight of approximately 600 g/mol may be used. In some examples, the maximum size of the polymer is less than one-half the (e.g., minimum) distance between adjacent HAR structures. In some examples, the polymer may be volatilized using plasma chemistry.

일부 예들에서, 희생 브레이싱 재료는 나노입자 재료에 비해 폴리머-풍부일 수도 있다. 일부 예들에서, 폴리머-풍부는 1:1 이상, 2:1 이상, 3:1 이상, 5:1 이상, 10:1 이상, 25:1 이상, 또는 50:1 이상의 폴리머 대 나노입자 재료의 중량비로 참조될 수도 있다. 일부 예들에서, 용매에 대한 고체의 중량비는 0.40 이하, 0.25 이하, 0.2 이하, 0.15 이하, 0.1 이하, 또는 0.05 이하일 수도 있다. 고체는 폴리머성 고체 및 나노입자 고체를 포함할 수도 있다. 상기 언급된 바와 같이, 용매들의 예들은 유기 용매들 및 물을 포함할 수도 있다.In some examples, the sacrificial bracing material may be polymer-rich compared to the nanoparticle material. In some examples, the polymer-rich is a weight ratio of polymer to nanoparticle material of at least 1:1, at least 2:1, at least 3:1, at least 5:1, at least 10:1, at least 25:1, or at least 50:1. It may also be referred to as . In some examples, the weight ratio of solid to solvent may be less than 0.40, less than 0.25, less than 0.2, less than 0.15, less than 0.1, or less than 0.05. Solids may include polymeric solids and nanoparticle solids. As mentioned above, examples of solvents may include organic solvents and water.

희생 브레이싱 재료는 또한, 표면 장력을 하강시키고 그리고/또는 HAR 구조체들 간의 공간들의 충진 및 HAR 구조체들의 코팅 균일성을 개선하도록 하나 이상의 계면활성제들을 포함할 수도 있다. 사용된 계면활성제는 혼화성, 플라즈마를 사용한 제거 능력, 및 표면 장력 효과에 기초하여 선택될 수도 있다.The sacrificial bracing material may also include one or more surfactants to lower the surface tension and/or improve the coating uniformity of the HAR structures and the filling of spaces between the HAR structures. The surfactant used may be selected based on miscibility, removal ability using plasma, and surface tension effects.

희생 브레이싱 재료의 플라즈마 제거 동안 발생하는 고온 및 발열성 반응들은 희생 브레이싱 재료의 유리 전이를 유도할 수도 있다. 이 유리 전이는 용해물의 형성을 유발할 수도 있다. 용해물은 액체와 같이 거동할 수도 있고 희생 브레이싱 재료의 제거 동안 HAR 구조체 붕괴를 유도할 수 있다. 붕괴는 복잡한, 교차결합 브레이싱 재료를 설계함으로써 그리고/또는 브레이싱 재료 제거 동안 온도를 제한함으로써 방지될 수 있다. 그러나, 이는 브레이싱 재료의 제거가 완료하는데 시간이 오래 걸릴 수도 있기 때문에 프로세싱 쓰루풋을 감소시킬 수도 있다.The high temperature and exothermic reactions that occur during plasma removal of the sacrificial bracing material may induce a glass transition of the sacrificial bracing material. This glass transition can also lead to the formation of a melt. The melt may behave like a liquid and induce HAR structure collapse during removal of sacrificial bracing material. Collapse can be prevented by designing complex, cross-linked bracing materials and/or limiting the temperature during bracing material removal. However, this may reduce processing throughput because removal of the bracing material may take a long time to complete.

나노입자 재료를 첨가하는 것은 희생 브레이싱 재료의 유리 전이 온도를 상승시킨다. 보다 높은 유리 전이 온도 및 상승된 열 안정성을 갖는 희생 브레이싱 재료들은 프로세싱 쓰루풋을 향상시키는데 바람직하다. 폴리머 및 나노입자 재료 양자를 포함하는 희생 브레이싱 재료의 유리 전이 온도는 나노입자 재료를 포함하지 않는 희생 브레이싱 재료의 유리 전이 온도보다 높다. 나노입자 재료는 입자 표면들과 폴리머의 상호작용들로 인해 희생 브레이싱 재료들의 유리 전이 온도를 상승시키고, 이는 폴리머 연쇄 역학을 늦춘다. 유리 전이 온도를 상승시키는 것은 보다 높은 온도들로 하여금 희생 브레이싱 재료의 플라즈마 제거에 사용되게 하여, 플라즈마 제거 레이트를 상승시키고 프로세싱 시간을 감소시킨다.Adding nanoparticle material increases the glass transition temperature of the sacrificial bracing material. Sacrificial bracing materials with higher glass transition temperatures and increased thermal stability are desirable to improve processing throughput. The glass transition temperature of the sacrificial bracing material comprising both polymer and nanoparticle material is higher than the glass transition temperature of the sacrificial bracing material not comprising nanoparticle material. Nanoparticle materials increase the glass transition temperature of sacrificial bracing materials due to polymer interactions with particle surfaces, which slows down polymer chain dynamics. Raising the glass transition temperature allows higher temperatures to be used for plasma ablation of the sacrificial bracing material, increasing the plasma ablation rate and reducing processing time.

나노입자 재료는 또한 HAR 구조체들의 벽 상에 부착되고 마찰을 방지할 수도 있다. 용매로부터 침전되는 일부 재료들 (예를 들어, 실리케이트들) 은 화학적 결합, 예컨대 실리카 브리지를 형성할 수도 있다. 나노입자 재료는 보다 큰 크기들을 갖는 나노입자 재료로 인해 이러한 화학적 결합/브리지 형성을 방지할 수도 있다. 따라서 나노입자들은 마찰을 감소시키거나 방지할 수도 있다.Nanoparticulate materials can also adhere on the walls of HAR structures and prevent friction. Some materials that precipitate from a solvent (eg, silicates) may form chemical bonds, such as silica bridges. Nanoparticulate materials may prevent this chemical bond/bridge formation due to the nanoparticle materials having larger sizes. Therefore, nanoparticles may reduce or prevent friction.

단지 예를 들면, 희생 브레이싱 재료를 제공하는 용액은 폴리머보다 높은 유리 전이 온도를 갖고 10 wt%의 폴리아크릴아미드 (폴리머), 1 wt%의 풀러올들 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함한다. 또 다른 예에서, 희생 브레이싱 재료를 제공하는 용액은 폴리머보다 높은 유리 전이 온도를 갖고 10 wt%의 폴리아크릴아미드 (폴리머), 0.2 wt%의 풀러올들 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함한다. 상기 언급된 바와 같이, 합성물의 컴포넌트들의 중량비들은 발생되는 희생 브레이싱 재료들의 충진, 코팅 균일성, 막 두께, 및 목표된 온도 특성들을 최적화하도록 선택되고/조정될 수 있다. 일부 폴리머-풍부 예들에서, 용액은 최대 20 wt%의 폴리머, 최대 10 wt%의 나노입자, 최대 5 wt%의 계면활성제, 그리고 나머지는 용매를 포함할 수도 있다.By way of example only, a solution providing a sacrificial bracing material has a higher glass transition temperature than the polymer and contains 10 wt% polyacrylamide (polymer), 1 wt% fullerols (nanoparticle material), 0.2 wt% ammonium. Dodecyl sulfate (surfactant), and deionized water (solvent). In another example, a solution providing a sacrificial bracing material has a higher glass transition temperature than the polymer and contains 10 wt% polyacrylamide (polymer), 0.2 wt% fullerols (nanoparticle material), 0.2 wt% ammonium. Dodecyl sulfate (surfactant), and deionized water (solvent). As mentioned above, the weight ratios of the components of the composite can be selected/adjusted to optimize the filling, coating uniformity, film thickness, and desired temperature characteristics of the resulting sacrificial bracing materials. In some polymer-rich examples, the solution may contain up to 20 wt% polymer, up to 10 wt% nanoparticles, up to 5 wt% surfactant, and the remainder solvent.

이제 도 7a를 참조하면, 기판의 예시적인 부분은 나노입자 재료를 포함하지 않는 희생 브레이싱 재료 (704) 를 포함한다. 희생 브레이싱 재료 (704) 는 플라즈마 제거 동안 유리 전이를 겪을 수도 있고 용해물을 형성할 수도 있다. 용해물은 희생 브레이싱 재료 (704) 가 제거될 때 HAR 구조체 붕괴를 유도할 수도 있다. 부가적으로, 브리징 또는 화학적 결합이 인접한 HAR 구조체들 간에 발생할 수도 있다.Referring now to FIG. 7A, the exemplary portion of the substrate includes sacrificial bracing material 704 that does not include nanoparticle material. Sacrificial bracing material 704 may undergo a glass transition and form a melt during plasma ablation. The melt may lead to HAR structure collapse when the sacrificial bracing material 704 is removed. Additionally, bridging or chemical bonding may occur between adjacent HAR structures.

이제 도 7b를 참조하면, 희생 브레이싱 재료 (708) 를 포함하는 기판의 예시적인 부분이 나노입자 재료를 포함한다. 단지 예를 들면, C60-풀러올 (나노입자 재료) 및 폴리아크릴아미드 (PAM) 폴리머가 사용될 수도 있다. 나노입자 재료는 발생되는 희생 브레이싱 재료의 유리 전이 온도를 상승시킨다. 따라서 보다 높은 온도들이 희생 브레이싱 재료 (708) 의 플라즈마 제거 동안 사용될 수도 있다. 부가적으로, 나노입자 재료는 인접한 HAR 구조체들 간의 화학적 결합/브리징을 최소화 또는 방지함으로써 마찰을 방지할 수도 있다.Referring now to FIG. 7B, an example portion of the substrate that includes sacrificial bracing material 708 includes nanoparticle material. By way of example only, C60-fullerols (nanoparticle materials) and polyacrylamide (PAM) polymers may be used. Nanoparticulate materials increase the glass transition temperature of the resulting sacrificial bracing material. Accordingly, higher temperatures may be used during plasma removal of sacrificial bracing material 708. Additionally, nanoparticle materials may prevent friction by minimizing or preventing chemical bonding/bridging between adjacent HAR structures.

이제 도 8을 참조하면, 희생적 브레이스들의 유리 전이 온도를 상승시키는 것은 또한 보다 낮은 유리 전이 온도 (Tg) 를 갖는 폴리머들이 사용되게 할 수도 있다. 따라서 보다 저렴한 합성물 조제를 위한 부가적인 옵션들이 이용가능할 수도 있고, 여전히 붕괴 없는 건조를 생성할 수도 있다. 단지 예를 들면, 상이한 타입들의 폴리머들에 대한 유리 전이 온도들은 풀러렌 나노입자 중량 분율 (weight fraction) 의 함수로서 나타낸다. 도 8은 나노입자 재료의 양 및 타입에 기초하여 상이한 폴리머들의 사용을 예시한다.Referring now to Figure 8, raising the glass transition temperature of sacrificial braces may also allow polymers with lower glass transition temperatures (Tg) to be used. Therefore, additional options may be available for preparing less expensive composites and still producing drying without collapse. Just as an example, the glass transition temperatures for different types of polymers are expressed as a function of fullerene nanoparticle weight fraction. Figure 8 illustrates the use of different polymers based on the amount and type of nanoparticle material.

일부 타입들의 나노입자 재료들은 HAR 구조체들 사이의 공간들을 부분적으로만 충진할 수도 있고 인접한 HAR 구조체들 사이에 보이드들 (충진되지 않은 영역들) 을 남길 수도 있다. HAR 구조체들 사이의 공간들의 부분적인 충진은 HAR 구조체들에 응력을 줄 수도 있다. 일부 경우들에서, 어닐링은 희생 브레이싱 재료가 보이드들을 충진하는 것을 도울 수도 있고 HAR 구조체들에 대한 응력을 감소시킬 수도 있다. 다른 경우들에서, 희생 브레이싱 재료는 최대 허용가능한 디바이스 처리 온도보다 낮은 온도들에서 유리 전이 또는 상 전이를 겪지 않을 수도 있다. 이와 같이, 어닐링은 희생 브레이싱 재료가 제거 전에 보이드들을 충진하는 것을 돕지 않을 수도 있다.Some types of nanoparticle materials may only partially fill the spaces between HAR structures or leave voids (unfilled areas) between adjacent HAR structures. Partial filling of the spaces between HAR structures may stress the HAR structures. In some cases, annealing may help the sacrificial bracing material fill voids and reduce stresses on HAR structures. In other cases, the sacrificial bracing material may not undergo a glass transition or phase transition at temperatures below the maximum allowable device processing temperature. As such, annealing may not help the sacrificial bracing material fill voids prior to removal.

일부 예들에서, 희생 브레이싱 재료는 폴리머에 비해 나노입자-풍부일 수도 있다. 일부 예들에서, 나노입자-풍부는 나노입자 재료 대 폴리머의 중량비가 1:1 이상, 1.05:1 이상, 1.1:1 초과, 1.2:1 이상, 1.5:1 이상, 2:1 이상을 참조한다. 일부 예들에서, 용매에 대한 고체의 중량비는 0.40 이하, 0.25 이하, 0.2 이하, 0.15 이하, 0.1 이하, 또는 0.05 이하일 수도 있다. 고체는 폴리머성 고체 및 나노입자 재료 고체를 포함할 수도 있다. 상기 언급된 바와 같이, 용매들의 예들은 유기 용매들 및 물을 포함할 수도 있다.In some examples, the sacrificial bracing material may be nanoparticle-rich compared to polymers. In some examples, nanoparticle-rich refers to a weight ratio of nanoparticle material to polymer of at least 1:1, at least 1.05:1, at least 1.1:1, at least 1.2:1, at least 1.5:1, at least 2:1. In some examples, the weight ratio of solid to solvent may be less than 0.40, less than 0.25, less than 0.2, less than 0.15, less than 0.1, or less than 0.05. Solids may include polymeric solids and nanoparticle material solids. As mentioned above, examples of solvents may include organic solvents and water.

희생 브레이싱 재료는 또한 표면 장력을 하강시키고 그리고/또는 HAR 구조체들 사이의 공간들의 충진 및 HAR 구조체들의 코팅 균일성을 개선하기 위해 하나 이상의 계면활성제들을 포함할 수도 있다. 상기 언급된 바와 같이, 사용된 계면활성제는 혼화성, 플라즈마를 사용한 제거 능력, 및 표면 장력 효과에 기초하여 선택될 수도 있다.The sacrificial bracing material may also include one or more surfactants to lower the surface tension and/or improve the coating uniformity of the HAR structures and the filling of the spaces between the HAR structures. As mentioned above, the surfactants used may be selected based on miscibility, removal ability using plasma, and surface tension effects.

폴리머는 액체 또는 고체일 수도 있고 희생 브레이싱 재료의 유동성을 개선한다. 폴리머는 유기계 폴리머, 유기계 올리고머, 하나 이상의 유기 분자들, 및/또는 이온성 액체들을 포함할 수도 있다. 일부 예들에서, 폴리머는 플라즈마 화학반응을 사용하여 휘발될 수 있다. 일부 예들에서, 폴리머는 나노입자 재료를 갖는 용매에 혼화될 수 있다.The polymer may be liquid or solid and improves the fluidity of the sacrificial bracing material. The polymer may include organic-based polymers, organic-based oligomers, one or more organic molecules, and/or ionic liquids. In some examples, the polymer may be volatilized using plasma chemistry. In some examples, the polymer can be miscible in a solvent with the nanoparticle material.

보다 우수한 충진을 위해 상승된 유동성을 갖는 희생 브레이싱 재료를 제공하는 용액의 예는 7 wt%의 풀러올 (나노입자들), 15000 g/mol보다 작은 분자량을 갖는 5 wt%의 폴리아크릴아미드 (폴리머), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 그리고 나머지는 탈이온수 (용매) 를 포함한다. 보다 우수한 충진을 위해 상승된 유동성을 갖는 희생 브레이싱 재료를 제공하는 또 다른 예시적인 용액은 7 wt%의 풀러올 (나노입자 재료), 1000 g/mol보다 작은 분자량을 갖는 3 wt%의 폴리에틸렌 글리콜 (폴리머), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함한다. 일부 나노입자-풍부 예들에서, 용액은 최대 10 wt%의 폴리머, 최대 20 wt%의 나노입자, 최대 5 wt%의 계면활성제, 그리고 나머지는 용매를 포함할 수도 있다. 구체적인 예들이 제공되지만, 중량비들 또는 재료들은 충진, 코팅 균일성, 막 두께, 및 합성물 희생 브레이싱 재료의 목표된 열적 특성들을 최적화하도록 조정될 수 있다.An example of a solution that provides a sacrificial bracing material with increased fluidity for better packing is 7 wt% fullerol (nanoparticles), 5 wt% polyacrylamide (polymer) with a molecular weight less than 15000 g/mol ), 0.2 wt% of ammonium dodecyl sulfate (surfactant), and the remainder is deionized water (solvent). Another exemplary solution that provides a sacrificial bracing material with increased flowability for better packing is 7 wt% fullerol (nanoparticle material), 3 wt% polyethylene glycol with a molecular weight less than 1000 g/mol ( polymer), 0.2 wt% of ammonium dodecyl sulfate (surfactant), and deionized water (solvent). In some nanoparticle-rich examples, the solution may include up to 10 wt% polymer, up to 20 wt% nanoparticles, up to 5 wt% surfactant, and the remainder solvent. Although specific examples are provided, weight ratios or materials can be adjusted to optimize fill, coating uniformity, film thickness, and desired thermal properties of the composite sacrificial bracing material.

이제 도 9a 및 도 9b를 참조하면, 희생 브레이싱 재료의 예가 도시된다. 도 9a에서, 어닐링 전의 2 개의 필라들 (216), 2 개의 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (904) 를 포함하는 기판의 일부가 도시된다. 도 9b에서, 어닐링 후에 2 개의 필라들 (216), 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (904) 를 포함하는 기판의 일부가 도시된다.Referring now to Figures 9A and 9B, examples of sacrificial bracing materials are shown. 9A, a portion of the substrate is shown including two pillars 216, the space 220 between the two pillars 216, and sacrificial bracing material 904 before annealing. 9B, a portion of the substrate including two pillars 216, the space 220 between pillars 216, and sacrificial bracing material 904 is shown after annealing.

도 9a 및 도 9b의 예들에서, 희생 브레이싱 재료 (904) 는 폴리머를 포함하지 않고 공간 (220) 을 부분적으로만 충진하여 기판 (212) 근방에 보이드 (908) 를 남긴다. 단지 예를 들면, 희생 브레이싱 재료 (904) 는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생할 수도 있다. 최대 허용가능한 디바이스 처리 온도까지의 온도들로 어닐링을 수행함에도 불구하고, 희생 브레이싱 재료 (904) 는 어닐링 동안 유리 전이를 겪지 않는다. 따라서, 어닐링 후에 보이드 (908) 가 남는다.In the examples of FIGS. 9A and 9B , the sacrificial bracing material 904 does not include polymer and only partially fills the space 220 , leaving a void 908 near the substrate 212 . By way of example only, sacrificial bracing material 904 can be prepared from an exemplary solution comprising 7 wt% fullerol (nanoparticle material), 0.2 wt% ammonium dodecyl sulfate (surfactant), and deionized water (solvent). It may happen. Despite performing the annealing at temperatures up to the maximum allowable device processing temperature, the sacrificial bracing material 904 does not undergo a glass transition during annealing. Therefore, voids 908 remain after annealing.

이제 도 10a 및 도 10b를 참조하면, 희생 브레이싱 재료의 또 다른 예가 도시된다. 도 10a에서, 어닐링 전의 필라들 (216), 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (1004) 를 포함하는 기판의 일부가 도시된다. 도 10b에서, 어닐링 후에 필라들 (216), 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (1004) 를 포함하는 기판의 일부가 도시된다. 도 10a 및 도 10b의 예들에서, 희생 브레이싱 재료 (1004) 는 폴리머를 포함한다. 희생 브레이싱 재료 (1004) 는 또한 계면활성제를 포함할 수도 있다. 단지 예를 들면, 희생 브레이싱 재료 (1004) 는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 3 wt%의 폴리 에틸렌 글리콜 (폴리머), 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생할 수도 있다.Referring now to FIGS. 10A and 10B, another example of a sacrificial bracing material is shown. 10A, a portion of the substrate is shown including pillars 216, space 220 between pillars 216, and sacrificial bracing material 1004 before annealing. 10B, a portion of the substrate including pillars 216, space 220 between pillars 216, and sacrificial bracing material 1004 is shown after annealing. In the examples of FIGS. 10A and 10B, sacrificial bracing material 1004 includes a polymer. Sacrificial bracing material 1004 may also include a surfactant. By way of example only, sacrificial bracing material 1004 includes 7 wt% fullerol (nanoparticle material), 0.2 wt% ammonium dodecyl sulfate (surfactant), 3 wt% polyethylene glycol (polymer), and It may also arise from exemplary solutions containing ionic water (solvent).

도 10a에서, 희생 브레이싱 재료 (1004) 는 공간 (220) 을 부분적으로만 충진할 수도 있고, 이는 기판 (212) 근방에 보이드 (1008) 를 남긴다. 도 10b에서, 희생 브레이싱 재료 (1004) 가 공간 (220) 내로 흐르고 보이드 (1008) 충진한 후가 도시된다. 희생 브레이싱 재료 (1004) 는 공간 (220) 내로 흐르고 어닐링을 사용하거나 사용하지 않고 보이드 (1008) 를 충진할 수도 있다. 보이드 (1008) 내로 흐르고 보이드 (1008) 를 충진하는 희생 브레이싱 재료 (1004) 는 희생 브레이싱 재료 (1004) 의 완전한 제거 또는 부분적인 제거 후에 HAR 구조체의 붕괴를 방지하는 것을 돕는다.In FIG. 10A , the sacrificial bracing material 1004 may only partially fill the space 220 , leaving a void 1008 near the substrate 212 . 10B, sacrificial bracing material 1004 is shown after flowing into space 220 and filling void 1008. Sacrificial bracing material 1004 may flow into space 220 and fill voids 1008 with or without annealing. Sacrificial bracing material 1004 flowing into and filling voids 1008 helps prevent collapse of the HAR structure following complete or partial removal of sacrificial bracing material 1004.

이제 도 11a를 참조하면, 복수의 필라들 (216) 및 필라들 (216) 간의 공간들 (220) 을 포함하는 기판이 도시된다. 도 11a에서, 폴리머를 포함하지 않는 희생 브레이싱 재료가 사용되었다. 단지 예를 들면, 도 11a의 희생 브레이싱 재료는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생할 수도 있다. 도시된 바와 같이, 필라들 (216) 은 어닐링 후에도, 인접한 필러들 간의 보이드들로 인해 서로를 향하여 인출될 수도 있다.Referring now to FIG. 11A , a substrate is shown comprising a plurality of pillars 216 and spaces 220 between the pillars 216 . In Figure 11A, a sacrificial bracing material containing no polymer was used. By way of example only, the sacrificial bracing material of FIG. 11A is prepared from an exemplary solution comprising 7 wt% fullerol (nanoparticle material), 0.2 wt% ammonium dodecyl sulfate (surfactant), and deionized water (solvent). It may happen. As shown, even after annealing, the pillars 216 may be drawn toward each other due to voids between adjacent pillars.

이제 도 11b를 참조하면, 기판은 복수의 필라들 (216) 및 필라들 (216) 간의 공간들 (220) 을 포함한다. 도 11b에서, 폴리머를 포함하는 희생 브레이싱 재료가 사용되었고 어닐링이 수행되었다. 단지 예를 들면, 도 11b의 희생 브레이싱 재료는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 3 wt%의 폴리 에틸렌 글리콜 (폴리머) 분자량 600 g/mol, 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생될 수도 있다. 도시된 바와 같이, 필라들 (216) 은 도 11a의 예보다 적게 서로를 향해 인출된다.Referring now to FIG. 11B , the substrate includes a plurality of pillars 216 and spaces 220 between the pillars 216 . In Figure 11b, a sacrificial bracing material comprising polymer was used and annealing was performed. By way of example only, the sacrificial bracing material of FIG. 11B includes 7 wt% fullerol (nanoparticle material), 0.2 wt% ammonium dodecyl sulfate (surfactant), 3 wt% polyethylene glycol (polymer) molecular weight 600 g. /mol, and deionized water (solvent). As shown, the pillars 216 are drawn toward each other less than in the example of FIG. 11A.

일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 방법이 기술된다. 방법은 (a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계로서, 용매가 기화될 때, 희생 브레이싱 재료가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계; 및 희생 브레이싱 재료를 휘발시키기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 단계를 포함한다.In one aspect, a method for drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The method includes (i) wet etching, and (ii) wet cleaning, and (iii) a substrate using at least one of (a) a wet etching solution, and (b) a wet cleaning solution, and (c) a wet rinsing solution, respectively. After at least one of wet rinsing, and without drying the substrate, depositing a solution comprising a polymer component, a nanoparticle component, and a solvent between the plurality of HAR structures, wherein when the solvent evaporates, the sacrificial bracing material is formed. A polymer component that precipitates from solution and at least partially fills the plurality of HAR structures, wherein the sacrificial bracing material comprises (i) a polymer material from the polymer component in solution and (ii) a nanoparticle material from the nanoparticle component in solution. depositing a solution comprising , nanoparticle components, and a solvent between the plurality of HAR structures; and exposing the substrate to a plasma generated using plasma gas chemistry to volatilize the sacrificial bracing material.

다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1:1 이상이다. 다른 특징들에서, 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1.2:1 이상이다. 다른 특징들에서, 용액은 계면활성제를 포함한다. 다른 특징들에서, 나노입자 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 나노입자 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 분자량은 15,000 g/mol 미만이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 5:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 10:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 1:1 이상이다. 다른 특징들에서, 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 폴리머 재료의 제 1 유리 전이 온도는 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮다. 다른 특징들에서, 플라즈마는 다운스트림 플라즈마이다.In other features, the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1:1. In other features, the solution comprises a weight fraction of solids to solvent of less than or equal to 0.4. In other features, the solution includes 5% by weight polyacrylamide having a molecular weight of less than 15,000 g/mol, 7% fullerol, 0.2% ammonium dodecyl sulfate, and the balance deionized water. In other features, the solution includes 7 weight percent fullerol, 3 weight percent polyethylene glycol with a molecular weight less than 1000 g/mol, 0.2 weight percent ammonium dodecyl sulfate, and the balance deionized water. In other features, the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1.2:1. In other features, the solution includes a surfactant. In other features, the maximum size of the nanoparticle material is less than one-half the distance between two adjacent HAR structures of the plurality of HAR structures. In other features, the maximum size of the nanoparticle material is less than 20 nm. In other features, the maximum size of the polymer material is less than one-half the distance between two adjacent HAR structures of the plurality of HAR structures. In other features, the maximum size of the polymer material is less than 20 nm. In other features, the molecular weight of the polymeric material is less than 15,000 g/mol. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 5:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 10:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 1:1. In other features, the solution comprises a weight fraction of solids to solvent of less than or equal to 0.4. In other features, the solution includes 10% polyacrylamide, 0.2% fullerol, 0.2% ammonium dodecyl sulfate, and the balance deionized water. In other features, the solution includes 1 weight percent fullerol, 10 weight percent polyacrylamide, 0.2 weight percent ammonium dodecyl sulfate, and the balance deionized water. In other features, the first glass transition temperature of the polymeric material is lower than the second glass transition temperature of the sacrificial bracing material. In other features, the plasma is a downstream plasma.

일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 시스템이 기술된다. 시스템은, 프로세싱 챔버; 프로세싱 챔버 내에 배치된 기판 지지부; 프로세싱 챔버로 가스 혼합물을 전달하기 위한 가스 전달 시스템; 기판으로 용액을 전달하도록 구성된 유체 전달 시스템; 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기; 및 유체 전달 시스템, 가스 전달 시스템 및 플라즈마 생성기와 통신하는 제어기를 포함한다. 제어기는 (a) 습식 에칭 용액, 또는 (b) 습식 세정 용액, 또는 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 동작 및 희생 브레이싱 재료를 휘발시키기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 동작을 위해 구성된다. 용매가 기화될 때, 희생 브레이싱 재료가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함한다.In one aspect, a system for drying a substrate comprising a plurality of high aspect ratio (HAR) structures is described. The system includes a processing chamber; A substrate support disposed within the processing chamber; a gas delivery system for delivering the gas mixture to the processing chamber; a fluid delivery system configured to deliver a solution to a substrate; a plasma generator configured to generate plasma within the processing chamber; and a controller in communication with the fluid delivery system, gas delivery system, and plasma generator. The controller controls (i) wet etching, and (ii) wet cleaning, and (iii) of the substrate using at least one of (a) a wet etching solution, or (b) a wet cleaning solution, or (c) a wet rinsing solution, respectively. After at least one of wet rinsing and without drying the substrate, depositing a solution comprising polymer components, nanoparticle components, and solvent between the plurality of HAR structures and plasma gas chemistry to volatilize the sacrificial bracing material. It is configured for the operation of exposing the substrate to plasma generated using. When the solvent evaporates, a sacrificial bracing material precipitates from the solution and at least partially fills the plurality of HAR structures, the sacrificial bracing material comprising (i) a polymeric material from the polymeric component of the solution and (ii) a nanoparticle component of the solution. It contains nanoparticle materials.

다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1:1 이상이다. 다른 특징들에서, 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1.2:1 이상이다. 다른 특징들에서, 용액은 계면활성제를 포함한다. 다른 특징들에서, 나노입자 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 나노입자 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 분자량은 15,000 g/mol 미만이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 5:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 10:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 1:1 이상이다. 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 폴리머 재료의 제 1 유리 전이 온도는 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮다. 다른 특징들에서, 플라즈마 생성기는 프로세싱 챔버 내에서 다운스트림 플라즈마를 생성하도록 구성된다.In other features, the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1:1. In other features, the solution comprises a weight fraction of solids to solvent of less than or equal to 0.4. In other features, the solution includes 5% by weight polyacrylamide having a molecular weight of less than 15,000 g/mol, 7% fullerol, 0.2% ammonium dodecyl sulfate, and the balance deionized water. In other features, the solution includes 7 weight percent fullerol, 3 weight percent polyethylene glycol with a molecular weight less than 1000 g/mol, 0.2 weight percent ammonium dodecyl sulfate, and the balance deionized water. In other features, the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1.2:1. In other features, the solution includes a surfactant. In other features, the maximum size of the nanoparticle material is less than one-half the distance between two adjacent HAR structures of the plurality of HAR structures. In other features, the maximum size of the nanoparticle material is less than 20 nm. In other features, the maximum size of the polymer material is less than one-half the distance between two adjacent HAR structures of the plurality of HAR structures. In other features, the maximum size of the polymer material is less than 20 nm. In other features, the molecular weight of the polymeric material is less than 15,000 g/mol. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 5:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 10:1. In other features, the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 1:1. The solution contains a weight fraction of solids to solvent of not more than 0.4. In other features, the solution includes 10% polyacrylamide, 0.2% fullerol, 0.2% ammonium dodecyl sulfate, and the balance deionized water. In other features, the solution includes 1 weight percent fullerol, 10 weight percent polyacrylamide, 0.2 weight percent ammonium dodecyl sulfate, and the balance deionized water. In other features, the first glass transition temperature of the polymeric material is lower than the second glass transition temperature of the sacrificial bracing material. In other features, the plasma generator is configured to generate a downstream plasma within the processing chamber.

전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.The foregoing description is merely illustrative in nature and is not intended to limit the disclosure, their application or uses in any way. The broad teachings of the disclosure may be embodied in various forms. Accordingly, although the disclosure includes specific examples, the true scope of the disclosure should not be so limited, as other modifications will become apparent from a study of the drawings, the specification, and the following claims. As used herein, at least one of the phrases A, B, and C should be interpreted to mean logically (A or B or C), using the non-exclusive logical OR, and "at least one A , at least one B, and at least one C". It should be understood that one or more steps within a method may be performed in a different order (or simultaneously) without changing the principles of the disclosure.

일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.In some implementations, a controller is part of a system that may be part of the examples described above. These systems may include semiconductor processing equipment, including a processing tool or tools, a chamber or chambers, a platform or platforms for processing, and/or specific processing components (wafer pedestals, gas flow systems, etc.) . These systems may be integrated with electronics to control the operation of semiconductor wafers or substrates before, during, and after processing. An electronic device may be referred to as a “controller” that may control a system or various components or sub-parts of systems. The controller controls delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, and power settings, depending on the processing requirements and/or type of system. , radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and motion settings, tools and other transfer tools and/or It may also be programmed to control any of the processes disclosed herein, including wafer transfers into and out of loadlocks connected or interfaced with a particular system.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, a controller has various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, etc. It may also be defined as an electronic device. Integrated circuits are chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one that executes program instructions (e.g., software). It may also include one or more microprocessors or microcontrollers. Program instructions may be instructions delivered to the controller or to the system in the form of various individual settings (or program files) that specify operating parameters for executing a particular process on or for a semiconductor wafer. In some embodiments, operating parameters may be used to achieve one or more processing steps during fabrication of dies of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or wafers. It may be part of a recipe prescribed by an engineer.

제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.The controller may, in some implementations, be coupled to or part of a computer that may be integrated into the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be all or part of a fab host computer system or within the “cloud,” which may enable remote access to wafer processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from multiple manufacturing operations, changes parameters of current processing, and performs processing steps that follow the current processing. You can also enable remote access to the system to configure, or start new processes. In some examples, a remote computer (eg, a server) may provide process recipes to the system over a network, which may include a local network or the Internet. The remote computer may include a user interface that allows entry or programming of parameters and/or settings that are later transferred to the system from the remote computer. In some examples, the controller receives instructions in the form of data that specify parameters for each of the process steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of tool the controller is configured to control or interface with and the type of process to be performed. Accordingly, as discussed above, the controller may be distributed, for example, by comprising one or more individual controllers that are networked together and cooperate for a common purpose, for example, the processes and controls described herein. An example of a distributed controller for these purposes is one or more integrated circuits on the chamber that communicate with one or more remotely located integrated circuits (e.g., at the platform level or as part of a remote computer) that combine to control the process on the chamber. It could be circuits.

비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 플라즈마 스트립 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.Without limitation, example systems include a plasma etch chamber or module, a plasma strip chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etch (ALE) chamber or module, ion implantation chamber or module, track It may also include a chamber or module, and any other semiconductor processing systems that may be used in or associated with the fabrication and/or fabrication of semiconductor wafers.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.As described above, depending on the process step or steps to be performed by the tool, the controller may be used in material transfer to move containers of wafers to and from tool locations and/or load ports within the semiconductor fabrication plant. It may communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout the factory, a main computer, another controller or tools. .

Claims (40)

복수의 고 종횡비 (HAR: HIGH ASPECT RATIO) 구조체들을 포함하는 기판을 건조하기 위한 방법에 있어서,
상기 방법은,
(a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 상기 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 상기 기판을 건조하지 않고:
폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 상기 복수의 HAR 구조체들 사이에 증착하는 단계; 및
상기 용매가 기화될 때, 희생 브레이싱 재료 (sacrificial bracing material) 가 상기 용액으로부터 침전되고 상기 복수의 HAR 구조체들을 적어도 부분적으로 충진하여, 상기 희생 브레이싱 재료를 휘발시키는 단계를 포함하고,
상기 희생 브레이싱 재료는 (i) 상기 용액의 상기 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 상기 용액의 상기 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
In a method for drying a substrate containing a plurality of high aspect ratio (HAR) structures,
The above method is,
(i) wet etching, and (ii) wet cleaning, and (iii) wet rinsing of the substrate using at least one of (a) a wet etching solution, and (b) a wet cleaning solution, and (c) a wet rinsing solution, respectively. After at least one of rinsing, and without drying the substrate:
depositing a solution comprising a polymer component, a nanoparticle component, and a solvent between the plurality of HAR structures; and
When the solvent evaporates, sacrificial bracing material precipitates from the solution and at least partially fills the plurality of HAR structures, volatilizing the sacrificial bracing material,
The sacrificial bracing material comprises (i) polymeric material from the polymeric component of the solution and (ii) nanoparticle material from the nanoparticle component of the solution.
제 1 항에 있어서,
상기 용액의 상기 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate comprising HAR structures, wherein the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1:1.
제 2 항에 있어서,
상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 2,
A method for drying a substrate containing HAR structures, wherein the solution comprises a weight fraction of solids to solvent of less than or equal to 0.4.
제 2 항에 있어서,
상기 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올 (fullerol), 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 2,
The solution contains 5% by weight polyacrylamide with a molecular weight of less than 15,000 g/mol, 7% fullerol, 0.2% ammonium dodecyl sulfate, and the balance deionized water. A method for drying a substrate containing
제 2 항에 있어서,
상기 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 2,
The solution comprises 7% by weight fullerol, 3% by weight polyethylene glycol with a molecular weight of less than 1000 g/mol, 0.2% by weight ammonium dodecyl sulfate, and the balance deionized water. Method for drying.
제 1 항에 있어서,
상기 용액의 상기 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1.2:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate comprising HAR structures, wherein the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1.2:1.
제 1 항에 있어서,
상기 용액은 계면활성제를 더 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate containing HAR structures, wherein the solution further includes a surfactant.
제 1 항에 있어서,
상기 나노입자 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate comprising HAR structures, wherein the maximum size of the nanoparticle material is less than half the distance between two adjacent HAR structures of the plurality of HAR structures.
제 8 항에 있어서,
상기 나노입자 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 8,
A method for drying a substrate containing HAR structures, wherein the maximum size of the nanoparticle material is less than 20 nm.
제 8 항에 있어서,
상기 폴리머 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 8,
A method for drying a substrate comprising HAR structures, wherein the maximum size of the polymer material is less than half the distance between two adjacent HAR structures of the plurality of HAR structures.
제 10 항에 있어서,
상기 폴리머 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 10,
A method for drying a substrate containing HAR structures, wherein the maximum size of the polymer material is less than 20 nm.
제 1 항에 있어서,
상기 폴리머 재료의 분자량은 15,000 g/mol 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate comprising HAR structures, wherein the polymeric material has a molecular weight of less than 15,000 g/mol.
제 1 항에 있어서,
상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 5:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate comprising HAR structures, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 5:1.
제 1 항에 있어서,
상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 10:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate comprising HAR structures, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 10:1.
제 1 항에 있어서,
상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
A method for drying a substrate comprising HAR structures, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 1:1.
제 15 항에 있어서,
상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 15,
A method for drying a substrate containing HAR structures, wherein the solution comprises a weight fraction of solids to solvent of less than or equal to 0.4.
제 15 항에 있어서,
상기 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 15,
A method for drying a substrate containing HAR structures, wherein the solution includes 10% by weight polyacrylamide, 0.2% by weight fullerol, 0.2% by weight ammonium dodecyl sulfate, and the balance deionized water.
제 15 항에 있어서,
상기 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 15,
The solution comprises 1% by weight fullerol, 10% by weight polyacrylamide, 0.2% by weight ammonium dodecyl sulfate, and the balance deionized water.
제 15 항에 있어서,
상기 폴리머 재료의 제 1 유리 전이 온도는 상기 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮은, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 15,
A method for drying a substrate comprising HAR structures, wherein the first glass transition temperature of the polymer material is lower than the second glass transition temperature of the sacrificial bracing material.
제 1 항에 있어서,
상기 희생 브레이싱 재료를 휘발시키는 단계는 플라즈마 가스 화학물질을 사용하여 생성된 플라즈마에 상기 기판을 노출시키는 단계를 포함하고, 상기 플라즈마는 다운스트림 플라즈마인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
According to claim 1,
Wherein volatilizing the sacrificial bracing material includes exposing the substrate to a plasma generated using a plasma gas chemistry, wherein the plasma is a downstream plasma.
복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 시스템에 있어서,
상기 시스템은,
프로세싱 챔버;
상기 프로세싱 챔버 내에 배치된 기판 지지부;
상기 프로세싱 챔버로 가스 혼합물을 전달하기 위한 가스 전달 시스템;
상기 기판으로 용액을 전달하도록 구성된 유체 전달 시스템;
상기 유체 전달 시스템 및 상기 가스 전달 시스템과 통신하고,
(a) 습식 에칭 용액, 또는 (b) 습식 세정 용액, 또는 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 상기 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 하나 후에, 그리고 상기 기판을 건조하지 않고:
폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 상기 복수의 HAR 구조체들 사이에 증착하며,
상기 용매가 기화될 때, 희생 브레이싱 재료가 상기 용액으로부터 침전되고 상기 복수의 HAR 구조체들을 적어도 부분적으로 충진하여, 상기 희생 브레이싱 재료를 휘발시키도록 구성되는 제어기를 포함하고,
상기 희생 브레이싱 재료는 (i) 상기 용액의 상기 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 상기 용액의 상기 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
A system for drying a substrate comprising a plurality of high aspect ratio (HAR) structures, comprising:
The system is,
processing chamber;
a substrate support disposed within the processing chamber;
a gas delivery system for delivering a gas mixture to the processing chamber;
a fluid delivery system configured to deliver a solution to the substrate;
communicate with the fluid delivery system and the gas delivery system;
(i) wet etching, and (ii) wet cleaning, and (iii) wet rinsing of the substrate using at least one of (a) a wet etching solution, or (b) a wet cleaning solution, or (c) a wet rinsing solution, respectively. After either rinsing, and without drying the substrate:
depositing a solution comprising a polymer component, a nanoparticle component, and a solvent between the plurality of HAR structures;
a controller configured to volatilize the sacrificial bracing material when the solvent evaporates, causing the sacrificial bracing material to precipitate from the solution and at least partially fill the plurality of HAR structures;
The system for drying a substrate comprising HAR structures, wherein the sacrificial bracing material comprises (i) polymeric material from the polymeric component of the solution and (ii) nanoparticle material from the nanoparticle component of the solution.
제 21 항에 있어서,
상기 용액의 상기 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate comprising HAR structures, wherein the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1:1.
제 22 항에 있어서,
상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 22,
A system for drying a substrate containing HAR structures, wherein the solution comprises a weight fraction of solids to solvent of less than or equal to 0.4.
제 22 항에 있어서,
상기 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 22,
The solution comprises HAR structures, comprising 5% by weight polyacrylamide with a molecular weight of less than 15,000 g/mol, 7% by weight fullerol, 0.2% by weight ammonium dodecyl sulfate, and the balance deionized water. A system for drying substrates.
제 22 항에 있어서,
상기 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 22,
The solution comprises 7% by weight fullerol, 3% by weight polyethylene glycol with a molecular weight of less than 1000 g/mol, 0.2% by weight ammonium dodecyl sulfate, and the balance deionized water. A system for drying.
제 21 항에 있어서,
상기 용액의 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1.2:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate containing HAR structures, wherein the weight ratio of the nanoparticle component of the solution to the polymer component of the solution is at least 1.2:1.
제 21 항에 있어서,
상기 용액은 계면활성제를 더 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate containing HAR structures, wherein the solution further comprises a surfactant.
제 21 항에 있어서,
상기 나노입자 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate comprising HAR structures, wherein the maximum size of the nanoparticle material is less than half the distance between two adjacent HAR structures of the plurality of HAR structures.
제 28 항에 있어서,
상기 나노입자 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to clause 28,
A system for drying a substrate containing HAR structures, wherein the maximum size of the nanoparticle material is less than 20 nm.
제 28 항에 있어서,
상기 폴리머 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to clause 28,
A system for drying a substrate comprising HAR structures, wherein the maximum size of the polymer material is less than half the distance between two adjacent HAR structures of the plurality of HAR structures.
제 30 항에 있어서,
상기 폴리머 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 30,
A system for drying a substrate containing HAR structures, wherein the maximum size of the polymer material is less than 20 nm.
제 21 항에 있어서,
상기 폴리머 재료의 분자량은 15,000 g/mol 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate containing HAR structures, wherein the molecular weight of the polymeric material is less than 15,000 g/mol.
제 21 항에 있어서,
상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 5:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate comprising HAR structures, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 5:1.
제 21 항에 있어서,
상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 10:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate comprising HAR structures, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 10:1.
제 21 항에 있어서,
상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
A system for drying a substrate comprising HAR structures, wherein the weight ratio of the polymer component of the solution to the nanoparticle component of the solution is at least 1:1.
제 35 항에 있어서,
상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 35,
A system for drying a substrate containing HAR structures, wherein the solution comprises a weight fraction of solids to solvent of less than or equal to 0.4.
제 35 항에 있어서,
상기 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 35,
A system for drying a substrate containing HAR structures, wherein the solution comprises 10% by weight polyacrylamide, 0.2% by weight fullerol, 0.2% ammonium dodecyl sulfate, and the balance deionized water.
제 35 항에 있어서,
상기 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 35,
A system for drying a substrate containing HAR structures, wherein the solution includes 1% by weight fullerol, 10% by weight polyacrylamide, 0.2% by weight ammonium dodecyl sulfate, and the balance deionized water.
제 35 항에 있어서,
상기 폴리머 재료의 제 1 유리 전이 온도는 상기 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮은, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 35,
A system for drying a substrate comprising HAR structures, wherein the first glass transition temperature of the polymer material is lower than the second glass transition temperature of the sacrificial bracing material.
제 21 항에 있어서,
상기 프로세싱 챔버 내에서 다운스트림 플라즈마를 생성하도록 구성된 플라즈마 생성기를 더 포함하고,
상기 제어기는 상기 플라즈마 생성기에 의해 생성된 플라즈마에 상기 기판을 노출시킴으로써 상기 희생 브레이싱 재료를 휘발시키도록 구성되는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
According to claim 21,
further comprising a plasma generator configured to generate a downstream plasma within the processing chamber;
wherein the controller is configured to volatilize the sacrificial bracing material by exposing the substrate to a plasma generated by the plasma generator.
KR1020160070160A 2015-06-04 2016-06-07 Method for collapse-free drying of high aspect ratio structures KR102591277B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/730,457 US10008396B2 (en) 2014-10-06 2015-06-04 Method for collapse-free drying of high aspect ratio structures
US14/730,457 2015-06-04

Publications (2)

Publication Number Publication Date
KR20160143578A KR20160143578A (en) 2016-12-14
KR102591277B1 true KR102591277B1 (en) 2023-10-18

Family

ID=57575963

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160070160A KR102591277B1 (en) 2015-06-04 2016-06-07 Method for collapse-free drying of high aspect ratio structures

Country Status (2)

Country Link
KR (1) KR102591277B1 (en)
TW (1) TW201712752A (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018186231A (en) * 2017-04-27 2018-11-22 信越化学工業株式会社 Method for cleaning and drying semiconductor substrate
JP7227757B2 (en) 2018-05-31 2023-02-22 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP2022149423A (en) * 2021-03-25 2022-10-06 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013016699A (en) * 2011-07-05 2013-01-24 Toshiba Corp Substrate processing method and substrate processing apparatus

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9666427B2 (en) * 2013-06-21 2017-05-30 Lam Research Corporation Method of collapse-free drying of high aspect ratio structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013016699A (en) * 2011-07-05 2013-01-24 Toshiba Corp Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
KR20160143578A (en) 2016-12-14
TW201712752A (en) 2017-04-01

Similar Documents

Publication Publication Date Title
KR102525288B1 (en) Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
US10008396B2 (en) Method for collapse-free drying of high aspect ratio structures
KR102450620B1 (en) Dielectric repair for emerging memory devices
US9466511B2 (en) Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
TWI419258B (en) System and method for forming patterned copper lines through electroless copper plating
KR100810163B1 (en) Method for manufacturing semiconductor device, substrate processing system, and recording medium
JP4919871B2 (en) Etching method, semiconductor device manufacturing method, and storage medium
KR102591277B1 (en) Method for collapse-free drying of high aspect ratio structures
JP4999419B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
WO2003034154A1 (en) A method of photoresist removal in the presence of a dielectric layer having a low k-value
US9679770B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
TW202249116A (en) Metal-based liner protection for high aspect ratio plasma etch
WO2016009717A1 (en) Substrate cleaning method, substate processing method, substrate processing system, and semiconductor device manufacturing method
TWI451493B (en) Methods of low-k dielectric and metal process integration
JP2021527952A (en) Efficient cleaning and etching of high aspect ratio structures
CN115803846A (en) System and method for removing nitride-containing films
JP4067357B2 (en) Etching method
TW202201484A (en) Methods for euv inverse patterning in processing of microelectronic workpieces
JP2012235130A (en) Ashing method and ashing device
TW202105490A (en) Etch stop layer
KR102512832B1 (en) Substrate cleaning method and apparatus
TW202212979A (en) Methods to reduce microbridge defects in euv patterning for microelectronic workpieces

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant