TW201706726A - 在極紫外光微影中的臨界尺寸變化校正 - Google Patents

在極紫外光微影中的臨界尺寸變化校正 Download PDF

Info

Publication number
TW201706726A
TW201706726A TW105119550A TW105119550A TW201706726A TW 201706726 A TW201706726 A TW 201706726A TW 105119550 A TW105119550 A TW 105119550A TW 105119550 A TW105119550 A TW 105119550A TW 201706726 A TW201706726 A TW 201706726A
Authority
TW
Taiwan
Prior art keywords
region
processing
reticle
change
reflectance
Prior art date
Application number
TW105119550A
Other languages
English (en)
Other versions
TWI711886B (zh
Inventor
薩杰 歐榭科夫
瓦拉帝米爾 庫萊亞科夫
弗德狄克 布魯赫胥
優瓦 彼得斯
Original Assignee
卡爾蔡司Smt有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 卡爾蔡司Smt有限公司 filed Critical 卡爾蔡司Smt有限公司
Publication of TW201706726A publication Critical patent/TW201706726A/zh
Application granted granted Critical
Publication of TWI711886B publication Critical patent/TWI711886B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明揭示一種在極紫外光(EUV)微影蝕刻內修正臨界尺寸(CD)變化之方法,該方法包含:映射由包含一EUV微影蝕刻光罩的一微影蝕刻系統所形成的一晶圓曝光區之該CD變化;決定在該光罩的一反射多層區域內針對一EUV輻射工作波長上反射率產生變化之處理參數,然後計算出該反射率改變來修正該映射的CD變化。一處理光束被引導至該區域,然後依照該已決定的參數使用該光束來處理該區域。

Description

在極紫外光微影中的臨界尺寸變化校正
本案係關於在極紫外光微影中校正臨界尺寸變化之方法及系統。
隨著積體電路持續不斷縮小,運用波長193nm的深紫外光(DUV)輻射之微影蝕刻處理通常不敷使用。為了支援進一步縮小化,需要波長幅度短於DUV輻射的輻射。
因此微影蝕刻處理研發廠商轉而投向極紫外光(EUV)輻射,例如:EUV微影蝕刻可運用波長13.5nm的輻射。典型的13.5nm輻射源包含其中產生輻射的電漿(例如雷射產生的電漿)。
在許多態樣中,根據EUV輻射的微影蝕刻系統不同於根據DUV輻射的系統。DUV系統通常牽涉到透射光學設備(例如透鏡)。DUV光罩通常牽涉到透明基材,基材之上沈積反射材料或吸收材料的圖案。因為材料會吸收EUV輻射,所有EUV微影光學設備都根據反射,而非透射與折射。典型的EUV光學設備係根據多層反射器,依據布拉格定律來反射輻射。多層反射器通常包含大量交替的鉬(Mo)與矽(Si)層(例如40至50層或更多或更少)。該等反射器用於聚焦與光束引導光學設備,以及用於光罩本身。典型的EUV光罩包含其上沈積有吸收材料的反射器。
積體電路的微影印刷內之處理變化特徵在於,印刷在像是矽晶圓這類基材上的結構(例如線條與空格)之大小變化。印刷線條與空格的變化(variations)以晶圓不同區域上的平均臨界尺寸(CD,critical dimension)大小來量測。由於光罩的不完美,印刷在晶圓上的線條與空格之寬度可發生變化。晶圓上CD變化的其他來源可包含例如:夾持晶圓的夾具上不平整或微影蝕刻處理當中的變化。
如此依照本發明的具體實施例提供一種方法。一種在極紫外光(EUV)微影蝕刻內修正臨界尺寸(CD)變化之方法,該方法包含:映射由包含一EUV微影蝕刻光罩的一微影蝕刻系統所形成的一晶圓曝光區之該CD變化;決定一或複數個處理參數,在該光罩的一反射多層區域內針對一EUV輻射工作波長上反射率產生變化,然後計算出該反射率變化來修正該已映射的CD變化;引導一處理光束至該區域;以及根據該已決定的一或複數個參數,用該光束處理該區域。
更進一步,依照本發明的具體實施例,該處理光束包含一連續波或脈衝雷射。
更進一步,依照本發明的具體實施例,該脈衝雷射包含一飛秒脈衝雷射。
更進一步,依照本發明的具體實施例,該等一或複數個參數之一參數選自於由脈衝能量、脈衝週期、脈衝率、曝光時間、掃描率、焦距長度、距離以及掃描圖案間距所構成之參數群組。
更進一步,依照本發明的具體實施例,決定該等一或複數個參數包含運用含有依照該等一或複數個參數不同值處理一反射多層表面的複數個區域之校正結果。
更進一步,依照本發明的具體實施例,該工作波長實質上等 於13.5nm。
更進一步,依照本發明的具體實施例,該產生的工作波長反射率改變包含改變該區域的反射率光譜峰值,或改變該區域的反射率光譜峰值之波長位移。
更進一步,依照本發明的具體實施例,引導該光束至該區域包含轉譯該光束或該光罩的來源或轉譯操作光束光學設備。
更進一步,依照本發明的具體實施例,使用該光束處理該區域包含掃描該區域之光束。
更進一步,依照本發明的具體實施例,掃描該光束包含使用一光柵圖案掃描該光束。
更進一步,依照本發明的具體實施例,該光柵圖案包含一系列(sequence)重疊的雷射點。
更進一步,依照本發明的具體實施例,該系列重疊雷射點的一雷射點直徑與該光柵圖案間距之比例在1至100的範圍內。
更進一步,依照本發明的具體實施例,該處理能量密度範圍從10mJ/cm2至10J/cm2
更進一步,依照本發明的具體實施例,該能量密度小於200mJ/cm2
更進一步,依照本發明的具體實施例,映射該CD變化包含使用該光罩印刷一晶圓的試驗、該光罩的試驗或感應該微影蝕刻系統內輻射的一圖案。
更進一步,依照本發明的具體實施例,該處理光束加熱該區域。
依照本發明的具體實施例,進一步提供一光罩,該光罩包含:在一基材上一多層(a multiplayer),該多層反射EUV輻射的工作波長,該多層的一或複數個區域經過局部處理,修改該等一或複數個區域之一區 域的該工作波長上之一反射率,如此補償一映射的臨界尺寸(CD)變化;以及於該工作波長上吸收的材料之一圖案,該圖案位於該多層上。
更進一步,依照本發明的具體實施例,該多層包含複數個交替(alternating)的矽層與鉬層。
更進一步,依照本發明的具體實施例,該一或複數個區域經過局部熱處理。
依照本發明的具體實施例,進一步提供一種在EUV微影蝕刻內修正CD變化之系統,該系統包含:一輻射源,來產生一處理光束;以及一控制器,其設置成引導一EUV微影蝕刻光罩的一反射多層區域上之該處理光束,以及依照一或複數個參數使用該光束操作該來源以處理該區域,以產生在該區域內EUV輻射工作波長上的反射率改變,其中該反射率改變係被計算出以修正一映射的CD變化。
更進一步,依照本發明的具體實施例,該處理光束設置成透過該光罩的一基材照射該區域。
更進一步,依照本發明的具體實施例,該系統包含用來引導、聚焦、濾光或準直該光束的光學設備。
更進一步,依照本發明的具體實施例,該光學設備設置成掃描該區域上之該光束。
更進一步,依照本發明的具體實施例,該輻射源包含一連續波或脈衝雷射。
更進一步,依照本發明的具體實施例,該系統設置成在該輻射源與該光罩之間產生相對轉換。
更進一步,依照本發明的具體實施例,該系統設置成使用該處理光束加熱該區域。
依照本發明的具體實施例,進一步提供一種利用EUV微影蝕刻光罩的黑色邊框來減少反射之方法,該方法包含:將一處理光束引導 至該黑色邊框上該光罩的一反射多層;以及使用該光束處理該區域,如此將該多層的反射降低到至少一預定反射率值。
更進一步,依照本發明的具體實施例,通過一背面塗佈與一光罩基材,將該處理光束引導至該反射多層。
更進一步,依照本發明的具體實施例,該預定反射率值為5%。
更進一步,依照本發明的具體實施例,該處理光束加熱該反射多層。
10‧‧‧EUV微影蝕刻系統
12‧‧‧EUV輻射源
14‧‧‧基材
16‧‧‧光阻
18‧‧‧EUV輻射光束
19‧‧‧反射光罩
20‧‧‧反射多層
21‧‧‧多層表面
22‧‧‧吸收圖案
24‧‧‧已處理多層區域
26‧‧‧光罩基材
28‧‧‧EUV光學設備
29‧‧‧檢查單元
30‧‧‧光罩處理系統
31‧‧‧替代光罩處理系統
32‧‧‧處理輻射源
34‧‧‧光束光學設備
36‧‧‧處理光束
38‧‧‧控制器
40‧‧‧處理器
42‧‧‧資料儲存單元
44‧‧‧輸入/輸出單元
46‧‧‧轉換動作
50‧‧‧圖表
52‧‧‧工作波長
53‧‧‧最大反射率值
54‧‧‧光譜反射率曲線
55‧‧‧峰值反射率值
56‧‧‧減少的光譜反射率曲線
57‧‧‧離峰反射率值
58‧‧‧位移的光譜反射率曲線
59‧‧‧位移波長
60‧‧‧多層
62‧‧‧單元
64‧‧‧基準標記
70‧‧‧EUV光罩
72‧‧‧晶粒
74‧‧‧黑色邊框
80‧‧‧黑色邊框處理系統
81‧‧‧黑色邊框
82‧‧‧吸收層
84‧‧‧已處理多層區域
86‧‧‧反射光罩
88‧‧‧光罩晶粒
89‧‧‧背側塗佈層
100‧‧‧CD變化修正方法
200‧‧‧黑色邊框處理方法
為了更了解本發明以及要理解的實際應用,因此提供以下圖式並於下文當中引用。應注意,圖式僅為範例,並未限制本發明範疇。同樣的組件會用同樣的參考編號來標示。
圖1依照本發明的具體實施例,圖解例示運用臨界尺寸(CD)變化修正的一極紫外光(EUV)微影蝕刻系統。
圖2A依照本發明的具體實施例,圖解例示一種處理EUV微影蝕刻的反射光罩,來修正臨界尺寸變化之系統。
圖2B圖解例示圖2A中該系統的變化之組件。
圖3依照本發明的具體實施例,圖解例示一反射多層的區域內反射率之修改,其處理用來修正CD變化。
圖4依照本發明的具體實施例,圖解例示一反射多層表面,用於處理校正來修正CD變化。
圖5為依照本發明的具體實施例,例示用於修正EUV微影內CD變化的方法之流程圖。
圖6依照本發明的具體實施例,圖解例示具有一黑色邊框,適合進行反射降低處理的一光罩之配置。
圖7依照本發明的具體實施例,圖解例示用於減少一黑色邊框反射率的處理應用。
圖8為依照本發明的具體實施例,用於減少一黑色邊框反射率的方法之流程圖。
在下列詳細說明中,將公佈許多設定細節以提供對本發明通盤的了解。不過,精通技術的人士將會了解到,不用這些特定細節也可實施本發明。在其他實例中,已知的方法、程序、組件、模組、單元及/或電路並未詳述,如此就不會模糊本發明。
雖然本發明的具體實施例並不受限於此,不過討論中所運用的詞彙,像是例如「處理」、「計算」、「計數」、「決定」、「建立」、「分析」、「檢查」等等可代表電腦的操作及/或處理、電腦平台、計算系統或其他電子計算裝置,其中用電腦暫存器及/或記憶體之內物理(例如電子)計量所呈現的資料操縱及/或轉換成電腦暫存器及/或記憶體或其他資訊非臨時性儲存媒體(例如記憶體,可儲存指令來執行操作及/或處理)之內物理計量所類似呈現的其他資料。雖然本發明的具體實施例並不受限於此,不過本文內使用的詞彙「複數」及「複數個」可包括例如「多個」或「二或更多」。詞彙「複數」或「複數個」可用於整個說明書內,來說明二或更多組件、裝置、元件、單元、參數等等。除非明確陳述,否則本文內說明的該方法具體實施例並不受限於特定順序或序列。此外,某些說明的方法具體實施例或其元件,在某些時間點上可同時或合併發生或執行。除非有所指示,否則本文內使用的連接詞「或」應理解為包括(任意或所有陳述選項)。
根據本發明的具體實施例,使用連續波(CW)雷射、脈衝雷射(例如超快脈衝雷射)來處理一極紫外光(EUV)光罩的反射多層,或將之加熱。針對具有特定工作微影蝕刻波長(例如13.5nm或其他波長)的EUV輻 射,加熱可修改該反射器的該反射屬性。例如:EUV輻射的所選取工作微影蝕刻波長可為運用EUV微影蝕刻在晶圓上印刷,來產生積體電路或其他電子組件非常有用的波長。該多層反射器的修改可用來補償臨界尺寸(CD)變化。該熱處理可降低該多層反射器在該工作微影蝕刻波長上的反射率(例如針對特定入射或反射角度的測量),如此降低的反射率可補償在該處理之前於該反射光罩內偵測到的CD變化。依照量測的CD變化程度,可決定所需的反射降低。降低該光罩區域內該已反射EUV輻射的亮度,可降低該區域內的該CD(例如其中該CD高於一目標值)。
包含該反射光罩的微影蝕刻系統內之CD變化可被映射(mapped)、偵測或測量。映射可為直接,例如檢查利用由微影蝕刻處理使用含光罩的照相系統所產生之晶圓的結果。掃描式電子顯微鏡(SEM,Scanning electron micrography)或已處理基材的印刷晶圓之其他試驗可揭露一或更多CD變化。該晶圓所暴露的輻射圖案可稱之為該微影蝕刻系統的晶圓曝光場,或是由該微影蝕刻系統所形成的晶圓曝光場。選擇性地或額外地,該映射可為間接。例如光罩本身可檢查,或可檢測沿著合併有該EUV光罩的該微影蝕刻系統中光學路徑之輻射圖案。這種間接映射測量的結果可併入一個模型中(例如射線軌跡、場計算、曝光模擬或其他模型),來預測在由含光罩的微影蝕刻系統所產生晶圓上之效果。
CD變化可能是因為光罩本身不均勻、光罩的不均勻照射或影響併入該光罩的一EUV微影蝕刻系統內EUV輻射圖案之其他因素。不均勻照射可能是因為照明來源(例如發出工作微影蝕刻波長輻射的雷射產生電漿)的不均勻,或是因為系統光學設備的其他組件(例如在微影蝕刻期間用來引導該照明並對焦的該反射表面)。在某些案例中,許多產生CD變化的貢獻組合可相互增強,或可部分或完全相互抵消。如此,當映射該等CD變化時,需要將所有貢獻都列入考慮。
其中處理該多層反射器來達到補償反射率降低的處理程序 之參數,可由一校正處理來決定。例如:多層反射器的不同區域可以經過不同處理,並且評估其結果。例如:當雷射的操作參數改變時,該多層反射器的不同區域可暴露在雷射照射之下。例如:當該雷射的操作參數在一或多個方向中不同時,該變化可導致照射該多層反射器的不同區域。這種照射差異可包含不同照射率(例如脈衝雷射的不同脈衝能量強度、掃描率、照射期間掃描圖案或光柵圖案的間距)或不同的總照射量(例如曝光時間、曝光劑量)。
校正處理期間的曝光結果可用不同方式檢查,反射率測量或光譜反射率測量可揭露出在工作微影蝕刻波長上,或在包含該工作微影蝕刻波長的一光譜區域內,反射率之變化。光譜反射測量可揭露包含工作微影蝕刻波長的反射率光譜內之波長位移。
圖1依照本發明的具體實施例,圖解例示運用臨界尺寸變化修正的一極紫外光(EUV)微影蝕刻系統。
EUV微影蝕刻系統10設置成使用由反射光罩19的吸收圖案22所製圖之EUV輻射,將基材14上的光阻16曝光。吸收圖案22包含線條或由吸收至少工作微影蝕刻波長的EUV輻射之材料所塑造的其他部分。吸收圖案22設置成遮蔽並避免EUV輻射讓光阻16上的對應圖案曝光。於EUV輻射曝光或曝光不足都會影響稍後由顯影溶液或材料曝光時,對於光阻16的區域移除之敏感性。
EUV輻射光束18由EUV輻射源12產生,例如:EUV輻射源12可包含雷射產生的電漿源、放電產生的電漿源、自由電子雷射或可產生EUV輻射的其他裝置。EUV輻射源12可包含準直(collimating)或過濾光學設備,來產生在工作微影蝕刻波長上(例如以此為中心)的窄頻輻射之EUV輻射光束18。該工作微影蝕刻波長可為13.5nm,或其他合適的EUV波長。例如:EUV輻射光束18的光學設備可包含一或多個選擇性反射鏡(例如多層反射鏡),其選擇性將特定波長的輻射反射至一特定方向(例如由於布 拉格效應)。
EUV輻射光束18的光學路徑可包含EUV光學設備28(由凹面多層反射表面集中並圖解呈現)。EUV光學設備28可包含一或多個結合或實際上分隔的組件,其設置成引導、聚焦、過濾、準直、吸收或光學修改EUV輻射光束18。雖然在反射光罩19與光阻16之間的EUV輻射光束18之光學路徑內圖解呈現EUV光學設備28,不過EUV光學設備28的某些組件可放置在其他地方,例如在EUV輻射源12與反射光罩19之間,或EUV輻射光束18的光學路徑內其他地方。
反射光罩19包含反射多層(multilayer)20,反射多層可包含複數個(例如40至50個或其他數量)雙層。例如:每一雙層都可包含一層鉬以及一層矽。選擇性反射13.5nm輻射的典型雙層可具有大約6.9nm的厚度。在某些案例中,最上(例如露出的)層可包其他材料,例如釕。
反射多層20可包含一或多個已處理多層區域24(應注意,一實際已處理的多層區域24通常遠大於吸收圖案22的獨立特徵,並且通常許多這種特徵都包含在單一已處理多層區域24的區域之內)。一已處理多層區域24可經過局部處理(例如利用雷射光或其他),如此修改於該工作微影蝕刻波長上EUV輻射的反射。例如:該處理可影響反射多層20的局部結構。該局部結構可由一或多個機械性或結構性效果(例如膨脹、收縮、變形或其他機械或結構效果)、化學效果(例如反射多層20的組件之間的熱誘導化學相互作用,或其他化學效果)或其他效果所影響。因該處理而做的局部結構的修改可修改已處理多層區域24的光學屬性。該光學屬性的修改可包含:相較於反射多層20的未處理區域之對於該工作微影蝕刻波長上該反射率,降低已處理多層區域24的對於該工作微影蝕刻波長上該反射率(當反射多層20的反射率並未針對該工作微影蝕刻波長最佳化,則該處理可導致該工作微影蝕刻波長上的反射率增加)。
一已處理多層區域24可能沒有明確定義的邊界。因此,在 該工作微影蝕刻波長上的該反射率,可在從已處理多層區域24轉換至反射多層20的相鄰未處理區域時逐漸改變。更進一步,相鄰的已處理多層區域24可彼此部分地重疊,而該工作微影蝕刻波長上的該反射率從該相鄰已處理多層區域24之一者改變至另一者。
光罩基材26可由具有低熱膨脹係數的材料所形成(例如根據熔融二氧化矽的材料,或低熱膨脹係數的另一種材料)。低熱膨脹係數可確定,隨著EUV微影蝕刻期間預期到的溫度改變,吸收圖案22不會明顯膨脹或收縮(例如膨脹或收縮太小,以至於不會影響到該微影蝕刻處理結果所產生的組件)。
當EUV輻射光束18從已處理的多層區域24反射出來時,該降低的反射會補償受照射光阻16內一或多個先前已偵測CD變化。例如:這種CD變化可來自於由EUV輻射源12、吸收圖案22、EUV光學設備28或反射光罩19或EUV微影蝕刻系統10的另一個組件所導致的不準確。
例如:EUV微影蝕刻系統10可包含一檢查單元29或與之通訊。檢查單元29可包含一或多個裝置,其設置成檢查或試驗使用反射光罩19所產生的一晶圓印刷。
反射多層20的一或多個區域可經過加熱或處理,以形成一或多個已處理的多層區域24。
圖2A依照本發明的具體實施例,圖解例示一種處理EUV微影蝕刻的反射光罩,來修正臨界尺寸變化之系統。
光罩處理系統30設置成處理反射光罩19的反射多層20之一區域,以形成一已處理的多層區域24。光罩處理系統30設置成處理反射多層20的一或多個區域,以形成已處理的多層區域24。反射多層20的一區域可受到該區域之內沈積的能量所影響,例如:該區域可受到利用雷射所產生的一處理光束36所照射,或者受到局部加熱。
處理光束36可由處理輻射源32所產生,例如:處理輻射源 32可包含或代表一極短脈衝雷射,其產生皮秒脈衝或更短的脈衝。該極短脈衝雷射可為飛秒脈衝雷射。處理光束36可由光束光學設備34聚焦、引導、準直或修改,例如:光束光學設備34可引導處理光束36來掃描通過預定掃描圖案內,例如光柵圖案內,反射多層20的區域。這種光柵圖案的特徵在於這種參數為間距(例如序列雷射點之間的距離)以及掃描率。這種光柵圖案可設置成在該區域內佈署一些能量,足夠產生一已處理的多層區域24之能量。
例如:反射多層20的該反射率在處理之前可為大約0.7,而改變反射多層20的反射率之處理可包含使用具有能量強度範圍從大約10mJ/cm2至大約10J/cm2的雷射輻射,照射反射多層20。例如:將反射率從大約70%的初始值改變成大約40%(改變30%),則適用於大約2J/cm2的能量強度。在某些案例中,適當的反射率改變補償可小於3%。在這種案例中,該照射的能量強度大約是200mJ/cm2或更低。
為了產生平順並且均勻的反射率變化,一序列重疊的雷射點可用光柵圖案形式,掃描過反射多層20的表面。該光柵圖案的特徵在於雷射點直徑對間距的比例,例如:點直徑對間距的比例可在從大約1至大約100的範圍內。在某些案例中,可套用非重疊雷射點的圖案。
處理光束36在反射多層20的許多區域上都受到引導,例如:處理輻射源32、光束光學設備34或反射光罩19(或其上固定反射光罩19的臺階或平台)之一或多者,可設置成相對於光罩處理系統30的一或多個其他組件,以一維或二維轉換動作(用轉換動作46圖解呈現)來移動。
控制器38可控制一或多個組件的操作或光罩處理系統30的操作,例如:控制器38可控制處理輻射源32(例如開啟或關閉、脈衝率或能量、波長範圍或處理輻射源32的其他操作參數)、光束光學設備34(例如焦距、光圈、掃描率、光柵圖案參數或光束光學設備34的其他操作)、轉譯動作46(例如轉譯機制的操作)之一或多者的操作或光罩處理系統30的其 他操作。
控制器38可包含一處理器40。另外或此外,控制器38可包含數位或類比電路,其設置成控制光罩處理系統30的一或多個組件之操作。
例如:處理器40可包含一或多個處理單元,例如用於一或多部電腦。處理器40的一或多個組件可併入光罩處理系統30的一或多個組件內。處理器40可設置成依照程式編輯的指令來操作。
處理器40可與輸入/輸出單元44通訊,輸入/輸出單元44可包含一電腦監視器或螢幕。處理器40可與輸入/輸出單元44通訊,來顯示光罩處理系統30的一或多個組件之狀態。在另一個範例中,輸入/輸出單元44可包含印表機、顯示面板、喇叭或可產生視覺、聽覺或觸覺輸出的其他裝置。
輸入/輸出單元44可包含一或多個使用者可操作控制器,可讓光罩處理系統30的使用者或操作者啟動、停止或控制光罩處理系統30的一或多個組件之操作。例如:輸入/輸出單元44的輸入裝置可包含鍵盤、小鍵盤、指標裝置、觸控螢幕或控制面板之一或多者,可讓使用者輸入命令、資料或指令來操作處理器40。
輸入/輸出單元44可與一或多個其他裝置通訊,或與之傳輸/接收資料。例如:在微影蝕刻中使用反射光罩19期間,輸入/輸出單元44可與用來測量CD變化的系統通訊。輸入/輸出單元44可接收來自EUV微影蝕刻系統10(圖1)的檢查單元29之資料。
處理器40可與資料儲存單元42通訊,資料儲存單元42可包含一或多個固定式或可移除式、揮發性或非揮發性、記憶體或資料儲存裝置。資料儲存單元42可用來儲存例如用於操作處理器40的程式編輯指令、操作期間處理器40所使用的資料或參數,或者處理器40的操作結果。例如:資料儲存單元42可用來儲存使用反射光罩19所生產的晶圓印刷之 檢查結果,以及儲存與反射多層20處理有關的參數,來產生一已處理多層區域24。
另外或除了藉由處理光束36直接照射反射多層20以外,可用通過光罩基材26的處理光束來處理反射多層20。
圖2B圖解例示圖2A中該系統的變化之組件。
在替代光罩處理系統31內,處理光束36在入射反射多層20之前先通過光罩基材26。如此,處理光束36避免照射到在反射多層20的已曝光側上之該吸收圖案。替代光罩處理系統31可設置成讓已處理的多層區域24位於反射多層20之內一有限深度上(例如靠近光罩基材26)。這種透過光罩基材26的照射比起直接照射已露出的多層表面21,能夠更細膩控制處理結果。例如:處理輻射源32的波長可經過選擇,如此處理光束36可通過光罩基材26而不被大量吸收,但是會受到反射多層20大量吸收。在某些案例中,光罩基材26的露出表面可包含可對處理光束36為透明的鍍膜(coating)。
對讓反射多層20的區域形成已處理多層區域24進行加熱或其他處理,可影響已處理多層區域24的反射率。
圖3依照本發明的具體實施例,圖解例示一反射多層的區域內反射率之修改,其處理用來修正CD變化。
圖表50顯呈現出反射多層區域的光譜反射率(R)隨波長(λ)而變之許多曲線。工作波長52呈現出EUV微影蝕刻系統的操作波長,並且其上使所要的該反射多層區域之反射率。處理之前,可設置該反射多層,讓工作波長52上的反射率最佳或最大化。
光譜反射率曲線54圖解呈現一反射多層中未處理區域的光譜反射率(為了簡化,只有圖解呈現該反射率曲線的中央第一階峰值)。光譜反射率曲線54經過最佳化,在工作波長52上有最大反射率值(例如大約70%或另一值)。
減少的光譜反射率曲線56圖解呈現一反射多層中已處理區域的光譜反射率。減少的光譜反射率曲線56可能是來自於該反射多層的一處理,該反射層降低該局部反射率,但不影響該反射率的波長相依性。該反射率針對工作波長52仍舊最佳化。然而,減少的光譜反射率曲線56之峰值反射率值55小於光譜反射率曲線54的最大反射率值53。類似地,在所有波長上,該反射率值相對於光譜反射率曲線54降低。
位移的光譜反射率曲線58圖解呈現一反射多層中已處理區域的光譜反射率,位移的光譜反射率曲線58可能是來自於該反射多層的一處理,其位移該局部反射率的該最佳波長,但不影響反射率值。位移的光譜反射率曲線58之該反射率係針對位移波長59最佳化。然而,位移波長59上的反射率值仍舊等於光譜反射率曲線54的最大反射率值53。然而,由於該波長位移,所以現在工作波長52上位移光譜反射率曲線58的該反射率值等於離峰反射率值57。
雖然在位移光譜反射率曲線58的範例中,位移波長59顯示成為比工作波長52還要短的波長,不過該位移可往相反方向(位移曲線的最大值為比工作波長52還要長的波長)。另請注意,所有或部分反射多層並非最佳化製造,如此最大反射率並不是在工作波長52上(例如類似於位移的光譜反射率曲線58)。在這種案例中,反射多層的適當處理可位移該光譜反射率,最大反射率位移至工作波長(例如光譜反射率曲線54內),如此提高工作波長52上的反射率(例如從離峰反射率曲線57至最大反射率值53)。
請注意,反射多層區域的處理可同時改變該光譜反射率曲線的最大值,以及將該光譜反射率曲線的最大值位移至另一個波長。如此,由減少的光譜反射率曲線56和由位移的光譜反射率曲線58所例示的效果都呈現在該已處理區域內。
利用校正程序可決定用於處理EUV微影蝕刻的反射光罩中反射多層區域之處理參數,該校正處理可包含處理複數個反射多層區域。 不同區域或區域群組都可依照不同的處理參數來處理。該區域可在處理之後試驗,以決定每組處理參數在反射率上的效果。該測量效果可儲存在資料庫內或對照表內,供日後參考。
當要處理一反射多層進行CD變化修正,可計算出修正該CD變化的反射多層區域之反射率變化。可搜尋已儲存的測量結果,來識別產生已計算的反射率改變之參數組。然後,依照該已識別的參數來處理該區域。
圖4依照本發明的具體實施例,圖解例示一反射多層表面,用於處理校正來修正CD變化。在圖4的討論中,都參考圖2中的項目。
多層表面60(為反射多層20的形式)的每一單元62都已經過處理。一單元可代表任何形狀或外型(並且不需要是如圖示的方形)之已處理區域。每一單元的位置都由一座標系統所決定,例如:可相對於一或多個基準標記64來定義一座標系統。
例如:多層表面可位於光罩處理系統30內反射層20之內。多層60可與轉換動作46一起移動,如此每一單元62都可受到處理光束36的照射。在照射期間,可操作處理輻射源32或光束光學設備34,如此依照不同處理參數照射不同單元62。可變處理參數可包含例如一或多個脈衝能量、脈衝週期、脈衝率、光柵圖案的掃描率、光柵圖案的間距、沈積的總能量、能量沈積率或其他處理參數。可變處理參數可定義聚焦情況(例如焦長、相對距離或影響光學設備或聚焦情況的其他參數)。每一單元62的處理參數可搭配對應單元62的座標,儲存在例如資料儲存單元42上。
在處理之後,可檢查每一單元62,以決定對於單元62的屬性之影響。許多檢查可為自動,亦可需要人類觀察員介入。
在工作波長上一單元62的EUV反射率之測量可決定該工作波長上反射率之改變。EUV光譜反射率的測量可判斷出反射率改變結果是來自於峰值反射率減少,或來自於該反射率光譜的波長位移。反射率改 變可與該對應處理參數一起儲存在資料庫或對照表內。當處理一反射多層20來形成一已處理多層區域24時,該區域具有設置成修正CD變化的反射率改變,則可諮詢該資料庫或對照表。
藉由光罩處理系統30的控制器38之一處理器40,可執行處理反射多層20來形成一或多個已處理多層區域24之方法。
圖5為依照本發明的具體實施例,例示用於修正EUV微影內CD變化的方法之流程圖。
請注意,有關本文內參照的任何流程將所例示方法分成分散操作,由流程圖的區塊所呈現,為了方便清楚都經過選擇。另外將例示的方法分成分散操作可獲得相同結果。應該了解,這種另外將例示方法分成分散操作可用來呈現所例示方法的其他具體實施例。
類似地,應該了解,除非有所說明,否則本文中利用任何流程區塊所呈現的操作例示執行順序,為了方便清楚都經過選擇。所例示方法的操作可用替代順序或同時執行,具有相同結果。應該了解,這種所例示方法的操作排序可用來呈現所例示方法的其他具體實施例。
CD變化修正方法100可由一光罩處理系統的控制器來執行,例如:CD變化修正方法100可由該控制器的處理器依照程式編輯指令操作來執行。CD變化修正方法100可在EUV微影蝕刻的一反射光罩之反射多層上執行。
CD變化修正方法100可在因為映射CD變化而已經計算修正CD變化所需反射率改變時執行(方塊110)。例如:已經根據使用該反射光罩的EUV微影蝕刻結果,計算出所需反射率改變的計算。該EUV微影蝕刻的結果或一光罩本身,可經過適當檢查裝置的檢查,來偵測CD變化。用來修正該已偵測CD變化的反射率改變可被加以計算,例如:根據一光阻暴露在EUV輻射之下與該光阻啟動臨界(例如該啟動臨界隨著該曝光劑量演算法線性改變)之間的已知關係,計算出該反射率改變。如此,若該偵測 到的CD變化高於指定值,則EUV曝光降低可改變該CD值,如此減少印刷線寬度或一孔的直徑為或接近該指定值。
產生該已決定反射率改變的處理參數可已決定(方塊120)。校正結果可用來識別產生該已決定反射率改變所需的處理參數,例如:在EUV光罩的反射器區域用來修正CD變化的校正操作期間已經產生或修改之對照表或資料庫,可用來識別處理參數來產生該已決定的反射率改變。在某些案例中,對應至該已決定反射率改變的處理參數並不會出現在該校正表或資料庫內。在此案例中,可運用來自類似反射率改變的處理參數外插或之間的內插,來計算所需的處理參數。
一處理光束可受引導至待處理的該反射多層區域(方塊130),例如:該輻射源或該反射光罩的橫向可轉換臺階可移動,將該處理輻射源帶到待處理的該區域附近。控制光束光學設備,對準在待處理區域上的該處理光束。
然後依照該已決定的處理參數來處理該區域(方塊140),例如:可操作一CW或脈衝雷射(包含極短脈衝雷射)光束,在一預定時間間隔含一預定區域分佈的期間,以預定輻射能源量來照射該區域。例如:該光束可掃描通過該區域的表面,例如在光柵圖案內。該光束可為預定方式的脈衝(例如脈衝能量、週期、速率、總曝光時間或其他脈衝參數)。
通常,一反射光罩包含複數個晶粒(die)或主動區。每一晶粒都與相鄰晶粒以邊界相隔,通常稱為黑色邊框。該黑色邊框可包含一層吸收EUV輻射的材料。在某些案例中,該黑色邊框具有大約2mm至大約3mm的寬度。在某些案例中,該吸收層可具有大約50mm至大約70mm的厚度(額外厚度可刻意排除,以避免或最小化該吸收層造成的陰影)。EUV吸收材料層通常沈積於該EUV光罩的該反射多層上。
雖然理想上黑色邊框不應該反射任何EUV輻射,但實際上,典型黑色邊框會反射最多3%的入射EUV輻射。大多數反射是由於EUV 吸收層不吸收的輻射之光罩反射多層所反射。該黑色邊框的反射會導致無法接受的CD改變,並且在該黑色邊框附近的晶粒之區域內造成對比的降低。在某些案例中,該EUV吸收層的區域可能失效(例如可能包含孔洞或吸收率降低的其他區域),如此可提昇(超過EUV吸收層的其他區域)EUV輻射對於該反射多層的穿透度。
根據本發明的具體實施例,利用加熱處理在該黑色邊框吸收層底下的該反射多層,可降低該黑色邊框的反射度。例如:在合適輻射源的輻射,例如CW雷射、脈衝雷射(例如極快,例如飛秒脈衝雷射)或其他合適的輻射源。該反射多層在該黑色邊框上的處理,可將該多層的反射率降低至一預定反射率值或更低。例如在該黑色邊框上處理的案例中,適用的處理可包含足夠能量的沈積,如此將該反射多層的反射率降低至不超過5%的反射率值(例如從大約60%至67%,例如65%的初始值降低至大約5%的最終值,或形成另一個最終值的另一個初始值)。結果,該黑色邊框的反射率可降低至一可接受值(例如從處理之前大約2.6%的初始反射率,例如吸收層的透射率大約20%之處,至處理之後大約0.2%的最終值,或從另一個初始值至另一個最終值)。
利用輻射加熱降低一黑色邊框上該反射多層的反射率,可為超越其他說明技術的優點。例如:降低該反射率的照射處理比起蝕刻掉該黑色邊框上的(該吸收層與)該多層比較好,因為這種蝕刻並不容易(例如需要蝕刻至大約300nm或更大的深度),會促成該光罩劣化(例如清潔期間),或可能導致非所要的充電(electrical charging)效果。
圖6依照本發明的具體實施例,圖解例示具有一黑色邊框,適合進行反射降低處理的一EUV光罩之配置。
EUV光罩70包含由黑色邊框74分隔的複數個晶粒72。
圖7依照本發明的具體實施例,圖解例示用於減少一黑色邊框反射率的處理應用。
反射光罩86包含一黑色邊框81,其分隔光罩晶粒88。黑色邊框81包含一吸收層82,其沈積在反射多層20上。
在黑色邊框處理系統80內,處理光束36在反射多層20的已處理多層區域84之內累積加熱輻射。在已處理多層區域84內累積的能量降低藉由已處理多層區域84反射的EUV輻射,因此已處理多層區域84的反射率降低就足以將黑色邊框81的反射率降低至可接受的程度。
在某些案例中,已處理多層處理光束36限於反射多層20在吸收層82內已知缺陷附近的區域,例如:使用一或多種檢查或測試技術已偵測到一缺陷。
在某些案例中,處理光束36可通過一背側塗佈層89以及光罩基材26,例如:背側塗佈層89可包含氮化鉻(CrN)。
圖8為依照本發明的具體實施例,用於減少一黑色邊框反射率的方法之流程圖。
黑色邊框處理方法200可由一光罩處理系統的控制器來執行,例如:黑色邊框處理方法200可由該控制器的處理器執行,該控制器係依照程式編輯指令來操作。黑色邊框處理方法200可在EUV微影蝕刻的一反射光罩之黑色邊框上執行。
一處理光束受引導至該黑色邊框上的該反射多層(方塊210),例如:該輻射源或該反射光罩的橫向可轉換臺階可移動,將該處理輻射源帶到該黑色邊框附近。可控制光束光學設備,以對準在該黑色邊框上該反射多層上的該處理光束。在某些案例中,該處理光束受引導至該黑色邊框區域上已經發現有缺陷的該反射層。
該處理光束可操作來照射該黑色邊框上該反射多層,以便降低該黑色邊框上該反射多層的反射率(方塊220)。例如:可操作一CW或脈衝雷射(包含極短脈衝雷射)光束,在一預定時間間隔含一預定區域分佈的期間,以預定輻射能源量來照射該黑色邊框上的該反射多層。例如:該光束 可掃描通過該區域的表面,例如在光柵圖案內。該光束可為預定方式的脈衝(例如脈衝能量、週期、速率、總曝光時間或其他脈衝參數)。
本文說明不同的具體實施例。特定具體實施例的特色可與其他具體實施例的特色結合,如此特定具體實施例可為多個具體實施例的特色之組合。上面本發明具體實施例的說明僅用於引例以及說明,並非用於專屬或限制本發明於所說明的形式中。精通技術人士應該了解,根據上述教導,可有許多修改、變化、替換、改變以及同等項。因此應了解,申請專利範圍涵蓋位於本發明真正精神內的所有這種修改與變更。
雖然本說明書已經例示和說明本發明特定特色,精通技藝人士可進行許多修改、替換、變更與改良。因此應了解,申請專利範圍涵蓋位於本發明真正精神內的所有這種修改與變更。
110-140‧‧‧步驟

Claims (31)

  1. 一種在極紫外光(EUV)微影蝕刻內修正臨界尺寸(CD)變化之方法,該方法包含:映射由包含一EUV微影蝕刻光罩的一微影蝕刻系統所形成的一晶圓曝光區之該CD變化;決定一或複數個處理參數,在該光罩的一反射多層區域內針對一EUV輻射工作波長上反射率產生變化,然後計算出該反射率變化來修正該已映射的CD變化;引導一處理光束至該區域;以及根據該已決定的一或複數個參數,用該光束處理該區域。
  2. 如申請專利範圍第1項之方法,其中該處理光束包含一連續波或脈衝雷射的光束。
  3. 如申請專利範圍第2項之方法,其中該脈衝雷射包含一飛秒脈衝雷射。
  4. 如申請專利範圍第1項之方法,其中該等一或複數個參數之一參數選自於由脈衝能量、脈衝週期、脈衝率、曝光時間、掃描率、焦距長度、位置、距離以及掃描圖案間距所構成之參數群組。
  5. 如申請專利範圍第1項之方法,其中決定該等一或複數個參數包含運用含有依照該等一或複數個參數不同值處理一反射多層表面的複數個區域之校正結果。
  6. 如申請專利範圍第1項之方法,其中該處理光束透過該光罩的一基材 而受引導到達該區域。
  7. 如申請專利範圍第1項之方法,其中該於工作波長產生的反射率改變包含改變該區域的反射率光譜峰值,或改變該區域的反射率光譜峰值之波長位移。
  8. 如申請專利範圍第1項之方法,其中引導該光束至該區域包含轉換該光束或該光罩的來源或轉換操作光束光學設備。
  9. 如申請專利範圍第1項之方法,其中使用該光束處理該區域包含掃描該區域之光束。
  10. 如申請專利範圍第9項之方法,其中掃描該光束包含以一光柵圖案掃描該光束。
  11. 如申請專利範圍第10項之方法,其中該光柵圖案包含一系列重疊雷射點。
  12. 如申請專利範圍第11項之方法,其中該系列重疊雷射點的一雷射點直徑與該光柵圖案間距之比例在1至100的範圍內。
  13. 如申請專利範圍第1項之方法,其中該處理能量密度範圍從10mJ/cm2至10J/cm2
  14. 如申請專利範圍第13項之方法,其中該能量密度低於200mJ/cm2
  15. 如申請專利範圍第1項之方法,其中映射該CD變化包含檢查使用該光罩印刷之一晶圓、檢查該光罩、或檢測該微影蝕刻系統內輻射的一圖案。
  16. 如申請專利範圍第1項之方法,其中該處理光束加熱該區域。
  17. 一種光罩,包含:在一基材上一多層,該多層於EUV輻射的一工作波長上反射,該多層的一或複數個區域經過局部處理,以修改該等一或複數個區域之一區域的該工作波長上之一反射率,如此補償一映射的臨界尺寸(CD)變化;以及於該工作波長上吸收的材料之一圖案,該圖案位於該多層上。
  18. 如申請專利範圍第17項之光罩,其中該多層包含複數個交替的矽層與鉬層。
  19. 如申請專利範圍第17項之光罩,其中該一或複數個區域受到局部加熱處理。
  20. 一種用於在EUV微影蝕刻內修正一CD變化之系統,該系統包含:一輻射源,來產生一處理光束;以及一控制器,其設置成引導一EUV微影蝕刻光罩的一反射多層區域上之該處理光束,以及依照一或複數個參數使用該光束操作該來源以處理該區域,以在該區域內產生EUV輻射之工作波長上的反射率改變,然後計算該反射率改變來修正一映射的CD變化。
  21. 如申請專利範圍第20項之系統,其中該處理光束透過該光罩的一基材照射該區域。
  22. 如申請專利範圍第20項之系統,進一步包含光學設備,來引導、聚焦、過濾或準直該光束。
  23. 如申請專利範圍第22項之系統,其中該光學設備掃描該區域之光束。
  24. 如申請專利範圍第20項之系統,其中該輻射源包含一連續波或脈衝雷射。
  25. 如申請專利範圍第20項之系統,其中該系統設置成在該輻射源與該光罩之間產生相對轉換。
  26. 如申請專利範圍第20項之系統,其中該系統用該處理光束加熱該區域。
  27. 一種針對EUV微影蝕刻的一光罩之黑色邊框降低反射之方法,該方法包含:將來自一輻射源的一處理光束引導至該黑色邊框上該光罩的一反射多層;以及使用該處理光束處理該黑色邊框上該反射多層,如此將該多層的反射降低到至少一預定反射率值。
  28. 如申請專利範圍第27項之方法,其中該處理光束受引導通過一背側鍍膜和一光罩基材到達該反射多層。
  29. 如申請專利範圍第27項之方法,其中該預定的反射率值為5%。
  30. 如申請專利範圍第27項之方法,其中該處理光束加熱該反射多層。
  31. 如申請專利範圍第27項之方法,其中引導該處理光束包含將該處理光束引導至該黑色邊框的一缺陷區域上該反射多層。
TW105119550A 2015-06-22 2016-06-22 在極紫外光微影中的臨界尺寸變化校正 TWI711886B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IL239577 2015-06-22
IL239577A IL239577B (en) 2015-06-22 2015-06-22 Correction of variation in critical dimension in extreme ultraviolet lithography

Publications (2)

Publication Number Publication Date
TW201706726A true TW201706726A (zh) 2017-02-16
TWI711886B TWI711886B (zh) 2020-12-01

Family

ID=55022903

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105119550A TWI711886B (zh) 2015-06-22 2016-06-22 在極紫外光微影中的臨界尺寸變化校正
TW109137251A TWI750870B (zh) 2015-06-22 2016-06-22 在極紫外光微影中的臨界尺寸變化校正

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109137251A TWI750870B (zh) 2015-06-22 2016-06-22 在極紫外光微影中的臨界尺寸變化校正

Country Status (4)

Country Link
US (2) US10095101B2 (zh)
KR (1) KR102361450B1 (zh)
IL (1) IL239577B (zh)
TW (2) TWI711886B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111123650A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 生成布局图案的方法及控制系统
CN114153125A (zh) * 2020-09-08 2022-03-08 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3598231A1 (en) * 2018-07-16 2020-01-22 Carl Zeiss SMS Ltd. Method for modifying a lithographic mask
US20210033959A1 (en) * 2019-08-01 2021-02-04 Samsung Electronics Co., Ltd. Extreme ultraviolet photomask manufacturing method and semiconductor device fabrication method including the same
KR20210094835A (ko) 2020-01-22 2021-07-30 삼성전자주식회사 레이저 빔을 이용하여 반사형 포토마스크를 어닐링하는 방법
CN112729108B (zh) * 2020-12-18 2022-12-06 长江存储科技有限责任公司 一种光学关键尺寸ocd测量设备的校准方法
KR20220123810A (ko) 2021-03-02 2022-09-13 삼성전자주식회사 반사형 포토마스크 및 그 제조 방법
US11550229B1 (en) * 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60131203T2 (de) * 2000-08-25 2008-08-07 Asml Netherlands B.V. Lithographischer Apparat
US6821682B1 (en) * 2000-09-26 2004-11-23 The Euv Llc Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography
WO2003085709A1 (en) * 2002-04-11 2003-10-16 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
JP2007531249A (ja) 2003-07-18 2007-11-01 ユーシーエルティ リミテッド フォトマスク内の臨界寸法の変動を補正するための方法
EP1746460B1 (en) * 2005-07-21 2011-04-06 Shin-Etsu Chemical Co., Ltd. Photomask blank, photomask and fabrication method thereof
JP5211824B2 (ja) * 2008-04-21 2013-06-12 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクの製造方法
WO2009130956A1 (ja) * 2008-04-23 2009-10-29 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
EP2333816A4 (en) * 2008-09-05 2014-01-22 Asahi Glass Co Ltd REFLECTING MASK ROLLING FOR EUV LITHOGRAPHY AND METHOD OF MANUFACTURING THEREOF
JP5353230B2 (ja) * 2008-12-25 2013-11-27 大日本印刷株式会社 フォトマスクのパタン位置補正方法および位置補正されたフォトマスク
KR20110122928A (ko) * 2010-05-06 2011-11-14 삼성전자주식회사 반사형 극자외선 마스크 및 그의 제조 방법
EP2577398A1 (en) * 2010-05-27 2013-04-10 ASML Netherlands B.V. Multilayer mirror
DE102011078927B4 (de) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
WO2012028158A1 (en) * 2010-08-30 2012-03-08 Carl Zeiss Smt Gmbh Illumination system of a microlithographic projection exposure apparatus
JP5742389B2 (ja) * 2011-03-31 2015-07-01 凸版印刷株式会社 Euv露光用マスクの修正方法およびeuv露光用マスク
KR101656588B1 (ko) * 2011-08-26 2016-09-09 칼 짜이스 에스엠에스 엘티디 포토리소그래피용 광학 소자를 국부적으로 변형하기 위한 방법 및 장치
WO2013046641A1 (ja) * 2011-09-28 2013-04-04 凸版印刷株式会社 反射型マスクブランク及び反射型マスク、その製造方法
KR101958050B1 (ko) * 2012-04-18 2019-07-04 케이엘에이-텐코 코포레이션 극자외선 레티클의 임계 치수 균일성 모니터링
KR20150066966A (ko) * 2013-12-09 2015-06-17 삼성전자주식회사 포토마스크, 포토마스크의 에러 보정 방법, 포토마스크를 이용하여 제조된 집적회로 소자 및 그 제조 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111123650A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 生成布局图案的方法及控制系统
US11703762B2 (en) 2018-10-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing undesired light influence in extreme ultraviolet exposure
CN114153125A (zh) * 2020-09-08 2022-03-08 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
CN114153125B (zh) * 2020-09-08 2024-03-26 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法

Also Published As

Publication number Publication date
TWI711886B (zh) 2020-12-01
US10095101B2 (en) 2018-10-09
KR20160150609A (ko) 2016-12-30
TWI750870B (zh) 2021-12-21
TW202109210A (zh) 2021-03-01
IL239577A0 (en) 2015-11-30
US20160370697A1 (en) 2016-12-22
US10670955B2 (en) 2020-06-02
KR102361450B1 (ko) 2022-02-10
IL239577B (en) 2020-10-29
US20190004417A1 (en) 2019-01-03

Similar Documents

Publication Publication Date Title
US10670955B2 (en) Critical dimension variation correction in extreme ultraviolet lithography
KR102327900B1 (ko) 확률적 인식형 계측 및 제조
US10996568B2 (en) Methods and apparatus for metrology
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
KR20200010476A (ko) 엣지 러프니스 파라미터 결정
US11353796B2 (en) Method and apparatus for determining a radiation beam intensity profile
KR102649117B1 (ko) 기판의 결함 검사 방법 및 결함 검사 장치
US20230366815A1 (en) Metrology method for measuring an exposed pattern and associated metrology apparatus
US20230221659A1 (en) Assembly for collimating broadband radiation
JP2021527218A (ja) リフレクタおよびリフレクタの製造方法
US20220397834A1 (en) Measuring method and measuring apparatus
TW202004354A (zh) 用於深紫外光光學成像系統之任意波前補償器
TWI755098B (zh) 照明源及相關聯度量衡設備
EP4006641A1 (en) Metrology apparatus based on high harmonic generation and associated method
US20240003809A1 (en) Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
TWI781389B (zh) 製造反射式繞射光柵
EP3792673A1 (en) Assembly for collimating broadband radiation
NL2022599A (en) Methods and apparatus for metrology
JP2021531502A (ja) フォトリソグラフィマスクの基板に導入される1つまたは複数のピクセルの効果を決定するための方法および装置