TW201640568A - Integrated circuit structure and method for forming the same - Google Patents

Integrated circuit structure and method for forming the same Download PDF

Info

Publication number
TW201640568A
TW201640568A TW104118850A TW104118850A TW201640568A TW 201640568 A TW201640568 A TW 201640568A TW 104118850 A TW104118850 A TW 104118850A TW 104118850 A TW104118850 A TW 104118850A TW 201640568 A TW201640568 A TW 201640568A
Authority
TW
Taiwan
Prior art keywords
metal
metals
pitch
group
layer
Prior art date
Application number
TW104118850A
Other languages
Chinese (zh)
Other versions
TWI663638B (en
Inventor
林世欽
洪圭鈞
喆人 胡
陳明瑞
許振賢
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to US14/800,697 priority Critical patent/US9653346B2/en
Publication of TW201640568A publication Critical patent/TW201640568A/en
Application granted granted Critical
Publication of TWI663638B publication Critical patent/TWI663638B/en

Links

Abstract

An integrated circuits structure includes a semiconductor substrate, at least an non-planar field effect transistor (FET) device formed on the semiconductor substrate, and an interconnection structure formed on the semiconductor substrate. The non-planar FET device includes a plurality of fins and a gate electrode. The interconnection structure includes a plurality of first group metals and a plurality of second group metals. The first group metals are formed on the non-planar FET and the second group metals are formed on the first group metals. The first group metals include a first metal pitch and the second group metals include a second metal pitch. The second metal pitch is 1.2-1.5 times to the first metal pitch.

Description

積體電路結構及其製作方法Integrated circuit structure and manufacturing method thereof

本發明係有關於一種積體電路結構及其製作方法,尤指一種降低多重圖案化方法使用次數的積體電路結構及其製作方法。The invention relates to an integrated circuit structure and a manufacturing method thereof, in particular to an integrated circuit structure for reducing the number of times of using multiple patterning methods and a manufacturing method thereof.

在半導體積體電路之製程中,積體電路的微結構之製造,需要在如半導體基材/膜層、介電材料層、或金屬材料層等適當的基材或材料層中,利用如微影及蝕刻等製程,形成具有精確尺寸之微小圖案。為達到此一目的,在傳統的半導體技術中,係在目標材料層之上形成遮罩層(mask layer),以便先在該遮罩層中形成/定義這些微小圖案,隨後將該等圖案轉移至目標膜層。一般而言,遮罩層可包含藉由微影製程形成之圖案化光阻層,和/或利用該圖案化光阻層形成的圖案化遮罩層。隨著積體電路的複雜化,這些微小圖案的尺寸不斷地減小,所以用來產生特徵圖案的設備就必須滿足製程解析度及疊對準確度(overlay accuracy)的嚴格要求。在這一點上,解析度被視為在預定的製造條件下用來圖案化最小尺寸的影像的能力衡量值。In the process of the semiconductor integrated circuit, the fabrication of the microstructure of the integrated circuit needs to be utilized in a suitable substrate or material layer such as a semiconductor substrate/film layer, a dielectric material layer, or a metal material layer. Processes such as shadowing and etching form tiny patterns with precise dimensions. To achieve this goal, in conventional semiconductor technology, a mask layer is formed over the target material layer to form/define these minute patterns in the mask layer, and then transfer the patterns. To the target film layer. In general, the mask layer can comprise a patterned photoresist layer formed by a lithography process, and/or a patterned mask layer formed using the patterned photoresist layer. With the complication of integrated circuits, the size of these tiny patterns is continually decreasing, so the equipment used to generate the pattern must meet the stringent requirements of process resolution and overlay accuracy. At this point, the resolution is considered a measure of the ability to pattern the smallest size image under predetermined manufacturing conditions.

然而,隨著半導體科技不斷進步至85奈米(nanometer,nm)以下,單一圖案化(single patterning)方法已無法滿足製造微小線寬圖案之解析度需求或製程需求。是以,半導體業者現在係採用多重圖案化(multiple patterning)方法,例如雙重圖案化(double patterning)製程,作為克服微影曝光裝置之解析度極限的途徑。一般而言,在多重圖案化製程中,首先係將緻密圖案(其個別圖案尺寸及/或圖案間間距低於微影裝置之解析度極限)拆解至不同的光罩。隨後將該等光罩上的圖案轉移至光阻層/遮罩層,故可使不同光罩上的圖案組合成原始的目標圖案。However, as semiconductor technology continues to advance to 85 nanometers (nm), single patterning methods have been unable to meet the resolution requirements or process requirements for fabricating tiny linewidth patterns. Therefore, semiconductor companies are now adopting multiple patterning methods, such as double patterning processes, as a way to overcome the resolution limits of lithographic exposure devices. In general, in a multiple patterning process, a dense pattern (whose individual pattern size and/or inter-pattern spacing is below the resolution limit of the lithography apparatus) is first disassembled into different masks. The patterns on the masks are then transferred to the photoresist layer/mask layer so that the patterns on the different masks can be combined into the original target pattern.

由此可知,多重圖案化方法係為一精密且製程控制要求極高的製程方法,故多重圖案化方法的採用,無可避免地增加了製程複雜度與製程成本。It can be seen that the multi-patterning method is a process method with high precision and extremely high process control requirements, so the adoption of the multi-patterning method inevitably increases the process complexity and the process cost.

因此,本發明係提供一種在半導體製程中降低多重圖案化方法使用次數的製作方法。Accordingly, the present invention provides a method of fabricating the number of uses of a multiple patterning process in a semiconductor process.

根據本發明所提供之申請專利範圍,係提供一種積體電路結構,該積體電路結構包含有一半導體基底、至少一設置於該半導體基底上之非平面型(non-planar)場效電晶體(field effect transistor,以下簡稱為FET)元件、以及一設置於該半導體基底上之內連線結構。該非平面型FET元件包含有複數個鰭片結構與一閘極電極,而該內連線結構包含有複數個第一組金屬與複數個第二組金屬,該等第一組金屬係設置於該非平面型FET元件上,而該等第二組金屬係設置於該等第一組金屬上。該等第一組金屬包含有一第一金屬間距,該等第二組金屬包含一第二金屬間距,且該第二金屬間距係為該第一金屬間距的1.2倍至1.5倍。According to the scope of the invention provided by the present invention, an integrated circuit structure is provided, the integrated circuit structure comprising a semiconductor substrate, at least one non-planar field effect transistor disposed on the semiconductor substrate ( A field effect transistor (hereinafter referred to as FET) element and an interconnect structure disposed on the semiconductor substrate. The non-planar FET device includes a plurality of fin structures and a gate electrode, and the interconnect structure includes a plurality of first sets of metals and a plurality of second sets of metals, and the first set of metal lines are disposed on the non-planar The planar FET elements are disposed on the first set of metals. The first set of metals includes a first metal pitch, the second set of metals includes a second metal pitch, and the second metal pitch is 1.2 to 1.5 times the first metal pitch.

根據本發明所提供之申請專利範圍,另提供一種積體電路結構之製作方法,該製作方法包含有以下步驟。首先,提供一半導體基底,且該半導體基底上形成有至少一非平面型FET元件。接下來,於該非平面型FET元件上形成複數個第一組金屬,該等第一組金屬之尺寸與位置係由一多重圖案化方法定義,且該等第一組金屬包含有一第一金屬間距。在形成該等第一組金屬之後,於該等第一組金屬上形成複數個第二組金屬,該等第二組金屬之尺寸與位置係由一單一圖案化方法定義,且該等第二組金屬包含有一第二金屬間距。該第二金屬間距係為該第一金屬間距的1.2倍至1.5倍。According to the patent application scope provided by the present invention, a method for fabricating an integrated circuit structure is provided, which comprises the following steps. First, a semiconductor substrate is provided, and at least one non-planar FET element is formed on the semiconductor substrate. Next, a plurality of first groups of metals are formed on the non-planar FET device, the sizes and positions of the first groups of metals are defined by a multiple patterning method, and the first group of metals includes a first metal spacing. After forming the first set of metals, forming a plurality of second sets of metals on the first set of metals, the size and position of the second set of metals being defined by a single patterning method, and the second The group metal includes a second metal pitch. The second metal pitch is 1.2 to 1.5 times the first metal pitch.

根據本發明所提供之積體電路結構及其製作方法,係於非平面型FET元件上形成第一組金屬,隨後於第一組金屬上形成第二組金屬,更重要的是,第二組金屬所包含之第二金屬間距係為第一組金屬所包含之第一金屬間距的1.2倍至1.5倍。由於第二組金屬係利用單一圖案化方法定義,也就是說本發明所提供之積體電路結構的製作過程中,係以單一圖案化製程取代多重圖案化製程製作第二組金屬,故可省略至少一張光罩的使用,更因此省略了至少一次的對準動作。也就是說,本發明所提供之積體電路結構及其製作方法係享有降低製程複雜度、簡化製程流程、以及減少製作成本等優點。An integrated circuit structure and a method of fabricating the same according to the present invention, wherein a first group of metals is formed on a non-planar FET element, and then a second group of metals is formed on the first group of metals, and more importantly, the second group The second metal spacing included in the metal is 1.2 to 1.5 times the first metal pitch comprised by the first set of metals. Since the second group of metals is defined by a single patterning method, that is, in the process of fabricating the integrated circuit structure provided by the present invention, the second group of metals is replaced by a single patterning process instead of the multiple patterning process, so The use of at least one reticle omits at least one alignment action. That is to say, the integrated circuit structure and the manufacturing method thereof provided by the invention enjoy the advantages of reducing process complexity, simplifying the process flow, and reducing the manufacturing cost.

當元件發展至65奈米技術世代後,使用傳統平面式的金氧半導體(metal-oxide-semiconductor,MOS)電晶體製程係難以持續微縮,因此,習知技術係提出以立體或非平面(non-planar)多閘極電晶體元件如鰭式場效電晶體(以下簡稱為FinFET)元件取代平面電晶體元件之解決途徑。因此,本較佳實施例所提供之積體電路之製作方法,主要係用以製作非平面多閘極FET元件,尤其是用以製作鰭式場效電晶體(以下簡稱為FinFET)元件,但不限於此。請參閱第1圖至第4圖,第1圖至第4圖係為本發明所提供之積體電路結構之製作方法之一第一較佳實施例之示意圖。如第1圖所示,本較佳實施例首先提供一半導體基底100,例如一矽基底。在本較佳實施例中,半導體基底100亦可為一絕緣層上半導體(semiconductor on insulator,以下簡稱為SOI)基底。如熟習該項技藝之人士所知,SOI基底由下而上可依序包含一矽基底、一底部氧化(bottom oxide,BOX)層、以及形成於底部氧化層上的半導體層,如一具單晶結構的矽層。另外,本較佳實施例提供之基底亦可以是一塊矽(bulk silicon)基底。或者,基底100可包含其他元素半導體(elementary semiconductor),例如鍺(germanium)。半導體基底100也可包含複合半導體(compound semiconductor),如碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenic)、和/或銻化銦(indium antimonide)。半導體基底100亦可包含合金半導體(alloy semiconductor),如矽鍺合金半導體(SiGe)、鎵砷磷合金半導體(GaAsP)、鋁銦砷合金半導體(AlInAs)、鋁鎵砷合金半導體(AlGaAs)、鎵銦砷合金半導體(GaInAs)、鎵銦磷合金半導體(GaInP)和/或鎵銦砷磷合金半導體(GaInAsP)的合金半導體。當然,半導體基底100亦可包含上述材料的組合。After the component has been developed to the 65 nm technology generation, it is difficult to continue to shrink using a conventional planar metal-oxide-semiconductor (MOS) transistor process. Therefore, conventional techniques are proposed to be stereo or non-planar (non -planar) A multi-gate transistor component such as a FinFET (hereinafter referred to as FinFET) component replaces the planar transistor component. Therefore, the method for fabricating the integrated circuit provided by the preferred embodiment is mainly for fabricating a non-planar multi-gate FET device, especially for fabricating a fin field effect transistor (hereinafter referred to as a FinFET) component, but not Limited to this. Please refer to FIG. 1 to FIG. 4 . FIG. 1 to FIG. 4 are schematic diagrams showing a first preferred embodiment of a method for fabricating an integrated circuit structure according to the present invention. As shown in FIG. 1, the preferred embodiment first provides a semiconductor substrate 100, such as a germanium substrate. In the preferred embodiment, the semiconductor substrate 100 can also be a semiconductor on insulator (hereinafter referred to as SOI) substrate. As known to those skilled in the art, the SOI substrate may include a substrate, a bottom oxide (BOX) layer, and a semiconductor layer formed on the bottom oxide layer, such as a single crystal, from bottom to top. The layer of structure. In addition, the substrate provided by the preferred embodiment may also be a bulk silicon substrate. Alternatively, substrate 100 can comprise other elementary semiconductors such as germanium. The semiconductor substrate 100 may also comprise a compound semiconductor such as silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide (indium). Arsenic), and/or indium antimonide. The semiconductor substrate 100 may also comprise an alloy semiconductor such as a germanium alloy semiconductor (SiGe), a gallium arsenide alloy semiconductor (GaAsP), an aluminum indium arsenide alloy semiconductor (AlInAs), an aluminum gallium arsenide alloy semiconductor (AlGaAs), gallium. An alloy semiconductor of indium arsenic alloy semiconductor (GaInAs), gallium indium phosphorus alloy semiconductor (GaInP), and/or gallium indium arsenide alloy semiconductor (GaInAsP). Of course, the semiconductor substrate 100 may also comprise a combination of the above materials.

接下來,於半導體基底100上形成一圖案化硬遮罩(圖未示),用以於半導體基底100上定義出複數個非平面FET電晶體之鰭片結構之位置與尺寸。在本較佳實施例中,由於鰭片結構之間距小於75 nm,因此單一圖案化方法已無法滿足此間距之解析度需求。是以,本較佳實施例係利用一多重圖案化製程,例如一雙重圖案化製程,於半導體基底100上形成用以定義鰭片結構之位置與尺寸的圖案化硬遮罩。在本較佳實施例中,雙重圖案化製程可包含微影-蝕刻-光微影-蝕刻(litho-etching-litho-etching,以下簡稱為LELE)方法、微影-凍結-微影-蝕刻(litho-freeze-litho-etch,以下簡稱為LFLE)方法、和自對準雙重圖案化(self-aligned double patterning,以下簡稱為SADP)方法(又稱側壁子影像轉移,(spacer image transfer,以下簡稱為SIT)方法)。在形成圖案化硬遮罩之後,即利用圖案化硬遮罩作為一蝕刻遮罩蝕刻半導體基底100,而於半導體基底100上形成複數個鰭片結構102。而在形成鰭片結構102之後,可依產品所需保留或移除圖案化硬遮罩。由於鰭片結構102係由蝕刻半導體基底100產生,因此鰭片結構102可包含矽、鍺、或三-五族半導體(III-V semiconductor)材料,或前述之半導體材料等。如第1圖所示,鰭片結構102具有一鰭片間距P1,鰭片間距P1係為鰭片結構102本身之寬度以及與其相鄰之鰭片結構102之間的距離的和。又或者,鰭片間距P1可被視為兩相鄰鰭片結構102之中心點的距離。此外,如第1圖所示,鰭片結構102係彼此平行,且沿一第一方向D1延伸。另外,在本較佳實施例中,更可選擇性地於鰭片結構102前、後端的源極/汲極區連接形成至少一連接墊(landing pad) 102p。連接墊102p係如第1圖所示電性連接各鰭片結構102,並在後續完成多閘極電晶體元件之製作後,作為源極/汲極接觸插塞的接觸場所。Next, a patterned hard mask (not shown) is formed on the semiconductor substrate 100 for defining the position and size of the fin structures of the plurality of non-planar FET transistors on the semiconductor substrate 100. In the preferred embodiment, since the fin structures are less than 75 nm apart, a single patterning method cannot meet the resolution requirements of the pitch. Therefore, the preferred embodiment utilizes a multiple patterning process, such as a double patterning process, to form a patterned hard mask on the semiconductor substrate 100 to define the location and size of the fin structure. In the preferred embodiment, the double patterning process may include a litho-etching-litho-etching (LELE) method, a lithography-freeze-lithography-etching process (hereinafter referred to as LELE). Litho-freeze-litho-etch, hereinafter referred to as LFLE) method, and self-aligned double patterning (SADP) method (also known as "spacer image transfer" (hereinafter referred to as "spacer image transfer" For the SIT) method). After forming the patterned hard mask, the patterned semiconductor mask 100 is etched using the patterned hard mask as an etch mask, and a plurality of fin structures 102 are formed on the semiconductor substrate 100. After forming the fin structure 102, the patterned hard mask can be retained or removed as desired by the product. Since the fin structure 102 is produced by etching the semiconductor substrate 100, the fin structure 102 may comprise germanium, germanium, or a III-V semiconductor material, or a semiconductor material as described above. As shown in FIG. 1, the fin structure 102 has a fin pitch P1 which is the sum of the width of the fin structure 102 itself and the distance between the fin structures 102 adjacent thereto. Still alternatively, the fin pitch P1 can be considered as the distance of the center point of two adjacent fin structures 102. Further, as shown in FIG. 1, the fin structures 102 are parallel to each other and extend in a first direction D1. In addition, in the preferred embodiment, at least one landing pad 102p is selectively formed in the source/drain regions of the front and rear ends of the fin structure 102. The connection pad 102p is electrically connected to each of the fin structures 102 as shown in FIG. 1, and is used as a contact place of the source/drain contact plug after the fabrication of the multi-gate transistor element is completed.

在形成鰭片結構102之後,係於半導體基底100上形成一閘極電極104。閘極電極104係如第1圖所示,與鰭片結構102交錯,且閘極電極104覆蓋部份各鰭片結構102。閘極電極104可包含一閘極介電層(圖未示)與一閘極導電層(圖未示)。閘極介電層可包含習知介電材料如氧化矽(SiO)、氮化矽(SiN)、氮氧化矽(SiON)等介電材料。而在本較佳實施例中,閘極介電層更可包含高介電常數(high-K)材料,例如氧化鉿(HfO)、矽酸鉿(HfSiO)或、鋁、鋯、鑭等金屬的金屬氧化物或金屬矽酸鹽(metal silicates)等,但不限於此。另外,當本較佳實施例之閘極介電層採用high-K材料時,本發明可與金屬閘極(metal gate)製程整合,以提供足以匹配high-K閘極介電層的控制電極。據此,閘極導電層可配合金屬閘極的前閘極(gate-first)製程或後閘極(gate-last)製程採用不同的材料。舉例來說,當本較佳實施例與前閘極製程整合時,閘極導電層係可包含金屬如鉭(Ta)、鈦(Ti)、釕(Ru)、鉬(Mo)、或上述金屬之合金、金屬氮化物如氮化鉭(TaN)、氮化鈦(TiN)、氮化鉬(MoN)等、金屬碳化物如碳化鉭(TaC)等。且該等金屬之選用係以所欲獲得的多閘極電晶體元件之導電形式為原則,即以滿足N型或P型電晶體所需功函數要求的金屬為選用原則,且閘極導電層可為單一功函數金屬層或複合功函數金屬層。而當本較佳實施例與後閘極製程整合時,閘極導電層係作為一虛置閘極(dummy gate),其可包含半導體材料如多晶矽等。After the fin structure 102 is formed, a gate electrode 104 is formed on the semiconductor substrate 100. The gate electrode 104 is interleaved with the fin structure 102 as shown in FIG. 1, and the gate electrode 104 covers a portion of each fin structure 102. The gate electrode 104 can include a gate dielectric layer (not shown) and a gate conductive layer (not shown). The gate dielectric layer may comprise a dielectric material such as cerium oxide (SiO), tantalum nitride (SiN), or cerium oxynitride (SiON). In the preferred embodiment, the gate dielectric layer may further comprise a high-k material, such as hafnium oxide (HfO), hafnium niobate (HfSiO) or aluminum, zirconium, hafnium or the like. Metal oxides or metal silicates, etc., but are not limited thereto. In addition, when the gate dielectric layer of the preferred embodiment uses a high-K material, the present invention can be integrated with a metal gate process to provide a control electrode sufficient to match the high-K gate dielectric layer. . Accordingly, the gate conductive layer can be made of a different material depending on the gate-first process or the gate-last process of the metal gate. For example, when the preferred embodiment is integrated with the front gate process, the gate conductive layer may comprise a metal such as tantalum (Ta), titanium (Ti), ruthenium (Ru), molybdenum (Mo), or the like. Alloys, metal nitrides such as tantalum nitride (TaN), titanium nitride (TiN), molybdenum nitride (MoN), etc., metal carbides such as tantalum carbide (TaC). And the selection of the metals is based on the principle of the conductive form of the multi-gate transistor element to be obtained, that is, the metal satisfying the required work function of the N-type or P-type transistor is selected as the selection principle, and the gate conductive layer It can be a single work function metal layer or a composite work function metal layer. When the preferred embodiment is integrated with the post gate process, the gate conductive layer acts as a dummy gate, which may comprise a semiconductor material such as a polysilicon or the like.

在完成閘極電極之製作後,本較佳實施例係可進行其他組成元件的製作,例如輕摻雜汲極、閘極側壁子、源極/汲極等的製作,以形成至少一FinFET電晶體元件110,即一非平面型場效電晶體元件。此外,熟習該項技藝之人士熟知之選擇性磊晶成長(selective epitaxial growth,SEG)製程、金屬矽化物製程等,皆可依需要整合於FinFET元件製程,在此並不多加贅述。另外,在後閘極製程中,係可於完成其他組成元件之製作後,移除虛置閘極,並形成所需的包含閘極介電層與功函數金屬層之金屬閘極。After the fabrication of the gate electrode is completed, the preferred embodiment can be fabricated into other components, such as lightly doped drain, gate sidewall, source/drain, etc., to form at least one FinFET. The crystal element 110 is a non-planar field effect transistor element. In addition, the selective epitaxial growth (SEG) process and the metal telluride process, which are well known to those skilled in the art, can be integrated into the FinFET device process as needed, and will not be repeated here. In addition, in the post-gate process, after the fabrication of other constituent elements is completed, the dummy gate is removed and a desired metal gate including a gate dielectric layer and a work function metal layer is formed.

請參閱第2圖。接下來,係於半導體基底100上形成一內層介電(inter-layer dielectric,以下簡稱為ILD)層(圖未示),隨後於ILD層內形成複數個接觸插塞120,且接觸插塞120係包含有一接觸插塞間距P2。在本發明之其他實施例中,接觸插塞間距P2可以是閘極接觸插塞與閘極接觸插塞(圖皆未示)之間的接觸插塞間距,或者是鰭片結構的源極/汲極接觸插塞與源極/汲極接觸插塞之間的接觸插塞間距,又或者可以是閘極接觸插塞(圖未示)與鰭片結構的源極/汲極接觸插塞間的接觸插塞間距。簡單地說,接觸插塞間距P2可以是形成於ILD層內之各種接觸插塞之間的間距。另外可參閱第3圖,其為本發明之一變化型之示意圖。在本變化型中,可省略接觸墊102p的製作,而於ILD層內形成條形接觸插塞102s,且條型接觸插塞102s亦包含有接觸插塞間距P2。另外需注意的是,為強調接觸插塞120/102s與鰭片結構102的關係,ILD層並未描繪於第2圖與第3圖中,然熟習該項技藝之人士應可輕易思及ILD層之相關位置。Please refer to Figure 2. Next, an inter-layer dielectric (hereinafter referred to as ILD) layer (not shown) is formed on the semiconductor substrate 100, and then a plurality of contact plugs 120 are formed in the ILD layer, and the contact plugs are formed. The 120 series includes a contact plug pitch P2. In other embodiments of the present invention, the contact plug pitch P2 may be the contact plug pitch between the gate contact plug and the gate contact plug (not shown), or the source of the fin structure/ Contact plug spacing between the drain contact plug and the source/drain contact plug, or it may be between the gate contact plug (not shown) and the source/drain contact plug of the fin structure Contact plug spacing. Briefly, the contact plug pitch P2 can be the spacing between the various contact plugs formed within the ILD layer. Further, reference is made to Fig. 3, which is a schematic view of a variation of the present invention. In the present variation, the fabrication of the contact pads 102p can be omitted, and the strip contact plugs 102s are formed in the ILD layer, and the strip contact plugs 102s also include the contact plug pitch P2. It should also be noted that in order to emphasize the relationship between the contact plug 120/102s and the fin structure 102, the ILD layer is not depicted in Figures 2 and 3, but those skilled in the art should be able to easily think about ILD. The relevant location of the layer.

請重新參閱第2圖。詳細地說,係可於ILD層表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義接觸插塞120的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻ILD層,而於ILD層內形成複數個接觸插塞開口(圖未示)。接下來,於ILD層上形成一金屬層(圖未示),且金屬層填滿接觸插塞開口。隨後進行一平坦化製程,用以移除多餘的金屬,而於ILD層內,即FinFET元件110上形成接觸插塞120。各接觸插塞120包含一接觸插塞間距P2,而接觸插塞間距P2係為接觸插塞本身之寬度以及與其相鄰之接觸插塞之間的距離的和。如前所述,在本發明之其他實施例中,接觸插塞間距P2可以是閘極接觸插塞(圖未示)之間的接觸插塞間距,或者是鰭片結構的源極/汲極接觸插塞之間的接觸插塞間距,又或者可以是閘極接觸插塞與鰭片結構的源極/汲極接觸插塞間的接觸插塞間距。值得注意的是,在一般製程中,接觸插塞間距P2會與鰭片間距P1相同,因此在一般先前技術的製程中,接觸插塞120必須使用與鰭片結構102相同之微影製程形成。也就是說,在一般先前技術的製程中,接觸插塞間距P2會因小於單一圖案化製程之極限(即75nm)而必須使用多重圖案化製程。然而在本較佳實施例中,不論鰭片結構102的尺寸為何,本較佳實施例所提供之接觸插塞120之接觸插塞間距P2皆大於鰭片結構102之鰭片間距P1,較佳實施例之接觸插塞間距P2為大於75nm。因此,本發明所提供之較佳實施例所提供之接觸插塞120的製作,係可採用單一圖案化製程,例如浸潤式深紫外光顯影方法(immersion DUV lithography)或電子束微影(E-beam lithography),但不限於此。Please refer back to Figure 2. In detail, a patterned hard mask (not shown) can be formed on the surface of the ILD layer by a lithography process to define the size and position of the contact plug 120. A patterned hard mask is then used as an etch mask to etch the ILD layer, and a plurality of contact plug openings (not shown) are formed in the ILD layer. Next, a metal layer (not shown) is formed on the ILD layer, and the metal layer fills the contact plug opening. A planarization process is then performed to remove excess metal, and contact plugs 120 are formed in the ILD layer, i.e., FinFET element 110. Each of the contact plugs 120 includes a contact plug pitch P2 which is the sum of the width of the contact plug itself and the distance between the adjacent contact plugs. As described above, in other embodiments of the present invention, the contact plug pitch P2 may be a contact plug pitch between gate contact plugs (not shown) or a source/drain of the fin structure. The contact plug spacing between the contact plugs may alternatively be the contact plug spacing between the gate contact plug and the source/drain contact plug of the fin structure. It is worth noting that in the general process, the contact plug pitch P2 will be the same as the fin pitch P1, so in the prior art process, the contact plug 120 must be formed using the same lithography process as the fin structure 102. That is, in a typical prior art process, the contact plug pitch P2 would have to use a multiple patterning process due to less than the limit of a single patterning process (ie, 75 nm). However, in the preferred embodiment, regardless of the size of the fin structure 102, the contact plug pitch P2 of the contact plug 120 provided by the preferred embodiment is greater than the fin pitch P1 of the fin structure 102, preferably. The contact plug pitch P2 of the embodiment is greater than 75 nm. Therefore, the contact plug 120 provided by the preferred embodiment of the present invention can be fabricated by a single patterning process such as immersion DUV lithography or electron beam lithography (E- Beam lithography), but not limited to this.

請參閱第4圖。接下來,係可於半導體基底100上繼續進行內連線結構等元件之製作,以於FinFET元件110上形成一金屬內連線結構。舉例來說,可於接觸插塞120與ILD層上形成一介電層130d(示於第7圖),隨後於介電層130d表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義複數個金屬導線結構的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻介電層130d,而於介電層130d內形成複數個金屬導線結構之開口(圖未示)。接下來,於介電層130d上形成一金屬層(圖未示),且金屬層填滿金屬導線結構開口。隨後進行一平坦化製程,用以移除多餘的金屬,而於介電層130d內形成複數個彼此平行的金屬導線結構130w,且金屬導線結構130w係沿第二方向D2延伸。值得注意的是,金屬導線結構130w係作為內連線結構的最底層(即最接近半導體基底100與FinFET元件110的一層),故在本較佳實施例中,此一最底層的金屬導線結構130w又被視為內連線結構的第一金屬層M1。另外,接觸插塞120係用以電性連接FinFET元件110與內連線結構的第一金屬層M1(即金屬導線結構130w),故在本較佳實施例中,接觸插塞120係被視為第0插塞結構V0。值得注意的是,金屬導線結構130w係具有一金屬間距P3,金屬間距P3係為一金屬導線結構130w本身之寬度以及與其相鄰之金屬導線結構130w之間的距離的和。又或者,金屬間距P3可以是相鄰之金屬導線結構130w之中心點的距離。須注意的是,在本較佳實施例中,金屬間距P3特別是指在介電層130d當層中,金屬導線結構130w最小的金屬間距。另外,由於金屬導線結構130w係沿第二方向D2延伸,所以金屬間距P3係如第4圖所示,平行於第一方向D1。一般來說,第一金屬層M1的金屬間距P3與鰭片結構102的鰭片間距P1具有一比例,例如1:1。然而隨著製程的進步,金屬間距P3與鰭片間距P1的比例逐漸調整為3:4。舉例來說,在進入22nm節點製程後,金屬間距P3係小於75nm,此一間距已小於現有單一圖案化製程的極限,因此第一金屬層M1(即金屬導線結構130w)就必須使用多重圖案化方法,例如雙重圖案化方法來完成微影製程。雙重圖案化方法係可包含前述方法,故於此不再贅述。另外須注意的是,由於第一金屬層M1係利用雙重圖案化方法定義金屬導線結構,因此第一金屬層M1依需要可同時沿第一方向與第二方向D2延伸。Please refer to Figure 4. Next, fabrication of components such as interconnect structures can be continued on the semiconductor substrate 100 to form a metal interconnect structure on the FinFET component 110. For example, a dielectric layer 130d (shown in FIG. 7) may be formed on the contact plug 120 and the ILD layer, and then a patterned hard mask is formed on the surface of the dielectric layer 130d by a lithography process (not shown). ), used to define the size and location of a plurality of metal wire structures. Subsequently, the patterned hard mask is used as an etch mask to etch the dielectric layer 130d, and openings (not shown) of the plurality of metal wiring structures are formed in the dielectric layer 130d. Next, a metal layer (not shown) is formed on the dielectric layer 130d, and the metal layer fills the metal wire structure opening. A planarization process is then performed to remove excess metal, and a plurality of metal wire structures 130w parallel to each other are formed in the dielectric layer 130d, and the metal wire structures 130w extend in the second direction D2. It should be noted that the metal wire structure 130w is the bottom layer of the interconnect structure (ie, the layer closest to the semiconductor substrate 100 and the FinFET device 110), so in the preferred embodiment, the bottommost metal wire structure 130w is again regarded as the first metal layer M1 of the interconnect structure. In addition, the contact plug 120 is used to electrically connect the FinFET element 110 and the first metal layer M1 of the interconnect structure (ie, the metal wire structure 130w). Therefore, in the preferred embodiment, the contact plug 120 is regarded as being It is the 0th plug structure V0. It is to be noted that the metal wire structure 130w has a metal pitch P3 which is the sum of the width of a metal wire structure 130w itself and the distance between the metal wire structure 130w adjacent thereto. Alternatively, the metal pitch P3 may be the distance from the center point of the adjacent metal wire structure 130w. It should be noted that in the preferred embodiment, the metal pitch P3 refers particularly to the minimum metal pitch of the metal wire structure 130w in the dielectric layer 130d. In addition, since the metal wire structure 130w extends in the second direction D2, the metal pitch P3 is parallel to the first direction D1 as shown in FIG. In general, the metal pitch P3 of the first metal layer M1 has a ratio to the fin pitch P1 of the fin structure 102, for example, 1:1. However, as the process progresses, the ratio of the metal pitch P3 to the fin pitch P1 is gradually adjusted to 3:4. For example, after entering the 22 nm node process, the metal pitch P3 is less than 75 nm, and the pitch is smaller than the limit of the existing single patterning process, so the first metal layer M1 (ie, the metal wire structure 130w) must use multiple patterning. A method, such as a dual patterning method, completes the lithography process. The double patterning method may include the foregoing method, and thus will not be described again. In addition, it should be noted that since the first metal layer M1 defines the metal wire structure by the double patterning method, the first metal layer M1 may simultaneously extend along the first direction and the second direction D2 as needed.

請繼續參閱第4圖。在完成第一金屬層M1之後,係於第一金屬層M1與介電層130d上再形成一介電層132d(示於第7圖),隨後於介電層132d表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義金屬導線結構與/或接觸插塞的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻介電層132d,而於介電層132d內形成複數個金屬導線結構與接觸插塞之開口(圖未示)。接下來,於介電層132d上形成一金屬層(圖未示),且金屬層填滿金屬導線結構與接觸插塞之開口。隨後進行一平坦化製程,用以移除多餘的金屬,而於介電層132d內形成複數個金屬導線結構132w與複數個插塞結構132v,且金屬導線結構132w係沿第一方向D1延伸而彼此平行。另外,金屬導線結構132w可視為內連線結構的第二金屬層M2,而插塞結構132v則作為連接第一金屬層M1與第二金屬層M2的第一介層插塞V1。首先須注意的是,第二金屬層M2(即金屬導線結構132w)與第一介層插塞V1(即插塞結構132v)係形成於同一介電層132d,因此可視為是同一層金屬結構,換句話說,這一層金屬結構係包含由第二金屬層M2與第一介層插塞V1所組成的結構對。然而,第二金屬層M2(即金屬導線結構132w與第一介層插塞V1亦可分別形成於不同的介電材料中。第二,金屬導線結構132w與插塞結構132v可採用雙鑲嵌方法製作,但由於該方法係為熟習該項技藝之人士所知,故於此不加以贅述。更重要的是,金屬導線結構132w之間亦具有一金屬間距P3。須注意的是,如前所述,金屬間距P3亦特別是指在介電層132d當層中,金屬導線結構132w最小的金屬間距。另外,由於金屬導線結構132w係沿第一方向D1延伸,故介電層132d中,金屬間距P3係如第4圖所示,平行於第二方向D2。在本較佳實施例中,這些具有相同金屬間距的金屬結構,皆被分類為第一組金屬(MG1)。另外,在本較佳實施例中,第一組金屬MG1之層數可只為一層,但不多於二層。第一組金屬MG1中至少有一層金屬結構的延伸方向係與鰭片結構102相同。舉例來說,本較佳實施例中,第一組金屬MG1中的第二金屬層M2之延伸方向即與鰭片結構102相同,皆沿第一方向D1延伸。Please continue to see Figure 4. After the first metal layer M1 is completed, a dielectric layer 132d is formed on the first metal layer M1 and the dielectric layer 130d (shown in FIG. 7), and then a lithography process is formed on the surface of the dielectric layer 132d. A patterned hard mask (not shown) is used to define the size and location of the metal wire structure and/or the contact plug. Subsequently, the patterned hard mask is used as an etch mask to etch the dielectric layer 132d, and a plurality of metal wire structures and contact plug openings (not shown) are formed in the dielectric layer 132d. Next, a metal layer (not shown) is formed on the dielectric layer 132d, and the metal layer fills the metal wire structure and the opening of the contact plug. Then, a planarization process is performed to remove excess metal, and a plurality of metal wire structures 132w and a plurality of plug structures 132v are formed in the dielectric layer 132d, and the metal wire structures 132w extend along the first direction D1. Parallel to each other. In addition, the metal wire structure 132w can be regarded as the second metal layer M2 of the interconnect structure, and the plug structure 132v serves as the first via plug V1 connecting the first metal layer M1 and the second metal layer M2. It should be noted that the second metal layer M2 (ie, the metal wire structure 132w) and the first interlayer plug V1 (ie, the plug structure 132v) are formed on the same dielectric layer 132d, and thus can be regarded as the same metal structure. In other words, this layer of metal structure comprises a pair of structures consisting of a second metal layer M2 and a first via plug V1. However, the second metal layer M2 (ie, the metal wire structure 132w and the first via plug V1 may also be formed in different dielectric materials respectively. Second, the metal wire structure 132w and the plug structure 132v may adopt a dual damascene method. It is produced, but since the method is known to those skilled in the art, it will not be described here. More importantly, the metal wire structure 132w also has a metal pitch P3. It should be noted that as before The metal pitch P3 also refers to the metal pitch of the metal wire structure 132w in the layer of the dielectric layer 132d. In addition, since the metal wire structure 132w extends along the first direction D1, the metal in the dielectric layer 132d The pitch P3 is parallel to the second direction D2 as shown in Fig. 4. In the preferred embodiment, these metal structures having the same metal pitch are classified as the first group of metals (MG1). In a preferred embodiment, the number of layers of the first group of metal MG1 may be only one layer, but not more than two layers. At least one metal structure of the first group of metal MG1 has the same extending direction as the fin structure 102. Said in the preferred embodiment The second metal layer M2 in the first group of metal MG1 extends in the same direction as the fin structure 102 and extends in the first direction D1.

另外須注意的是,由於第一組金屬MG1的金屬間距P3小於單一圖案化方法的極限,故必須利用一雙重圖案化方法定義金屬導線結構132w的尺寸與位置,再利用一雙重圖案化方法定義插塞結構132v的尺寸與位置。It should also be noted that since the metal pitch P3 of the first group of metal MG1 is smaller than the limit of the single patterning method, the size and position of the metal wire structure 132w must be defined by a double patterning method, and then defined by a double patterning method. The size and position of the plug structure 132v.

請參閱第5圖,第5圖為本發明所提供之內連線結構之一簡單示意圖。如第5圖所示,本發明所提供之內連線結構中任一金屬層Mn的導線延伸方向係與設置於垂直相鄰層內的金屬層Mn+1的導線延伸方向垂直。舉例來說,在本較佳實施例中,第一金屬層M1導線係沿第二方向D2延伸,而第二金屬層M2導線係沿第一方向D1延伸。另外須注意的是,由於第一組金屬MG1係利用雙重圖案化方法定義金屬導線結構,因此第一金屬層M1與第二金屬層M2的導線結構可同時的沿第一方向D1與第二方向D2延伸。Please refer to FIG. 5, which is a simplified schematic diagram of the interconnect structure provided by the present invention. As shown in FIG. 5, the wire extending direction of any of the metal layers Mn in the interconnect structure provided by the present invention is perpendicular to the wire extending direction of the metal layer Mn+1 disposed in the vertically adjacent layer. For example, in the preferred embodiment, the first metal layer M1 wire extends in the second direction D2, and the second metal layer M2 wire extends in the first direction D1. In addition, it should be noted that since the first group of metal MG1 defines the metal wire structure by the double patterning method, the wire structures of the first metal layer M1 and the second metal layer M2 can simultaneously be along the first direction D1 and the second direction. D2 extends.

根據本較佳實施例所提供之積體電路結構及其製作方法,係在利用多重圖案化方法完成鰭片結構102的製作,以及完成FinFET元件110的製作等前段製程(front-end-of-line)之後,不論FinFET元件110的鰭片結構102之鰭片間距P1之尺寸為何,皆增加接觸插塞120的接觸插塞間距P2,使接觸插塞間距P2大於單一圖案化製程的極限值,故可利用單一圖案化方法進行製作接觸插塞120所需的微影製程。另外如前所述,接觸插塞間距P2包含閘極接觸插塞(圖未示)之間的接觸插塞間距、鰭片結構的源極/汲極接觸插塞之間的接觸插塞間距,以及閘極接觸插塞(圖未示)與鰭片結構的源極/汲極接觸插塞之間的接觸插塞間距。由此可知,本較佳實施例中接觸插塞120的接觸插塞間距P2不僅大於鰭片結構102的鰭片間距P1,亦大於第一組金屬MG1的金屬間距P3。換句話說,本較佳實施例係採用多重圖案化方法定義鰭片結構102與第一組金屬MG1的尺寸與位置,但利用單一圖案化方法定義接觸插塞120的尺寸與位置。與習知技術必須使用多重圖案化方法定義出接觸插塞120之尺寸與位置相較,本較佳實施例係可省略至少一張光罩,更因此省略了至少一次的對準動作。也就是說,本較佳實施例所提供之積體電路結構及其製作方法係享有降低製程複雜度、簡化製程流程、以及減少製作成本等優點。The integrated circuit structure and the manufacturing method thereof according to the preferred embodiment are performed by using a multiple patterning method to complete the fabrication of the fin structure 102, and completing the front-end process of the FinFET device 110 (front-end-of- After line), regardless of the size of the fin pitch P1 of the fin structure 102 of the FinFET element 110, the contact plug pitch P2 of the contact plug 120 is increased, so that the contact plug pitch P2 is greater than the limit value of the single patterning process. Therefore, the lithography process required to fabricate the contact plug 120 can be performed by a single patterning method. In addition, as described above, the contact plug pitch P2 includes the contact plug pitch between the gate contact plugs (not shown) and the contact plug pitch between the source/drain contact plugs of the fin structure. And the contact plug spacing between the gate contact plug (not shown) and the source/drain contact plug of the fin structure. It can be seen that the contact plug pitch P2 of the contact plug 120 in the preferred embodiment is not only larger than the fin pitch P1 of the fin structure 102, but also larger than the metal pitch P3 of the first group of metal MG1. In other words, the preferred embodiment defines the size and position of the fin structure 102 and the first set of metal MG1 using a multiple patterning method, but defines the size and position of the contact plug 120 using a single patterning method. In contrast to conventional techniques, it is necessary to define the size and position of the contact plug 120 using a multiple patterning method. In the preferred embodiment, at least one reticle can be omitted, and thus at least one alignment action is omitted. That is to say, the integrated circuit structure and the manufacturing method thereof provided by the preferred embodiment enjoy the advantages of reducing process complexity, simplifying the process flow, and reducing the manufacturing cost.

請參閱第1圖至第7圖,第1圖至第7圖係為本較佳實施例所提供之積體電路結構之製作方法之一第二較佳實施例之示意圖。首先須注意的是,在第二較佳實施例中,與第一較佳實施例相同的組成元件係可包含相同的材料,故該等材料選擇係不再加以贅述。另外該等與第一較佳實施例相同的組成元件之製作步驟係可同於第一較佳實施例。如第1圖所示,本較佳實施例亦提供一半導體基底100,並於半導體基底100上利用多重圖案化方法形成一圖案化硬遮罩(圖未示),並透過該圖案化硬遮罩蝕刻半導體基底100,而形成複數個彼此平行的鰭片結構102,且鰭片結構102分別包含一鰭片間距P1。如前所述,由於鰭片間距P1小於單一圖案化方法的極限,故本較佳實施例係採用多重圖案化方法形成鰭片結構102,而多重圖案化方法可包含LELE、LFLE、SADP/SIT等方法,但不限於此。隨後,於半導體基底100上形成閘極電極104,並完成FinFET元件110的製作。Please refer to FIG. 1 to FIG. 7 . FIG. 1 to FIG. 7 are schematic diagrams showing a second preferred embodiment of a method for fabricating an integrated circuit structure according to a preferred embodiment. It should be noted that in the second preferred embodiment, the same constituent elements as the first preferred embodiment may contain the same materials, and thus the material selections are not described again. Further, the manufacturing steps of the same constituent elements as those of the first preferred embodiment can be the same as those of the first preferred embodiment. As shown in FIG. 1, the preferred embodiment also provides a semiconductor substrate 100, and a patterned hard mask (not shown) is formed on the semiconductor substrate 100 by a multiple patterning method, and through the patterned hard mask. The cover etches the semiconductor substrate 100 to form a plurality of fin structures 102 that are parallel to each other, and the fin structures 102 respectively include a fin pitch P1. As described above, since the fin pitch P1 is smaller than the limit of the single patterning method, the preferred embodiment uses the multiple patterning method to form the fin structure 102, and the multiple patterning method may include LELE, LFLE, SADP/SIT And other methods, but are not limited to this. Subsequently, a gate electrode 104 is formed on the semiconductor substrate 100, and fabrication of the FinFET element 110 is completed.

接下來,可如前述較佳實施例所教導者,於半導體基底100上形成一ILD層(圖未示),並於ILD層上利用一單一圖案化方法形成一圖案化硬遮罩,隨後透過該圖案化硬遮罩蝕刻ILD層,而形成複數個接觸插塞開口或條型接觸插塞開口(圖未示)。接下來如第2圖或第3圖所示,於接觸插塞開口內分別形成一接觸插塞120。或者,於此ILD層內先形成一條形接觸插塞102s,再於另一ILD層內形成一設置於條形接觸插塞102s上的接觸插塞102。各接觸插塞120/102s包含一接觸插塞間距P2,接觸插塞間距P2包含閘極接觸插塞(圖未示)之間的接觸插塞間距、鰭片結構的源極/汲極接觸插塞之間的接觸插塞間距,以及閘極觸插塞(圖未示)與鰭片結構的源極/汲極接觸插塞之間的接觸插塞間距。如前所述,在本較佳實施例中,不論鰭片結構102的尺寸為何,本較佳實施例所提供之接觸插塞120之接觸插塞間距P2皆大於鰭片結構102之鰭片間距P1,較佳為大於75nm。因此,本較佳實施例所提供之接觸插塞120的尺寸與位置的定義,係可採用單一圖案化製程,例如浸潤式深紫外光顯影方法或電子束微影,但不限於此。Next, an ILD layer (not shown) is formed on the semiconductor substrate 100 as described in the foregoing preferred embodiment, and a patterned hard mask is formed on the ILD layer by a single patterning method, followed by The patterned hard mask etches the ILD layer to form a plurality of contact plug openings or strip contact plug openings (not shown). Next, as shown in FIG. 2 or FIG. 3, a contact plug 120 is formed in each of the contact plug openings. Alternatively, a strip-shaped contact plug 102s is formed in the ILD layer, and a contact plug 102 disposed on the strip-shaped contact plug 102s is formed in the other ILD layer. Each of the contact plugs 120/102s includes a contact plug pitch P2, and the contact plug pitch P2 includes a contact plug pitch between the gate contact plugs (not shown), and a source/drain contact plug of the fin structure. The contact plug spacing between the plugs, and the contact plug spacing between the gate contact plugs (not shown) and the source/drain contact plugs of the fin structure. As described above, in the preferred embodiment, regardless of the size of the fin structure 102, the contact plug pitch P2 of the contact plug 120 provided by the preferred embodiment is greater than the fin pitch of the fin structure 102. P1 is preferably greater than 75 nm. Therefore, the size and position of the contact plug 120 provided by the preferred embodiment may be defined by a single patterning process, such as a dip-type deep ultraviolet light development method or electron beam lithography, but is not limited thereto.

請參閱第4圖。接下來,係可於半導體基底100上進行內連線結構等元件之製作。舉例來說,可於接觸插塞120與ILD層上形成一介電層130d(示於第7圖),隨後於介電層130d表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義複數個金屬導線結構的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩蝕刻介電層130d,而於介電層130d內形成複數個金屬導線結構之開口(圖未示)。接下來如第4圖所示,於金屬導線結構之開口內分別形成一金屬導線結構130w。如前所述,金屬導線結構130w係作為內連線結構的最底層(即最接近半導體基底100與FinFET元件110的一層),故在本較佳實施例中,此一最底層的金屬導線結構130w亦被視為第一金屬層M1。另外,接觸插塞120係用以電性連接FinFET元件110與內連線結構的第一金屬層M1,故在本較佳實施例中,接觸插塞120係被視為第0插塞結構V0。值得注意的是,金屬導線結構130w係具有一金屬間距P3。如前所述,金屬間距P3特別是指在介電層130d當層中,金屬導線結構130w最小的金屬間距。另外,由於金屬導線結構130w係沿第二方向D2延伸,故介電層130d中,金屬間距P3係如第4圖所示,平行於第一方向D1。在本較佳實施例中,金屬間距P3係小於75nm,故必須使用多重圖案化方法,例如雙重圖案化方法來完成微影製程。雙重圖案化方法係可包含前述方法,故於此不再贅述。另外須注意的是,由於第一金屬層M1係利用雙重圖案化方法定義金屬導線結構,因此第一金屬層M1的導線可同時的沿第一方向D1與第二方向D2延伸。Please refer to Figure 4. Next, fabrication of an element such as an interconnect structure can be performed on the semiconductor substrate 100. For example, a dielectric layer 130d (shown in FIG. 7) may be formed on the contact plug 120 and the ILD layer, and then a patterned hard mask is formed on the surface of the dielectric layer 130d by a lithography process (not shown). ), used to define the size and location of a plurality of metal wire structures. Then, a patterned hard mask is used as the etch mask to etch the dielectric layer 130d, and an opening (not shown) of the plurality of metal wiring structures is formed in the dielectric layer 130d. Next, as shown in Fig. 4, a metal wire structure 130w is formed in each of the openings of the metal wire structure. As previously mentioned, the metal wire structure 130w serves as the bottommost layer of the interconnect structure (ie, the layer closest to the semiconductor substrate 100 and the FinFET device 110), so in the preferred embodiment, the bottommost metal wire structure 130w is also regarded as the first metal layer M1. In addition, the contact plug 120 is used to electrically connect the FinFET element 110 and the first metal layer M1 of the interconnect structure. Therefore, in the preferred embodiment, the contact plug 120 is regarded as the 0th plug structure V0. . It is worth noting that the metal wire structure 130w has a metal pitch P3. As previously mentioned, the metal pitch P3 refers in particular to the metal pitch of the metal wire structure 130w which is the smallest in the dielectric layer 130d. In addition, since the metal wire structure 130w extends in the second direction D2, the metal pitch P3 in the dielectric layer 130d is parallel to the first direction D1 as shown in FIG. In the preferred embodiment, the metal pitch P3 is less than 75 nm, so a multiple patterning method, such as a double patterning method, must be used to complete the lithography process. The double patterning method may include the foregoing method, and thus will not be described again. In addition, it should be noted that since the first metal layer M1 defines the metal wire structure by the double patterning method, the wires of the first metal layer M1 may simultaneously extend in the first direction D1 and the second direction D2.

請繼續參閱第4圖。在完成第一金屬層M1之後,係於第一金屬層M1與介電層上再形成一介電層132d(示於第6圖),隨後於介電層132d利用微影製程形成一圖案化硬遮罩(圖未示),用以定義複數個金屬導線結構與/或複數個接觸插塞的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻介電層132d,而於介電層132d內形成複數個金屬導線結構與接觸插塞之開口(圖未示)。接下來,於該等金屬導線結構與接觸插塞之開口內形成金屬導線結構132w與插塞結構132v,且金屬導線結構132w係沿第一方向D1延伸而彼此平行。另外,金屬導線結構132w可視為內連線結構的第二金屬層M2,而插塞結構132v則作為連接第一金屬層M1與第二金屬層M2的第一介層插塞V1。首先須注意的是,第二金屬層M2(即金屬導線結構132w)與第一介層插塞V1(即插塞結構132v)係形成於同一介電層132d,因此可視為是同一層金屬結構,因此,換句話說,這一層金屬結構係包含由第二金屬層M2與第一介層插塞V1所組成的結構對。然而,在本發明的其他實施例中,第二金屬層M2(即金屬導線結構132w)與第一介層插塞V1亦可分別形成於不同介電材料層中。如前所述,金屬導線結構132w與插塞結構132v可採用雙鑲嵌方法,但由於該方法係為熟習該項技藝之人士所知,故於此不加以贅述。更重要的是,金屬導線結構132w之間具有一金屬間距P3,而插塞結構132v之間亦具有金屬間距P3,如前所述,金屬間距P3特別是指在介電層132d當層中,金屬導線結構132w最小的金屬間距。如前所述,由於金屬導線結構132w係沿第一方向D1延伸,故介電層132d中,金屬間距P3係如第4圖所示,平行於第二方向D2。金屬導線結構132w與插塞結構132v之金屬間距P3與第一金屬層M1之金屬間距P3相同,而在本較佳實施例中,這些具有相同金屬間距的金屬結構,皆被分類為第一組金屬MG1。另外,在本較佳實施例中,第一組金屬MG1之層數不多於二層。如第4圖所示,第一組金屬MG1中至少有一層金屬結構的延伸方向係與鰭片結構102相同。舉例來說,本較佳實施例中,第一組金屬MG1中的第二金屬層M2之延伸方向即與鰭片結構102相同,皆沿第一方向D1延伸。Please continue to see Figure 4. After the first metal layer M1 is completed, a dielectric layer 132d is formed on the first metal layer M1 and the dielectric layer (shown in FIG. 6), and then a pattern is formed on the dielectric layer 132d by using a lithography process. A hard mask (not shown) is used to define the size and location of the plurality of metal wire structures and/or the plurality of contact plugs. Subsequently, the patterned hard mask is used as an etch mask to etch the dielectric layer 132d, and a plurality of metal wire structures and contact plug openings (not shown) are formed in the dielectric layer 132d. Next, metal wire structures 132w and plug structures 132v are formed in the openings of the metal wire structures and the contact plugs, and the metal wire structures 132w extend in the first direction D1 to be parallel to each other. In addition, the metal wire structure 132w can be regarded as the second metal layer M2 of the interconnect structure, and the plug structure 132v serves as the first via plug V1 connecting the first metal layer M1 and the second metal layer M2. It should be noted that the second metal layer M2 (ie, the metal wire structure 132w) and the first interlayer plug V1 (ie, the plug structure 132v) are formed on the same dielectric layer 132d, and thus can be regarded as the same metal structure. Thus, in other words, this layer of metal structure comprises a pair of structures consisting of a second metal layer M2 and a first via plug V1. However, in other embodiments of the present invention, the second metal layer M2 (ie, the metal wire structure 132w) and the first via plug V1 may also be formed in different dielectric material layers, respectively. As previously mentioned, the metal wire structure 132w and the plug structure 132v may employ a dual damascene method, but since the method is known to those skilled in the art, it will not be described herein. More importantly, the metal wire structure 132w has a metal pitch P3 between them, and the plug structure 132v also has a metal pitch P3. As described above, the metal pitch P3 is particularly in the layer of the dielectric layer 132d. The metal wire structure 132w has a minimum metal pitch. As described above, since the metal wire structure 132w extends in the first direction D1, the metal pitch P3 in the dielectric layer 132d is parallel to the second direction D2 as shown in FIG. The metal pitch P3 of the metal wire structure 132w and the plug structure 132v is the same as the metal pitch P3 of the first metal layer M1, and in the preferred embodiment, these metal structures having the same metal pitch are classified into the first group. Metal MG1. In addition, in the preferred embodiment, the number of layers of the first group of metals MG1 is not more than two. As shown in FIG. 4, at least one of the metal structures of the first group of metals MG1 extends in the same direction as the fin structure 102. For example, in the preferred embodiment, the second metal layer M2 of the first group of metal MG1 extends in the same direction as the fin structure 102 and extends in the first direction D1.

如前所述,由於第一組金屬MG1的金屬間距P3小於單一圖案化方法的極限(75nm),故必須利用雙重圖案化方法定義金屬導線結構132w的尺寸與位置,再利用一雙重圖案化方法定義插塞結構132v的尺寸與位置。另外須注意的是,由於第一組金屬MG1係利用雙重圖案化方法定義金屬導線結構,因此第一金屬層M1與第二金屬層M2導線可同時的沿第一方向D1與第二方向D2延伸。As described above, since the metal pitch P3 of the first group of metals MG1 is smaller than the limit (75 nm) of the single patterning method, it is necessary to define the size and position of the metal wire structure 132w by the double patterning method, and then use a double patterning method. The size and position of the plug structure 132v is defined. In addition, it should be noted that since the first group of metal MG1 defines a metal wire structure by a double patterning method, the first metal layer M1 and the second metal layer M2 wire may simultaneously extend along the first direction D1 and the second direction D2. .

接下來請參閱第6圖。在形成第一組金屬MG1之後,係於半導體基底100上繼續進行內連線結構等元件之製作。如第6圖與第7圖所示,於第一組金屬MG1與介電層132d上再形成一介電層140d(示於第7圖),隨後於介電層140d表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義複數個金屬導線結構與/或複數個接觸插塞的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻介電層140d,而於介電層140d內形成複數個金屬導線結構與接觸插塞之開口(圖未示)。接下來,於介電層140d上形成一金屬層(圖未示),且金屬層填金屬導線結構與接觸插塞之開口。隨後進行一平坦化製程,用以移除多餘的金屬,而於介電層140d內形成金屬導線結構140w與插塞結構140v,且金屬導線結構140w係沿第二方向D2延伸而彼此平行。另外,金屬導線結構140w可視為內連線結構的第三金屬層M3,而插塞結構140v則作為連接第二金屬層M2與第三金屬層M3的第二介層插塞V2。如第6圖與第7圖所示,第三金屬層M3(即金屬導線結構140w)與第二介層插塞V2(即插塞結構140v)係形成於同一介電層140d內,因此可視為是同一層金屬結構。然而,在本發明之其他實施例中,第三金屬層M3(即金屬導線結構140w)與第二介層插塞V2亦可分別形成於不同介電材料層中。換句話說,這一層金屬結構係包含由第三金屬層M3與第二介層插塞V2所組成的結構對。金屬導線結構140w與插塞結構140v可採用雙鑲嵌方法,但由於該方法係為熟習該項技藝之人士所知,故於此不加以贅述。更重要的是,金屬導線結構140w之間具有一金屬間距P4,而插塞結構140v之間亦具有金屬間距P4。在本較佳實施例中,金屬間距P4特別是指在介電層140d當層中,金屬導線結構140w最小的金屬間距。另外,由於金屬導線結構140w係沿第二方向D2延伸,故介電層140d中,金屬間距P4係如第6圖所示,平行於第一方向D1。金屬導線結構140w與插塞結構140v之金屬間距P4係為第一金屬層M1之金屬間距P3的1.2倍至1.5倍。在本較佳實施例中,金屬導線結構140w與插塞結構140v之金屬間距P4係介於75nm與85nm之間,但不限於此。Next, please refer to Figure 6. After the formation of the first group of metals MG1, fabrication of components such as interconnect structures is continued on the semiconductor substrate 100. As shown in FIG. 6 and FIG. 7, a dielectric layer 140d is formed on the first group of metal MG1 and the dielectric layer 132d (shown in FIG. 7), and then formed on the surface of the dielectric layer 140d by a lithography process. A patterned hard mask (not shown) is used to define the size and location of the plurality of metal wire structures and/or the plurality of contact plugs. Subsequently, the patterned hard mask is used as an etch mask to etch the dielectric layer 140d, and a plurality of metal wire structures and contact plug openings (not shown) are formed in the dielectric layer 140d. Next, a metal layer (not shown) is formed on the dielectric layer 140d, and the metal layer fills the metal wire structure and the opening of the contact plug. A planarization process is then performed to remove excess metal, and a metal wire structure 140w and a plug structure 140v are formed in the dielectric layer 140d, and the metal wire structures 140w extend in the second direction D2 to be parallel to each other. In addition, the metal wire structure 140w can be regarded as the third metal layer M3 of the interconnect structure, and the plug structure 140v serves as the second via plug V2 connecting the second metal layer M2 and the third metal layer M3. As shown in FIGS. 6 and 7, the third metal layer M3 (ie, the metal wire structure 140w) and the second via plug V2 (ie, the plug structure 140v) are formed in the same dielectric layer 140d, so that it is visible. It is the same layer of metal structure. However, in other embodiments of the present invention, the third metal layer M3 (ie, the metal wire structure 140w) and the second via plug V2 may also be formed in different dielectric material layers, respectively. In other words, this layer of metal structure comprises a pair of structures consisting of a third metal layer M3 and a second via plug V2. The metal wire structure 140w and the plug structure 140v may employ a dual damascene method, but since the method is known to those skilled in the art, it will not be described herein. More importantly, there is a metal pitch P4 between the metal wire structures 140w, and a metal pitch P4 between the plug structures 140v. In the preferred embodiment, the metal pitch P4 refers particularly to the minimum metal pitch of the metal wire structure 140w in the dielectric layer 140d. In addition, since the metal wire structure 140w extends in the second direction D2, the metal pitch P4 in the dielectric layer 140d is parallel to the first direction D1 as shown in FIG. The metal pitch P4 of the metal wire structure 140w and the plug structure 140v is 1.2 times to 1.5 times the metal pitch P3 of the first metal layer M1. In the preferred embodiment, the metal pitch P4 of the metal wire structure 140w and the plug structure 140v is between 75 nm and 85 nm, but is not limited thereto.

請參閱第7圖。接下來,本較佳實施例係可重複進行上述形成介電層142d、利用微影製程於介電層142d上形成圖案化硬遮罩、透過圖案化硬遮罩蝕刻介電層142d而於介電層142d內形成複數個金屬導線結構與/或複數個接觸插塞之開口、以及於金屬導線結構與/或複數個接觸插塞之開口內形成金屬導線結構142w與接觸插塞142v之步驟。金屬導線結構142w可視為內連線結構的第四金屬層M4,而插塞結構142v則作為連接第三金屬層M3與第四金屬層M4的第三介層插塞V3。如第7圖所示,第四金屬層M4與第三介層插塞V3係形成於同一介電層142d內,因此可視為是同一層金屬結構。然而,在本發明的其他實施例中,第四金屬層M4(即金屬導線結構142w)與第三介層插塞V3亦可分別形成於不同介電材料層中。換句話說,這一層金屬結構係包含由第四金屬層M4與第三介層插塞V3所組成的結構對。值得注意的是,金屬導線結構142w與接觸插塞142v亦具有金屬間距P4,且金屬間距P4特別是指在介電層142d當層中,金屬導線結構142w最小的金屬間距。如前所述,由於金屬導線結構142w係沿第一方向D1延伸,故介電層142d中,金屬間距P4係如第7圖所示,平行於第二方向D2。在本較佳實施例中,這些具有相同金屬間距P4的金屬結構,皆被分類為第二組金屬MG2。更重要的是,第二組金屬MG2之金屬間距P4係為第一組金屬MG1之金屬間距P3的1.2倍~1.5倍。在本較佳實施例中,第二組金屬MG2之金屬間距P4可介於75nm與85nm之間,但不限於此。另外,在本較佳實施例中,上述步驟可依需要重複進行,使得第二組金屬MG2包含之層數不限於第6圖與第7圖所繪示的兩層,然而第二組金屬MG2之層數不多於四層。Please refer to Figure 7. Next, in the preferred embodiment, the dielectric layer 142d is formed repeatedly, the patterned hard mask is formed on the dielectric layer 142d by the lithography process, and the dielectric layer 142d is etched through the patterned hard mask. A plurality of metal wire structures and/or openings of a plurality of contact plugs are formed in the electrical layer 142d, and a metal wire structure 142w and a contact plug 142v are formed in the openings of the metal wire structure and/or the plurality of contact plugs. The metal wire structure 142w can be regarded as the fourth metal layer M4 of the interconnect structure, and the plug structure 142v serves as the third via plug V3 connecting the third metal layer M3 and the fourth metal layer M4. As shown in FIG. 7, the fourth metal layer M4 and the third via plug V3 are formed in the same dielectric layer 142d, and thus can be regarded as the same metal structure. However, in other embodiments of the present invention, the fourth metal layer M4 (ie, the metal wire structure 142w) and the third via plug V3 may also be formed in different dielectric material layers, respectively. In other words, this layer of metal structure comprises a pair of structures consisting of a fourth metal layer M4 and a third via plug V3. It should be noted that the metal wire structure 142w and the contact plug 142v also have a metal pitch P4, and the metal pitch P4 refers particularly to the metal pitch of the metal wire structure 142w in the layer of the dielectric layer 142d. As described above, since the metal wiring structure 142w extends in the first direction D1, the metal pitch P4 in the dielectric layer 142d is as shown in FIG. 7, parallel to the second direction D2. In the preferred embodiment, these metal structures having the same metal pitch P4 are classified as the second group of metals MG2. More importantly, the metal pitch P4 of the second group of metals MG2 is 1.2 to 1.5 times the metal pitch P3 of the first group of metals MG1. In the preferred embodiment, the metal pitch P4 of the second group of metals MG2 may be between 75 nm and 85 nm, but is not limited thereto. In addition, in the preferred embodiment, the above steps may be repeated as needed, so that the number of layers included in the second group of metal MG2 is not limited to the two layers shown in FIG. 6 and FIG. 7, but the second group of metal MG2. The number of layers is no more than four layers.

另外須注意的是,由於第二組金屬MG2的金屬間距P4大於單一圖案化方法的極限,故可利用一次單一圖案化方法定義金屬導線結構140w/142w的尺寸與位置,再利用另一次單一圖案化方法定義插塞結構140v/142v的尺寸與位置。換句話說,同一層的金屬結構必須使用二次的單一圖案化方法來定義金屬導線結構與插塞結構。It should also be noted that since the metal pitch P4 of the second group of metal MG2 is greater than the limit of the single patterning method, the size and position of the metal wire structure 140w/142w can be defined by a single patterning method, and another single pattern can be utilized. The method defines the size and position of the plug structure 140v/142v. In other words, the metal structure of the same layer must use a secondary single patterning method to define the metal wire structure and the plug structure.

請參閱第7圖。在形成第二組金屬MG2之後,係於半導體基底100上繼續進行內連線結構等元件之製作。如第7圖所示,於第二組金屬MG2上重複進行以下步驟:形成一介電層150d/152d/154d,且介電層150d/152d/154d可分別由多層之介電材料層形成。隨後於介電層表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義複數個金屬導線結構與/或複數個接觸插塞的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻介電層,而於介電層內形成複數個金屬導線結構與接觸插塞之開口(圖未示)。接下來,於介電層上形成一金屬層(圖未示),且金屬層填滿金屬導線結構與接觸插塞之開口。隨後進行一平坦化製程,用以移除多餘的金屬,而於介電層150d/152d/154d內形成金屬導線結構150w/152w/154w與插塞結構150v/152v/154v。另外,金屬導線結構150w可視為內連線結構的第五金屬層M5,而插塞結構150v則作為連接第四金屬層M4與第五金屬層M5的第四介層插塞V4。依此類推,金屬導線結構152w為第六金屬層M6,而插塞結構152v為第五介層插塞V5;金屬導線結構154w為第七金屬層M7,而插塞結構154v為第六介層插塞V6。如第7圖所示,第五金屬層M5與第四介層插塞V4係形成於同一介電層150d內,因此可視為是同一層金屬結構。依此類推,第六金屬層M6與第五介層插塞V5係形成於同一介電層152d內,因此可視為是同一層金屬結構;而第七金屬層M7與第六介層插塞V6係形成於同一介電層154d內,故可視為是同一層金屬結構。由此可知,每一層金屬結構皆包含由第n金屬層Mn與第n-1介層插塞Vn-1所組成的結構對。更重要的是,金屬導線結構150w/152w/154w具有一金屬間距P5,而插塞結構150v/152v/154v亦具有金屬間距P5,且金屬間距P5特別是指在介電層150d/152d/154d當層中,金屬導線結構150w/152w/154w最小的金屬間距。藉由上述步驟,係可完成內連線結構200以及積體電路結構300的建構。Please refer to Figure 7. After the formation of the second group of metals MG2, fabrication of components such as interconnect structures is continued on the semiconductor substrate 100. As shown in FIG. 7, the following steps are repeated on the second group of metal MG2: a dielectric layer 150d/152d/154d is formed, and the dielectric layers 150d/152d/154d are respectively formed of a plurality of layers of dielectric material. A patterned hard mask (not shown) is then formed on the surface of the dielectric layer by a lithography process to define the size and location of the plurality of metal wire structures and/or the plurality of contact plugs. A patterned hard mask is then used as an etch mask to etch the dielectric layer, and a plurality of metal wire structures and contact plug openings (not shown) are formed in the dielectric layer. Next, a metal layer (not shown) is formed on the dielectric layer, and the metal layer fills the metal wire structure and the opening of the contact plug. A planarization process is then performed to remove excess metal, and metal wire structures 150w/152w/154w and plug structures 150v/152v/154v are formed in dielectric layers 150d/152d/154d. In addition, the metal wire structure 150w can be regarded as the fifth metal layer M5 of the interconnect structure, and the plug structure 150v serves as the fourth via plug V4 connecting the fourth metal layer M4 and the fifth metal layer M5. And so on, the metal wire structure 152w is the sixth metal layer M6, and the plug structure 152v is the fifth interlayer plug V5; the metal wire structure 154w is the seventh metal layer M7, and the plug structure 154v is the sixth layer. Plug V6. As shown in FIG. 7, the fifth metal layer M5 and the fourth via plug V4 are formed in the same dielectric layer 150d, and thus can be regarded as the same metal structure. And so on, the sixth metal layer M6 and the fifth interlayer plug V5 are formed in the same dielectric layer 152d, so that it can be regarded as the same metal structure; and the seventh metal layer M7 and the sixth interlayer plug V6 It is formed in the same dielectric layer 154d, so it can be regarded as the same metal structure. It can be seen that each of the metal structures includes a structural pair composed of the nth metal layer Mn and the n-1th dielectric plug Vn-1. More importantly, the metal wire structure 150w/152w/154w has a metal pitch P5, and the plug structure 150v/152v/154v also has a metal pitch P5, and the metal pitch P5 is particularly referred to as the dielectric layer 150d/152d/154d. In the layer, the metal wire structure 150w/152w/154w has the smallest metal pitch. Through the above steps, the construction of the interconnect structure 200 and the integrated circuit structure 300 can be completed.

此外,如第5圖至第7圖所示,本發明所提供之內連線結構200中任一金屬層Mn的延伸方向係與設置於垂直相鄰層內的金屬層Mn+1的延伸方向垂直。In addition, as shown in FIGS. 5 to 7, the extending direction of any metal layer Mn in the interconnect structure 200 provided by the present invention is the extending direction of the metal layer Mn+1 disposed in the vertically adjacent layer. vertical.

根據本較佳實施例所提供之內連線結構及其製作方法,係在利用多重圖案化方法完成鰭片結構102的製作,以及完成FinFET元件110的製作等前段製程之後,不論FinFET元件110的鰭片結構102之鰭片間距P1之尺寸為何,不僅增加接觸插塞120的接觸插塞間距P2,使接觸插塞間距P2大於單一圖案化製程的極限值,故可利用單一圖案化方法進行製作接觸插塞120所需的微影製程。如前所述,接觸插塞間距P2包含閘極接觸插塞間的接觸插塞間距、鰭片結構的源極/汲極接觸插塞間的接觸插塞間距,以及閘極接觸插塞與鰭片結構的源極/汲極接觸插塞間的接觸插塞間距。更重要的是,本較佳實施例更限制採用多重圖案化方法定義的第一組金屬MG1的層數為不超過二層,並且於第一組金屬MG1上形成利用單一圖案化方法定義的第二組金屬MG2。詳細地說,本較佳實施例中第一組金屬MG1的金屬間距P3係小於一預定值,且該預定值可為75nm,而第二組金屬MG2的金屬間距P4則為第一組金屬MG1的金屬間距P3的1.2倍~1.5倍,例如可以是介於75nm與85nm之間。由於第二組金屬MG2的金屬間距P4大於單一圖案化製程的極限值,故可利用單一圖案化方法進行製作第二組金屬MG2所需的微影製程。換句話說,本較佳實施例係依序採用多重圖案化方法定義鰭片結構102、利用單一圖案化方法定義接觸插塞120的尺寸與位置、利用多重圖案化方法定義第一組金屬MG1的尺寸與位置、以及利用單一圖案化方法定義第二組金屬MG2的尺寸與位置。與習知技術必須使用多重圖案化方法定義出接觸插塞120與第二組金屬MG2之尺寸與位置相較,本較佳實施例係可省略至少二張光罩,更因此省略了至少二次的對準動作。另外,隨著第二組金屬MG2層數的增加,本較佳實施例所提供之後段製程(back-end-of-line)能省略的光罩張數以及對準步驟次數可更加降低。因此,本較佳實施例所提供之積體電路結構及其製作方法係享有降低製程複雜度、簡化製程流程、以及減少製作成本等優點。The interconnect structure and the method of fabricating the same according to the preferred embodiment are completed after the fabrication of the fin structure 102 by the multiple patterning method and the fabrication of the FinFET device 110, regardless of the front-end process of the FinFET device 110. The size of the fin pitch P1 of the fin structure 102 not only increases the contact plug pitch P2 of the contact plug 120, but also makes the contact plug pitch P2 larger than the limit value of the single patterning process, so that it can be fabricated by a single patterning method. The lithography process required to contact the plug 120. As mentioned before, the contact plug pitch P2 includes the contact plug spacing between the gate contact plugs, the contact plug spacing between the source/drain contact plugs of the fin structure, and the gate contact plugs and fins. The contact plug spacing between the source/drain contact plugs of the sheet structure. More importantly, the preferred embodiment further limits the number of layers of the first group of metal MG1 defined by the multiple patterning method to not more than two layers, and forms a first definition on the first group of metal MG1 by a single patterning method. Two sets of metal MG2. In detail, in the preferred embodiment, the metal pitch P3 of the first group of metal MG1 is less than a predetermined value, and the predetermined value may be 75 nm, and the metal pitch P4 of the second group of metal MG2 is the first group of metal MG1. The metal pitch P3 is 1.2 times to 1.5 times, and may be, for example, between 75 nm and 85 nm. Since the metal pitch P4 of the second group of metal MG2 is greater than the limit value of the single patterning process, the lithography process required to fabricate the second group of metal MG2 can be performed by a single patterning method. In other words, the preferred embodiment sequentially defines the fin structure 102 by using a multiple patterning method, defines the size and position of the contact plug 120 by a single patterning method, and defines the first group of metal MG1 by using a multiple patterning method. Size and position, and the size and position of the second set of metal MG2 is defined using a single patterning method. The prior art must use a multiple patterning method to define the size and position of the contact plug 120 and the second set of metal MG2. In the preferred embodiment, at least two masks can be omitted, and thus at least two times are omitted. Alignment action. In addition, as the number of layers of the second group of metal MG2 increases, the number of masks and the number of alignment steps that can be omitted in the back-end-of-line provided by the preferred embodiment can be further reduced. Therefore, the integrated circuit structure and the manufacturing method thereof provided by the preferred embodiment enjoy the advantages of reduced process complexity, simplified process flow, and reduced manufacturing cost.

請重新參閱第7圖,第7圖亦可作為本發明所提供之積體電路結構之製作方法之一第三較佳實施例之示意圖。首先須注意的是,在第三較佳實施例中,與第一較佳實施例相同的組成元件係可包含相同的材料,故該等材料選擇係不再加以贅述,且相同的製作步驟亦不再贅述。如第7圖所示,本較佳實施例係提供一半導體基底100,半導體基底100上係形成有至少一非平面型場效電晶體元件,例如一FinFET元件110。FinFET元件100包含複數個沿一第一方向D1排列的鰭片結構102,以及一覆蓋部份各鰭片結構102的閘極電極104。接下來,於半導體基底100上形成一ILD層(圖未示),隨後於ILD層內形成複數個接觸插塞120或複數個條形接觸插塞120。Please refer to FIG. 7 again, and FIG. 7 can also be used as a schematic diagram of a third preferred embodiment of the manufacturing method of the integrated circuit structure provided by the present invention. It should be noted that in the third preferred embodiment, the same constituent elements as the first preferred embodiment may comprise the same material, so the selection of materials is not described again, and the same manufacturing steps are also No longer. As shown in FIG. 7, the preferred embodiment provides a semiconductor substrate 100 having at least one non-planar field effect transistor device, such as a FinFET device 110, formed thereon. The FinFET device 100 includes a plurality of fin structures 102 arranged along a first direction D1, and a gate electrode 104 covering a portion of each of the fin structures 102. Next, an ILD layer (not shown) is formed on the semiconductor substrate 100, and then a plurality of contact plugs 120 or a plurality of strip contact plugs 120 are formed in the ILD layer.

在完成接觸插塞120或條形接觸插塞120之製作後,係可於半導體基底100上繼續進行內連線結構等元件之製作,以於FinFET元件110上形成一內連線結構。舉例來說,可於接觸插塞120與ILD層上形成一介電層130d,隨後於介電層130d表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義複數個金屬導線結構的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩蝕刻介電層130d,而於介電層內形成複數個金屬導線結構之開口(圖未示)。接下來如第7圖所示,於金屬導線結構之開口內分別形成一金屬導線結構130w。如前所述,金屬導線結構130被視為內連線結構的第一金屬層M1,且第一金屬層M1可藉由第0介層插塞V0(即接觸插塞120)與FinFET元件110電性連接。在完成第一金屬層M1之後,係可進行第二金屬層M2與第一介層插塞V1之製作。如前所述,由於第二金屬層M2與第一介層插塞V1係形成於同一介電層132d,因此可視為是同一層金屬結構。換句話說,這一層金屬結構係包含由第二金屬層M2與第一介層插塞V1所組成的結構對。如前所述,第二金屬層M2與第一介層插塞V1可採用雙鑲嵌方法,但由於該方法係為熟習該項技藝之人士所知,故於此不加以贅述。更重要的是,第一金屬層M1、第一介層插塞V1、第二金屬層M2係分別具有一金屬間距P3,在本較佳實施例中,這些具有相同金屬間距的金屬結構,皆被分類為第一組金屬MG1。另外,在本較佳實施例中,第一組金屬MG1之層數不多於二層。如第7圖所示,第一組金屬MG1中至少有一層金屬結構的延伸方向係與鰭片結構102相同。舉例來說,本較佳實施例中,第一組金屬MG1中的第二金屬層M2之延伸方向即與鰭片結構102相同,皆沿第一方向D1延伸。After the fabrication of the contact plug 120 or the strip contact plug 120 is completed, the fabrication of components such as interconnect structures can be continued on the semiconductor substrate 100 to form an interconnect structure on the FinFET component 110. For example, a dielectric layer 130d may be formed on the contact plug 120 and the ILD layer, and then a patterned hard mask (not shown) may be formed on the surface of the dielectric layer 130d by using a lithography process to define a plurality of The size and location of the metal wire structure. A patterned hard mask is then used as the etch mask to etch the dielectric layer 130d, and openings (not shown) of the plurality of metal wiring structures are formed in the dielectric layer. Next, as shown in Fig. 7, a metal wire structure 130w is formed in each of the openings of the metal wire structure. As described above, the metal wire structure 130 is regarded as the first metal layer M1 of the interconnect structure, and the first metal layer M1 can be connected to the FinFET element 110 by the 0th dielectric plug V0 (ie, the contact plug 120). Electrical connection. After the first metal layer M1 is completed, the fabrication of the second metal layer M2 and the first via plug V1 can be performed. As described above, since the second metal layer M2 and the first via plug V1 are formed on the same dielectric layer 132d, it can be regarded as the same metal structure. In other words, this layer of metal structure comprises a pair of structures consisting of a second metal layer M2 and a first via plug V1. As described above, the second metal layer M2 and the first via plug V1 may employ a dual damascene method, but since the method is known to those skilled in the art, it will not be described herein. More importantly, the first metal layer M1, the first interlayer plug V1, and the second metal layer M2 each have a metal pitch P3. In the preferred embodiment, the metal structures having the same metal pitch are Classified as the first group of metals MG1. In addition, in the preferred embodiment, the number of layers of the first group of metals MG1 is not more than two. As shown in FIG. 7, at least one of the metal structures of the first group of metals MG1 extends in the same direction as the fin structure 102. For example, in the preferred embodiment, the second metal layer M2 of the first group of metal MG1 extends in the same direction as the fin structure 102 and extends in the first direction D1.

更重要的是,在本較佳實施例中,第一組金屬MG1的金屬間距P3不僅小於單一圖案化方法的極限(75nm),更小於雙重圖案化方法的極限(50nm),故必須利用一四倍圖案化方法(quadruple patterning)定義第一組金屬MG1。此外,由於第一組金屬MG1的一層內可包含第二金屬層M2與第一介層插塞V1,故本較佳實施例可利用一次四倍圖案化方法定義第一金屬層M1的尺寸與位置,再利用另一次圖案化方法定義第一介層插塞V1的尺寸與位置。換句話說,同一層的金屬結構必須使用二次的四倍圖案化方法來定義。More importantly, in the preferred embodiment, the metal pitch P3 of the first group of metal MG1 is not only smaller than the limit of the single patterning method (75 nm), but is smaller than the limit of the double patterning method (50 nm), so it is necessary to utilize one. A quadruple patterning defines a first set of metals MG1. In addition, since the first metal layer M2 and the first interlayer plug V1 can be included in one layer of the first metal MG1, the preferred embodiment can define the size of the first metal layer M1 by using a quadruple patterning method. Position, and another patterning method is used to define the size and position of the first via plug V1. In other words, the metal structure of the same layer must be defined using a quadratic quadruple patterning method.

接下來請參閱第7圖。在形成第一組金屬MG1之後,係於半導體基底100上繼續進行內連線結構的元件之製作。如第7圖所示,於第一組金屬MG1上再形成一介電層140d,隨後於介電層140d表面利用微影製程形成一圖案化硬遮罩,用以定義複數個金屬導線結構與/或複數個接觸插塞的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻介電層140d,而於介電層內形成複數個金屬導線結構與接觸插塞之開口(圖未示)。接下來,於金屬導線結構與接觸插塞之開口內形成第三金屬層M3與第二介層插塞V2。此外,可重複進行上述步驟,而於介電層140d上再形成介電層142d與形成於介電層142d內的第四金屬層M4與第三介層插塞V3。須注意的是,第三金屬層M3、第二介層插塞V2、第四金屬層M4與第三介層插塞V3分別具有一相同的金屬間距P4,故具有相同金屬間距P4的第三金屬層M3、第二介層插塞V2、第四金屬層M4與第三介層插塞V3係被分類為第二組金屬MG2。更重要的是,第二組金屬MG2的金屬間距P4係為第一組金屬MG1之金屬間距P3的1.2倍至1.5倍。在本較佳實施例中,第二組金屬MG2的金屬間距P4係介於50nm與75nm之間,但不限於此。另外,在本較佳實施例中,上述步驟可依需要重複進行,使得第二組金屬MG2包含之膜層數不限於與第7圖所繪示的兩層,然而第二組金屬MG2之層數不多於四層。Next, please refer to Figure 7. After the formation of the first group of metals MG1, the fabrication of the components of the interconnect structure is continued on the semiconductor substrate 100. As shown in FIG. 7, a dielectric layer 140d is further formed on the first group of metal MG1, and then a patterned hard mask is formed on the surface of the dielectric layer 140d by using a lithography process to define a plurality of metal wire structures and / or the size and position of a plurality of contact plugs. Subsequently, the patterned hard mask is used as an etch mask to etch the dielectric layer 140d, and a plurality of metal wire structures and contact plug openings (not shown) are formed in the dielectric layer. Next, a third metal layer M3 and a second via plug V2 are formed in the metal wire structure and the opening of the contact plug. In addition, the above steps may be repeated, and a dielectric layer 142d and a fourth metal layer M4 and a third via plug V3 formed in the dielectric layer 142d are further formed on the dielectric layer 140d. It should be noted that the third metal layer M3, the second via plug V2, the fourth metal layer M4 and the third via plug V3 have the same metal pitch P4, respectively, and thus have the third metal pitch P4. The metal layer M3, the second via plug V2, the fourth metal layer M4, and the third via plug V3 are classified into a second group of metal MG2. More importantly, the metal pitch P4 of the second group of metal MG2 is 1.2 times to 1.5 times the metal pitch P3 of the first group of metals MG1. In the preferred embodiment, the metal pitch P4 of the second group of metals MG2 is between 50 nm and 75 nm, but is not limited thereto. In addition, in the preferred embodiment, the above steps may be repeated as needed, so that the number of layers of the second group of metal MG2 is not limited to the two layers shown in FIG. 7, but the second layer of metal MG2. No more than four layers.

另外須注意的是,由於第二組金屬MG2的金屬間距P4大於四倍圖案化方法的極限,但仍小於單一圖案化方法的極限,故第二組金屬MG2的每一膜層中係可利用一次雙重圖案化方法定義金屬導線結構的尺寸與位置,再利用另一次雙重圖案化方法定義插塞結構的尺寸與位置。In addition, it should be noted that since the metal pitch P4 of the second group of metals MG2 is greater than the limit of the four-fold patterning method, but still less than the limit of the single patterning method, each layer of the second group of metal MG2 can be utilized. A double patterning method defines the size and position of the metal wire structure, and another double patterning method is used to define the size and position of the plug structure.

請繼續參閱第7圖。在形成第二組金屬MG2之後,係於半導體基底100上繼續進行內連線結構的元件之製作。如第6圖所示,接下來於第二組金屬MG2上重複進行以下步驟:形成一介電層150d/152d/154d,隨後於介電層150d/152d/154d表面利用微影製程形成一圖案化硬遮罩(圖未示),用以定義複數個金屬導線結構與/或複數個接觸插塞的尺寸與位置。隨後利用圖案化硬遮罩作為蝕刻遮罩,蝕刻介電層150d/152d/154d,而於介電層150d/152d/154d內形成複數個金屬導線結構與接觸插塞之開口(圖未示)。接下來,於金屬導線結構與接觸插塞之開口形成金屬導線結構與插塞結構。如第7圖所示,介電層150d內形成有第五金屬層M5以及第四介層插塞V4、介電層152d內形成有為第六金屬層M6與第五介層插塞V5、介電層154d內形成有第七金屬層M7與第六介層插塞V6。由此可知,每一層金屬結構皆包含由第n金屬層Mn與第n-1介層插塞Vn-1所組成的結構對。更重要的是,上述金屬層M5、M6、M7與介層插塞V4、V5、V6具有一相同的金屬間距P5,故具有相同金屬間距P5的金屬層M5、M6、M7與介層插塞V4、V5、V6係被分類為第三組金屬MG3。更重要的是,第三組金屬MG3的金屬間距P5亦係為第二組金屬MG2之金屬間距P4的1.2倍至1.5倍。在本較佳實施例中,第二組金屬MG2的金屬間距P4係大於75nm,但不限於此。Please continue to see Figure 7. After the formation of the second group of metals MG2, the fabrication of the components of the interconnect structure is continued on the semiconductor substrate 100. As shown in FIG. 6, the following steps are repeated on the second group of metal MG2: a dielectric layer 150d/152d/154d is formed, and then a pattern is formed on the surface of the dielectric layer 150d/152d/154d by a lithography process. A hard mask (not shown) is used to define the size and location of the plurality of metal wire structures and/or the plurality of contact plugs. Subsequently, the patterned hard mask is used as an etch mask to etch the dielectric layer 150d/152d/154d, and a plurality of metal wire structures and contact plug openings are formed in the dielectric layer 150d/152d/154d (not shown). . Next, a metal wire structure and a plug structure are formed at the metal wire structure and the opening of the contact plug. As shown in FIG. 7, a fifth metal layer M5 and a fourth via plug V4 are formed in the dielectric layer 150d, and a sixth metal layer M6 and a fifth via plug V5 are formed in the dielectric layer 152d. A seventh metal layer M7 and a sixth via plug V6 are formed in the dielectric layer 154d. It can be seen that each of the metal structures includes a structural pair composed of the nth metal layer Mn and the n-1th dielectric plug Vn-1. More importantly, the metal layers M5, M6, and M7 and the interlayer plugs V4, V5, and V6 have the same metal pitch P5, so the metal layers M5, M6, M7 and the interlayer plug having the same metal pitch P5. V4, V5, and V6 are classified into a third group of metals MG3. More importantly, the metal pitch P5 of the third group of metal MG3 is also 1.2 times to 1.5 times the metal pitch P4 of the second group of metals MG2. In the preferred embodiment, the metal pitch P4 of the second group of metals MG2 is greater than 75 nm, but is not limited thereto.

另外須注意的是,由於第三組金屬MG3的金屬間距P5已大於單一圖案化方法的極限,故第三組金屬MG3的每一膜層中係可利用一次單一圖案化方法定義金屬導線結構的尺寸與位置,再利用另一次單一圖案化方法定義插塞結構的尺寸與位置。換句話說,同一層的金屬結構必須使用二次的單一圖案化方法來定義。In addition, since the metal pitch P5 of the third group of metal MG3 is greater than the limit of the single patterning method, each single layer of the third group of metal MG3 can define the metal wire structure by using a single patterning method. Size and position, another single patterning method is used to define the size and position of the plug structure. In other words, the metal structure of the same layer must be defined using a secondary single patterning method.

根據本較佳實施例所提供之內連線結構及其製作方法,內連線結構200的第一組金屬MG1所包含的金屬間距P3係小於一第一預定值、第二組金屬MG2的金屬間距P4係大於該第一預定值但小於一第二預定值、第三組金屬MG3的金屬間距P5則大於該第二預定值。上述第一預定值與第二預定值可為不同等級顯影設備的極限值。舉例來說,在本較佳實施例中,第一預定值為50nm,第二預定值為75nm,但熟習該項技藝之人士應知第一預定值與第二預定值為不同等級顯影設備的極限值,故不限於此。因此,金屬間距P3小於50nm的第一組金屬MG1必須利用四倍圖案化方法定義、金屬間距P4介於50nm與75nm之間的第二組金屬MG2必須利用雙重圖案化方法定義、而金屬間距P5大於75nm的第三組金屬MG3則可利用單一圖案化方法定義。簡單地說,本較佳實施例係可利用半導體製程中最高階的顯影設備定義第一組金屬MG1、利用次一階的顯影設備定義第二組金屬MG2、利用更次一階的顯影設備定義第三組金屬MG3。According to the interconnect structure and the manufacturing method thereof, the first group of metal MG1 of the interconnect structure 200 includes a metal pitch P3 which is smaller than a first predetermined value and a metal of the second group of metal MG2. The pitch P4 is greater than the first predetermined value but less than a second predetermined value, and the metal pitch P5 of the third group of metals MG3 is greater than the second predetermined value. The first predetermined value and the second predetermined value may be limit values of different levels of developing devices. For example, in the preferred embodiment, the first predetermined value is 50 nm and the second predetermined value is 75 nm, but those skilled in the art will recognize that the first predetermined value and the second predetermined value are different grades of the developing device. The limit value is not limited to this. Therefore, the first group of metals MG1 having a metal pitch P3 of less than 50 nm must be defined by a four-fold patterning method, and the second group of metals MG2 having a metal pitch P4 between 50 nm and 75 nm must be defined by a double patterning method, and the metal pitch P5 A third set of metal MG3 greater than 75 nm can be defined using a single patterning process. Briefly, the preferred embodiment can define a first set of metals MG1 using a higher order developing device in a semiconductor process, a second set of metal MG2 using a second-order developing device, and a second-order developing device definition. The third group of metals MG3.

根據本發明所提供之積體電路結構及其製作方法,係可增加接觸插塞/條形接觸插塞的接觸插塞間距,使得接觸插塞大於第一組金屬的金屬間距和/或鰭片結構的鰭片間距,並以單一圖案化製程取代多重圖案化製程製作接觸插塞/條形接觸插塞,故可省略至少一張光罩的使用,更因此省略了至少一次的對準動作。更重要的是,本發明係可於非平面型FET元件上形成第一組金屬,隨後於第一組金屬上形成第二組金屬,且第二組金屬所包含之第二金屬間距係為第一組金屬所包含之第一金屬間距的1.2倍至1.5倍。由於第二組金屬係利用單一圖案化方法定義,因此在積體電路結構的製作過程中,係以單一圖案化製程取代多重圖案化製程定義第二組金屬的位置與尺寸,故可更省略至少一張光罩的使用,以及更省略至少一次的對準動作。簡單地說,本發明所教導之製作方法,係以半導體製程中最高階的顯影設備定義第一組金屬的位置與尺寸,但利用次一階的顯影設備定義第二組金屬的位置與尺寸,故可達到減少光罩使用數量。也就是說,本發明所提供之積體電路結構及其製作方法係享有降低製程複雜度、簡化製程流程、以及減少製作成本等優點。   以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The integrated circuit structure and the method of fabricating the same according to the present invention can increase the contact plug pitch of the contact plug/strip contact plug such that the contact plug is larger than the metal pitch and/or the fin of the first set of metal The fin spacing of the structure is replaced by a single patterning process to replace the multi-patterning process to make the contact plug/strip contact plug, so that the use of at least one photomask can be omitted, and thus at least one alignment action is omitted. More importantly, the present invention can form a first group of metals on the non-planar FET device, then form a second group of metals on the first group of metals, and the second group of metals included in the second group of metals is A set of metals comprises between 1.2 and 1.5 times the pitch of the first metal. Since the second group of metals is defined by a single patterning method, in the fabrication process of the integrated circuit structure, the position and size of the second group of metals are defined by a single patterning process instead of the multiple patterning process, so that at least the above can be omitted. The use of a mask and the alignment action that is omitted at least once. Briefly, the fabrication method taught by the present invention defines the position and size of the first set of metals by the highest order developing device in the semiconductor process, but uses the next-order developing device to define the position and size of the second set of metals. Therefore, the number of reticle use can be reduced. That is to say, the integrated circuit structure and the manufacturing method thereof provided by the invention enjoy the advantages of reducing process complexity, simplifying the process flow, and reducing the manufacturing cost. The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

100‧‧‧基底
102‧‧‧鰭片結構
102p‧‧‧連接墊
102s‧‧‧條型接觸插塞
104‧‧‧閘極電極
110‧‧‧鰭式場效電晶體元件
120‧‧‧接觸插塞/條形接觸插塞
130d、132d、140d、142d、150d、152d、154d‧‧‧介電層
130w、132w、140w、142w、150w、152w、154w‧‧‧金屬導線結構
132v、140v、142v、150v、152v、154v‧‧‧插塞結構
200‧‧‧內連線結構
300‧‧‧積體電路結構
MG1‧‧‧第一組金屬
MG2‧‧‧第二組金屬
MG3‧‧‧第三組金屬
M1‧‧‧第一金屬層
M2‧‧‧第二金屬層
M3‧‧‧第三金屬層
M4‧‧‧第四金屬層
M5‧‧‧第五金屬層
M6‧‧‧第六金屬層
M7‧‧‧第七金屬層
V0‧‧‧接觸插塞
V1‧‧‧第一介層插塞
V2‧‧‧第二介層插塞
V3‧‧‧第三介層插塞
V4‧‧‧第四介層插塞
V5‧‧‧第五介層插塞
V6‧‧‧第六介層插塞
P1‧‧‧鰭片間距
P2‧‧‧接觸插塞間距
P3、P4、P5‧‧‧金屬間距
100‧‧‧Base
102‧‧‧Fin structure
102p‧‧‧ connection pad
102s‧‧‧ strip contact plug
104‧‧‧gate electrode
110‧‧‧Fin field effect transistor components
120‧‧‧Contact plug/strip contact plug
130d, 132d, 140d, 142d, 150d, 152d, 154d‧‧‧ dielectric layer
130w, 132w, 140w, 142w, 150w, 152w, 154w‧‧‧ metal wire structure
132v, 140v, 142v, 150v, 152v, 154v‧‧‧ plug structure
200‧‧‧Interconnection structure
300‧‧‧Integrated circuit structure
MG1‧‧‧The first group of metals
MG2‧‧‧Second Group of Metals
MG3‧‧‧ third group of metals
M1‧‧‧ first metal layer
M2‧‧‧ second metal layer
M3‧‧‧ third metal layer
M4‧‧‧ fourth metal layer
M5‧‧‧ fifth metal layer
M6‧‧‧ sixth metal layer
M7‧‧‧ seventh metal layer
V0‧‧‧ contact plug
V1‧‧‧ first layer plug
V2‧‧‧Second layer plug
V3‧‧‧ third layer plug
V4‧‧‧fourth layer plug
V5‧‧‧ fifth layer plug
V6‧‧‧ sixth layer plug
P1‧‧‧Fin spacing
P2‧‧‧Contact plug spacing
P3, P4, P5‧‧‧ metal spacing

第1圖至第4圖係為本發明所提供之積體電路結構之製作方法之一第一較佳實施例之示意圖,其中第3圖係為本發明之一變化型之示意圖。      第5圖為本發明所提供之內連線結構之一簡單示意圖。      第1圖至第7圖係為本發明所提供之積體電路結構之製作方法之一第二較佳實施例之示意圖。      第7圖亦可為本發明所提供之積體電路結構之製作方法之一第三較佳實施例之示意圖1 to 4 are schematic views of a first preferred embodiment of a method for fabricating an integrated circuit structure provided by the present invention, and FIG. 3 is a schematic view showing a variation of the present invention. Figure 5 is a simplified schematic diagram of the interconnect structure provided by the present invention. 1 to 7 are schematic views showing a second preferred embodiment of a method for fabricating an integrated circuit structure provided by the present invention. FIG. 7 is also a schematic diagram of a third preferred embodiment of a method for fabricating an integrated circuit structure provided by the present invention.

100‧‧‧基底 100‧‧‧Base

102‧‧‧鰭片結構 102‧‧‧Fin structure

104‧‧‧閘極電極 104‧‧‧gate electrode

110‧‧‧鰭式場效電晶體元件 110‧‧‧Fin field effect transistor components

120‧‧‧接觸插塞/條形接觸插塞 120‧‧‧Contact plug/strip contact plug

130d、132d、140d、142d、150d、152d、154d‧‧‧介電層 130d, 132d, 140d, 142d, 150d, 152d, 154d‧‧‧ dielectric layer

130w、132w、140w、142w、150w、152w、154w‧‧‧金屬導線結構 130w, 132w, 140w, 142w, 150w, 152w, 154w‧‧‧ metal wire structure

132v、140v、142v、150v、152v、154v‧‧‧插塞結構 132v, 140v, 142v, 150v, 152v, 154v‧‧‧ plug structure

200‧‧‧內連線結構 200‧‧‧Interconnection structure

300‧‧‧積體電路結構 300‧‧‧Integrated circuit structure

MG1‧‧‧第一組金屬 MG1‧‧‧The first group of metals

MG2‧‧‧第二組金屬 MG2‧‧‧Second Group of Metals

MG3‧‧‧第三組金屬 MG3‧‧‧ third group of metals

M1‧‧‧第一金屬層 M1‧‧‧ first metal layer

M2‧‧‧第二金屬層 M2‧‧‧ second metal layer

M3‧‧‧第三金屬層 M3‧‧‧ third metal layer

M4‧‧‧第四金屬層 M4‧‧‧ fourth metal layer

M5‧‧‧第五金屬層 M5‧‧‧ fifth metal layer

M6‧‧‧第六金屬層 M6‧‧‧ sixth metal layer

M7‧‧‧第七金屬層 M7‧‧‧ seventh metal layer

V0‧‧‧接觸插塞 V0‧‧‧ contact plug

V1‧‧‧第一介層插塞 V1‧‧‧ first layer plug

V2‧‧‧第二介層插塞 V2‧‧‧Second layer plug

V3‧‧‧第三介層插塞 V3‧‧‧ third layer plug

V4‧‧‧第四介層插塞 V4‧‧‧fourth layer plug

V5‧‧‧第五介層插塞 V5‧‧‧ fifth layer plug

V6‧‧‧第六介層插塞 V6‧‧‧ sixth layer plug

P1‧‧‧鰭片間距 P1‧‧‧Fin spacing

P2‧‧‧接觸插塞間距 P2‧‧‧Contact plug spacing

P3、P4、P5‧‧‧金屬間距 P3, P4, P5‧‧‧ metal spacing

Claims (26)

一種積體電路結構,包含有:      一半導體基底;      至少一非平面型(non-planar)場效電晶體(field effect transistor, FET)元件,設置於該半導體基底上,且該非平面型場效電晶體元件包含有複數個鰭片結構與一閘極電極;以及      一內連線結構,設置於該半導體基底上,且該內連線結構包含有複數個第一組金屬與複數個第二組金屬,該等第一組金屬係設置於該非平面型場效電晶體元件上,而該等第二組金屬係設置於該等第一組金屬上,該等第一組金屬包含有一第一金屬間距,該等第二組金屬包含一第二金屬間距,且該第二金屬間距係為該第一金屬間距的1.2倍至1.5倍。An integrated circuit structure comprising: a semiconductor substrate; at least one non-planar field effect transistor (FET) component disposed on the semiconductor substrate, and the non-planar field effect electric The crystal element includes a plurality of fin structures and a gate electrode; and an interconnect structure disposed on the semiconductor substrate, and the interconnect structure includes a plurality of first group metals and a plurality of second groups of metals The first set of metal is disposed on the non-planar field effect transistor component, and the second set of metal is disposed on the first set of metals, the first set of metals including a first metal pitch The second set of metals includes a second metal pitch, and the second metal pitch is 1.2 to 1.5 times the first metal pitch. 如申請專利範圍第1項所述之積體電路結構,更包含至少一條狀接觸(slot contact),且該條狀接觸插塞電性連接該非平面型場效電晶體元件與該內連線結構的該等第一組金屬。The integrated circuit structure of claim 1, further comprising at least one slot contact, and the strip contact plug electrically connecting the non-planar field effect transistor element and the interconnect structure The first group of metals. 如申請專利範圍第1項所述之積體電路結構,更包含至少一接觸插塞(contact plug),且該接觸插塞電性連接該非平面型場效電晶體元件與該內連線結構的該等第一組金屬。The integrated circuit structure of claim 1, further comprising at least one contact plug, wherein the contact plug is electrically connected to the non-planar field effect transistor component and the interconnect structure The first group of metals. 如申請專利範圍第3項所述之積體電路結構,其中該接觸插塞包含一接觸插塞間距,且該接觸插塞間距大於該第一金屬間距。The integrated circuit structure of claim 3, wherein the contact plug comprises a contact plug pitch, and the contact plug pitch is greater than the first metal pitch. 如申請專利範圍第1項所述之積體電路結構,其中該等第一組金屬包含之層數不多於二層。The integrated circuit structure of claim 1, wherein the first group of metals comprises no more than two layers. 如申請專利範圍第1項所述之積體電路結構,其中該等第二組金屬包含之層數不多於四層。The integrated circuit structure of claim 1, wherein the second group of metals comprises no more than four layers. 如申請專利範圍第6項所述之積體電路結構,其中該等第二組金屬的每一層皆包含由至少一金屬導線結構與至少一插塞結構組成之結構對。The integrated circuit structure of claim 6, wherein each of the second set of metals comprises a structural pair consisting of at least one metal wire structure and at least one plug structure. 如申請專利範圍第1項所述之積體電路結構,其中該第一金屬間距係小於一預定值。The integrated circuit structure of claim 1, wherein the first metal pitch is less than a predetermined value. 如申請專利範圍第8項所述之積體電路結構,其中該預定值係為75奈米(nanometer,nm)。The integrated circuit structure of claim 8, wherein the predetermined value is 75 nanometers (nm). 如申請專利範圍第9項所述之積體電路結構,其中該第二金屬間距係介於75nm與85nm之間。The integrated circuit structure of claim 9, wherein the second metal pitch is between 75 nm and 85 nm. 如申請專利範圍第1項所述之積體電路結構,更包含複數個第三組金屬,且該等第三組金屬包含一第三金屬間距。The integrated circuit structure of claim 1, further comprising a plurality of third groups of metals, and the third group of metals comprises a third metal pitch. 如申請專利範圍第11項所述之積體電路結構,其中該第一金屬間距係小於一第一預定值,該第二金屬間距係大於該第一預定值且小於一第二預定值,而該第三金屬間距係大於該第二預定值。The integrated circuit structure of claim 11, wherein the first metal pitch is less than a first predetermined value, and the second metal pitch is greater than the first predetermined value and less than a second predetermined value, and The third metal pitch is greater than the second predetermined value. 如申請專利範圍第12項所述之積體電路結構,其中該第一預定值係為50nm,而該第二預定值係為75nm。The integrated circuit structure of claim 12, wherein the first predetermined value is 50 nm and the second predetermined value is 75 nm. 如申請專利範圍第1項所述之積體電路結構,其中該非平面型場效電晶體元件之該等鰭片結構包含矽、鍺、或三-五族半導體(III-V semiconductor)材料。The integrated circuit structure of claim 1, wherein the fin structures of the non-planar field effect transistor device comprise germanium, germanium, or a III-V semiconductor material. 如申請專利範圍第1項所述之積體電路結構,其中該非平面型場效電晶體元件之該閘極電極包含有一單功函數金屬層或一複合功函數金屬層。The integrated circuit structure of claim 1, wherein the gate electrode of the non-planar field effect transistor device comprises a single work function metal layer or a composite work function metal layer. 一種積體電路結構之製作方法,包含有:      提供一半導體基底,且該半導體基底上形成有至少一非平面型場效電晶體元件;      於該非平面型場效電晶體元件上形成複數個第一組金屬,該等第一組金屬之位置與尺寸係藉由一多重圖案化方法定義,且該等第一組金屬包含有一第一金屬間距;      於該等第一組金屬上形成複數個第二組金屬,該等第二組金屬之位置與尺寸係藉由一單一圖案化方法定義,且該等第二組金屬包含有一第二金屬間距,且該第二金屬間距係為該第一金屬間距的1.2倍至1.5倍。A method for fabricating an integrated circuit structure, comprising: providing a semiconductor substrate, wherein the semiconductor substrate is formed with at least one non-planar field effect transistor element; forming a plurality of first on the non-planar field effect transistor device Group metal, the position and size of the first group of metals are defined by a multiple patterning method, and the first group of metals includes a first metal pitch; forming a plurality of the first group of metals Two sets of metals, the positions and sizes of the second sets of metals are defined by a single patterning method, and the second set of metals includes a second metal pitch, and the second metal pitch is the first metal 1.2 to 1.5 times the pitch. 如申請專利範圍第16項所述之製作方法,其中該等第一組金屬之位置與尺寸係藉由一雙重圖案化方法定義。The method of manufacturing of claim 16, wherein the positions and sizes of the first set of metals are defined by a double patterning method. 如申請專利範圍第17項所述之製作方法,其中該雙重圖案化製程包含有微影-蝕刻-光微影-蝕刻(litho-etching-litho-etching,LELE)方法、微影-凍結-微影-蝕刻(litho-freeze-litho-etch,LFLE)方法、和自對準雙重圖案化(self-aligned double patterning,SADP)方法。The manufacturing method of claim 17, wherein the double patterning process comprises a litho-etching-litho-etching (LELE) method, a lithography-freeze-micro A litho-freeze-litho-etch (LFLE) method, and a self-aligned double patterning (SADP) method. 如申請專利範圍第17項所述之製作方法,更包含於該半導體基底形成複數個第三組金屬,且該等第三組金屬之位置與尺寸係藉由一四倍圖案化(quadruple patterning)方法定義。The manufacturing method of claim 17, further comprising forming a plurality of third groups of metals on the semiconductor substrate, and the positions and sizes of the third groups of metals are quadruple patterning. Method definition. 如申請專利範圍第19項所述之製作方法,其中該等第三組金屬包含有一第三金屬間距,且該第一金屬間距係為該第三金屬間距的1.2倍至1.5倍。The manufacturing method of claim 19, wherein the third group of metals comprises a third metal pitch, and the first metal pitch is 1.2 to 1.5 times the third metal pitch. 如申請專利範圍第16項所述之製作方法,更包含至少一條狀接觸(slot contact),且該條狀接觸插塞電性連接該非平面型場效電晶體元件與該等第一組金屬。The manufacturing method of claim 16, further comprising at least one slot contact, and the strip contact plug electrically connecting the non-planar field effect transistor element and the first group of metals. 如申請專利範圍第16項所述之製作方法,更包含進行一單一圖案化製程,用以形成至少一接觸插塞,且該等接觸插塞電性連接該非平面型場效電晶體元件與該等第一組金屬。The manufacturing method of claim 16, further comprising performing a single patterning process for forming at least one contact plug, and the contact plugs are electrically connected to the non-planar field effect transistor element and the Wait for the first group of metals. 如申請專利範圍第22項所述之製作方法,其中該接觸插塞包含一接觸間距,且該接觸間距大於該第一金屬間距。The manufacturing method of claim 22, wherein the contact plug comprises a contact pitch, and the contact pitch is greater than the first metal pitch. 如申請專利範圍第16項所述之製作方法,其中該等第一組金屬包含之層數不多於二層。The manufacturing method of claim 16, wherein the first group of metals comprises no more than two layers. 如申請專利範圍第16項所述之製作方法,其中該等第二組金屬包含之層數不多於四層。The manufacturing method of claim 16, wherein the second group of metals comprises no more than four layers. 如申請專利範圍第25項所述之製作方法,其中該等第二組金屬的每一層皆包含由至少一導線結構與至少一插塞結構組成之結構對。The manufacturing method of claim 25, wherein each of the second group of metals comprises a structural pair consisting of at least one wire structure and at least one plug structure.
TW104118850A 2015-05-07 2015-06-11 Integrated circuit structure and method for forming the same TWI663638B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/800,697 US9653346B2 (en) 2015-05-07 2015-07-16 Integrated FinFET structure having a contact plug pitch larger than fin and first metal pitch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562158534P 2015-05-07 2015-05-07
US62/158,534 2015-05-07

Publications (2)

Publication Number Publication Date
TW201640568A true TW201640568A (en) 2016-11-16
TWI663638B TWI663638B (en) 2019-06-21

Family

ID=57850729

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104118850A TWI663638B (en) 2015-05-07 2015-06-11 Integrated circuit structure and method for forming the same
TW104125572A TWI668847B (en) 2015-05-07 2015-08-06 Semiconductor integrated circuit layout structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104125572A TWI668847B (en) 2015-05-07 2015-08-06 Semiconductor integrated circuit layout structure

Country Status (1)

Country Link
TW (2) TWI663638B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI688070B (en) * 2017-09-28 2020-03-11 台灣積體電路製造股份有限公司 A semiconductor device having local interconnect structure and manufacturing method thereof

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10970450B2 (en) * 2016-11-29 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structures and semiconductor devices having same
US10297588B2 (en) * 2016-12-14 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method of the same
US11080453B2 (en) * 2018-10-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit fin layout method, system, and structure
TWI744133B (en) * 2019-12-18 2021-10-21 台灣積體電路製造股份有限公司 A semiconductor device having improved electrostatic discharge protection and method of forming the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7729161B2 (en) * 2007-08-02 2010-06-01 Macronix International Co., Ltd. Phase change memory with dual word lines and source lines and method of operating same
TWI384401B (en) * 2007-08-31 2013-02-01 Kovio Inc Rf and/or rf identification tag/device having an integrated interposer, and methods for making and using the same
TW201003880A (en) * 2008-05-30 2010-01-16 Advanced Micro Devices Inc Semiconductor device comprising a chip internal electrical test structure allowing electrical measurements during the fabrication process
US8728892B2 (en) * 2011-05-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive fin design for FinFETs
US9117882B2 (en) * 2011-06-10 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Non-hierarchical metal layers for integrated circuits

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI688070B (en) * 2017-09-28 2020-03-11 台灣積體電路製造股份有限公司 A semiconductor device having local interconnect structure and manufacturing method thereof
US11018157B2 (en) 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
US11916077B2 (en) 2017-09-28 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for routing local interconnect structure at same level as reference metal line

Also Published As

Publication number Publication date
TW201640655A (en) 2016-11-16
TWI668847B (en) 2019-08-11
TWI663638B (en) 2019-06-21

Similar Documents

Publication Publication Date Title
US9305841B2 (en) Method of patterning a feature of a semiconductor device
TWI724456B (en) Integrated circuit device and method for forming the same
US9209038B2 (en) Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9653346B2 (en) Integrated FinFET structure having a contact plug pitch larger than fin and first metal pitch
CN110473833B (en) Integrated circuit device and method of forming the same
CN106952900B (en) Semiconductor layout structure
US10510875B2 (en) Source and drain structure with reduced contact resistance and enhanced mobility
TWI663638B (en) Integrated circuit structure and method for forming the same
US9293341B2 (en) Mechanisms for forming patterns using multiple lithography processes
US11915943B2 (en) Methods of etching metals in semiconductor devices
US10504775B1 (en) Methods of forming metal layer structures in semiconductor devices
TW202105530A (en) Semiconductor device
KR101536059B1 (en) Multi-layer metal contacs
US20230142157A1 (en) Source and Drain Structure with Reduced Contact Resistance and Enhanced Mobility
US9564371B2 (en) Method for forming semiconductor device
US9281193B2 (en) Patterning method for semiconductor device fabrication
US20180174854A1 (en) Fin-Like Field Effect Transistor Patterning Methods for Increasing Process Margins
US11521858B2 (en) Method and device for forming metal gate electrodes for transistors
US20200105937A1 (en) Methods of Forming Contact Features in Field-Effect Transistors
TWI793630B (en) Semiconductor device and method
US9711369B2 (en) Method for forming patterns with sharp jogs
US20230260796A1 (en) Method of manufacturing semiconductor devices
US20230054372A1 (en) Semiconductor Device with Varying Gate Dimensions and Methods of Forming the Same
US20240014281A1 (en) Semiconductor device and method of forming the same
US20230402495A1 (en) Integrated circuit with feol resistor