TW201631413A - Composition for organic pattern embedding, pattern forming method and method for manufacturing electronic device - Google Patents

Composition for organic pattern embedding, pattern forming method and method for manufacturing electronic device Download PDF

Info

Publication number
TW201631413A
TW201631413A TW105103511A TW105103511A TW201631413A TW 201631413 A TW201631413 A TW 201631413A TW 105103511 A TW105103511 A TW 105103511A TW 105103511 A TW105103511 A TW 105103511A TW 201631413 A TW201631413 A TW 201631413A
Authority
TW
Taiwan
Prior art keywords
group
pattern
resin
repeating unit
organic
Prior art date
Application number
TW105103511A
Other languages
Chinese (zh)
Inventor
Daisuke Asakawa
Akiyoshi Goto
Keita Kato
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of TW201631413A publication Critical patent/TW201631413A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/22Exposing sequentially with the same light pattern different positions of the same surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

This composition for organic pattern embedding contains a resin that has an Ohnishi parameter of more than 5.0. This pattern forming method and this method for manufacturing an electronic device comprise, in the following order: a step for forming a first resist film; a step for exposing the first resist film to light; a step for forming a first pattern; a step for forming a planarization layer; a step for forming a second resist film; a step for exposing the second resist film to light; and a step for forming a second pattern.

Description

有機圖案埋入用組成物、圖案形成方法以及電子元件的製造方法Organic pattern embedding composition, pattern forming method, and electronic component manufacturing method

本發明係有關一種有機圖案埋入用組成物、圖案形成方法、電子元件的製造方法以及電子元件。更詳細而言,本發明係有關一種適合於IC(Integrated Circuit)等的半導體製造步驟、液晶以及熱感應頭(thermal head)等的電路基板的製造以及其他感光蝕刻加工(photofabrication)的微影製程(Lithography process)之圖案形成方法以及使用於該圖案形成方法之有機圖案埋入用組成物。並且,本發明係亦有關一種包括上述圖案形成方法之電子元件的製造方法以及藉由該方法製造之電子元件。The present invention relates to a composition for embedding an organic pattern, a method for forming a pattern, a method for producing an electronic device, and an electronic device. More specifically, the present invention relates to a lithography process suitable for semiconductor manufacturing steps such as IC (Integrated Circuit), manufacturing of a circuit substrate such as a liquid crystal, a thermal head, and the like, and other photofabrication. (Lithography process) pattern forming method and organic pattern embedding composition used in the pattern forming method. Further, the present invention relates to a method of manufacturing an electronic component including the above pattern forming method and an electronic component manufactured by the method.

以往,在製造IC等半導體元件時,藉由使用抗蝕劑組成物進行之光刻,進行微細加工。在此,在鑲嵌製程或雙重圖形製程(例如,LELE(Litho Etch Litoh Etch)法、LLE(Litho Litoh Etch)法)等中,作為形成抗蝕劑圖案之基礎,有時形成平坦化層(例如,專利文獻1)。 【先前技術文獻】 【專利文獻】Conventionally, in the case of manufacturing a semiconductor element such as an IC, microfabrication is performed by photolithography using a resist composition. Here, in a damascene process or a dual pattern process (for example, LELE (Litho Etch Litoh Etch) method, LLE (Litho Litoh Etch) method, etc.), a planarization layer may be formed as a basis for forming a resist pattern (for example) , Patent Document 1). [Prior Art Literature] [Patent Literature]

【專利文獻1】日本特開2010-217306號公報[Patent Document 1] Japanese Patent Laid-Open Publication No. 2010-217306

其中,本發明人等了解到,在將專利文獻1中公開之平坦化膜形成用組成物例如用作如後述本發明的圖案形成方法那樣用於使抗蝕劑圖案等有機圖案平坦化之組成物(有機圖案埋入用組成物)時,其埋入性以及所形成之平坦化層的平坦性以及蝕刻性(高蝕刻性)不一定滿足所要求之水準。In addition, the present inventors have found that the composition for forming a planarizing film disclosed in Patent Document 1 is used, for example, as a composition for flattening an organic pattern such as a resist pattern as in the pattern forming method of the present invention to be described later. In the case of a material (a composition for embedding an organic pattern), the embedding property and the flatness and etching property (high etching property) of the formed planarization layer do not necessarily satisfy the required level.

因此,本發明鑒於上述實際情況,目的為提供埋入性、平坦性以及蝕刻性優異之有機圖案埋入用組成物、使用上述組成物之圖案形成方法以及電子元件的製造方法。In view of the above-described circumstances, the present invention has an object of providing an organic pattern embedding composition excellent in embedding property, flatness, and etching property, a pattern forming method using the above composition, and a method of manufacturing an electronic component.

本發明人等對上述課題進行深入研究發現,能夠藉由使用大西(Ohnishi)參數大於特定値之樹脂來解決上述課題。 亦即,本發明人等發現能夠藉由以下結構解決上述課題。The inventors of the present invention have conducted intensive studies on the above problems and found that the above problems can be solved by using a resin having a larger Ohshin parameter than a specific ruthenium. In other words, the inventors of the present invention have found that the above problems can be solved by the following configuration.

(1)一種有機圖案埋入用組成物,其含有大西參數大於5.0之樹脂。 (2)如上述(1)所述之有機圖案埋入用組成物,其中,前述樹脂為選自由聚(甲基)丙烯酸酯樹脂、聚酯樹脂以及聚醚樹脂構成之群組中的至少1種樹脂。 (3)如上述(1)或(2)所述之有機圖案埋入用組成物,其中,前述樹脂具有用後述式(1-1)表示之重複單元。 (4)如上述(3)所述之有機圖案埋入用組成物,其中,式(1-1)中,R2 為含有內酯結構之基團、含有碳酸酯結構之基團、含有乙縮醛結構之基團、含有羥基之基團或用後述式(P)表示之基團。 (5)如上述(1)或(2)所述之有機圖案埋入用組成物,其中,前述樹脂具有用後述式(1-2)表示之重複單元。 (6)如上述(1)~(5)中任一項所述之有機圖案埋入用組成物,其中,前述樹脂不含有芳香環。 (7)一種圖案形成方法,其依次包括如下步驟: 使用第一抗蝕劑組成物,在基板上形成第一抗蝕劑膜之步驟; 對前述第一抗蝕劑膜進行曝光之步驟; 對經曝光的前述第一抗蝕劑膜進行顯影,形成第一圖案之步驟; 使用上述(1)~(6)中任一項所述之有機圖案埋入用組成物,在設置有前述第一圖案之基板上形成平坦化層之步驟; 使用第二抗蝕劑組成物,在前述平坦化層上形成第二抗蝕劑膜之步驟; 對前述第二抗蝕劑膜進行曝光之步驟;以及 對經曝光的前述第二抗蝕劑膜進行顯影,形成第二圖案之步驟。 (8)如上述(7)所述之圖案形成方法,其中,前述第一圖案和/或前述第二圖案為藉由使用含有有機溶劑之顯影液進行顯影來形成之圖案。 (9)一種電子元件的製造方法,其包括上述(7)或(8)所述之圖案形成方法。(1) An organic pattern embedding composition containing a resin having a large West parameter of more than 5.0. (2) The organic pattern embedding composition according to the above (1), wherein the resin is at least 1 selected from the group consisting of a poly(meth)acrylate resin, a polyester resin, and a polyether resin. Kind of resin. (3) The organic pattern embedding composition according to the above (1), wherein the resin has a repeating unit represented by the following formula (1-1). (4) The organic pattern embedding composition according to the above (3), wherein, in the formula (1-1), R 2 is a group containing a lactone structure, a group containing a carbonate structure, and contains B A group having an acetal structure, a group having a hydroxyl group, or a group represented by the following formula (P). (5) The organic pattern embedding composition according to the above (1), wherein the resin has a repeating unit represented by the following formula (1-2). The organic pattern embedding composition according to any one of the above aspects, wherein the resin does not contain an aromatic ring. (7) A pattern forming method comprising the steps of: forming a first resist film on a substrate using a first resist composition; and exposing the first resist film; The exposed first resist film is developed to form a first pattern, and the organic pattern embedding composition according to any one of the above (1) to (6) is provided with the first a step of forming a planarization layer on the substrate of the pattern; a step of forming a second resist film on the planarization layer using the second resist composition; and a step of exposing the second resist film; Developing the exposed second resist film to form a second pattern. (8) The pattern forming method according to the above (7), wherein the first pattern and/or the second pattern are patterns formed by development using a developing solution containing an organic solvent. (9) A method of producing an electronic component, comprising the pattern forming method according to (7) or (8) above.

如下所示,依據本發明,能夠提供埋入性、平坦性以及蝕刻性優異之有機圖案埋入用組成物、使用上述組成物之圖案形成方法以及電子元件的製造方法。As described below, according to the present invention, it is possible to provide an organic pattern embedding composition excellent in embedding property, flatness, and etching property, a pattern forming method using the above-described composition, and a method of manufacturing an electronic component.

以下,對本發明的最佳態樣進行詳細說明。 在本說明書的基團以及原子團的表述中,在未寫明取代或無取代的情況下,係包含不具有取代基者和具有取代基者這雙方者。例如,未寫明取代或無取代之“烷基”不僅包含不具有取代基之烷基(無取代烷基),而且還包含具有取代基之烷基(取代烷基)。 本發明中“光化射線”或“放射線”例如指,以水銀燈的明線光譜、準分子雷射為代表之遠紫外線、極紫外線(EUV光)、X射線、電子束、離子束等粒子束等。並且,本發明中“光”係指光化射線或放射線。 並且,本說明書中的“曝光”除非特別指明,則係不僅包含藉由以水銀燈、準分子雷射代表之遠紫外線、X射線、極紫外線(EUV光)等進行之曝光,而且還包含藉由電子束、離子束等粒子束進行描繪者。 在本說明書中,“(甲基)丙烯酸酯”係指,“丙烯酸酯以及丙烯酸甲酯的至少1種”。並且,“(甲基)丙烯酸”係指,“丙烯酸以及丙烯酸甲酯的至少1種”。 在本說明書中,用“~”表示之數値範圍係指,以記載於“~”的前後之數値為下限値以及上限値而含有之範圍。Hereinafter, the best mode of the present invention will be described in detail. In the description of the group and the atomic group of the present specification, when neither a substitution nor an substitution is indicated, both of the substituents and the substituents are included. For example, it is not indicated that the substituted or unsubstituted "alkyl group" includes not only an alkyl group having no substituent (unsubstituted alkyl group) but also an alkyl group having a substituent (substituted alkyl group). In the present invention, "actinic ray" or "radiation" means, for example, a particle beam such as a far-line ultraviolet ray, an extreme ultraviolet ray (EUV light), an X-ray, an electron beam, an ion beam or the like represented by a bright line spectrum of a mercury lamp or an excimer laser. Wait. Further, in the present invention, "light" means actinic rays or radiation. Moreover, the term "exposure" in the present specification includes not only exposure by far ultraviolet rays, X-rays, extreme ultraviolet rays (EUV light) represented by a mercury lamp, an excimer laser, but also including A particle beam such as an electron beam or an ion beam is drawn. In the present specification, "(meth) acrylate" means "at least one of acrylate and methyl acrylate". Further, “(meth)acrylic acid” means “at least one of acrylic acid and methyl acrylate”. In the present specification, the numerical range indicated by "~" means a range including the number 値 before and after the "~" as the lower limit 値 and the upper limit 値.

[有機圖案埋入用組成物] 本發明的有機圖案埋入用組成物(以下,亦稱作“本發明的組成物”。)含有大西參數大於5.0之樹脂。 由於本發明的組成物具有該種結構,故可以考慮為獲得所希望的效果者。雖然其理由不明確,但可以推測藉由將樹脂的大西參數設成大於特定的値,蝕刻性提高,並且極性提高,從而潤濕性提高,可以兼顧埋入性以及平坦性。 另外,在本說明書中,將使用於有機圖案埋入用組成物之樹脂亦稱作“埋入用樹脂”。[Organic Pattern Buried Composition] The organic pattern embedding composition of the present invention (hereinafter also referred to as "the composition of the present invention") contains a resin having a large West parameter of more than 5.0. Since the composition of the present invention has such a structure, it can be considered to obtain a desired effect. Although the reason is not clear, it is presumed that by setting the Great West parameter of the resin to be larger than the specific enthalpy, the etching property is improved, and the polarity is improved, whereby the wettability is improved, and both the embedding property and the flatness can be achieved. In addition, in the present specification, the resin used for the organic pattern embedding composition is also referred to as "buried resin".

〔樹脂〕 如上所述,本發明的組成物含有大西參數大於5.0之樹脂。以下,將大西參數大於5.0之樹脂亦稱作“特定樹脂”。 特定樹脂的大西參數為5.5~20.0為較佳,6.0~15.0為更佳。 在此,如下定義樹脂的大西參數。 (樹脂的大西參數)=Σ{(重複單元的大西參數)×(重複單元的莫耳分率)} 並且,如下定義重複單元(Unit)的大西參數。 (重複單元的大西參數)=(重複單元中的總原子數)/{(重複單元中的碳原子數)-(重複單元中的氧原子數和硫原子數的合計)}[Resin] As described above, the composition of the present invention contains a resin having a large West parameter of more than 5.0. Hereinafter, a resin having a large West parameter of more than 5.0 is also referred to as a "specific resin." The large West parameter of the specific resin is preferably from 5.5 to 20.0, more preferably from 6.0 to 15.0. Here, the Great West parameter of the resin is defined as follows. (Daxi parameter of resin) = Σ {(Daxi parameter of repeating unit) × (Mohr fraction of repeating unit)} Also, the Western parameter of the repeating unit is defined as follows. (Daxi parameter of repeating unit) = (total number of atoms in the repeating unit) / {(number of carbon atoms in the repeating unit) - (total of the number of oxygen atoms and sulfur atoms in the repeating unit)}

例如,如下計計算在後述實施例中使用之A-1的大西參數。 A-1的從左第1個重複單元的總原子數為22,碳原子數為8,氧原子數為4,故其大西參數為22/(8-4)=5.5。 A-1的從左第2個重複單元的總原子數為23,碳原子數為7,氧原子數為4,故其大西參數為23/(7-4)≈7.7。 A-1的從左第3個重複單元的總原子數為43,碳原子數為7,氧原子數為4,故其大西參數為43/(13-6)≈6.1。 A-1的從左第1個重複單元的莫耳分率為0.3,A-1的從左第2個重複單元的莫耳分率為0.5,A-1的從左第3個重複單元的莫耳分率為0.2,故考慮上述各重複單元的大西參數時,A-1的大西參數為5.5×0.3+7.7×0.5+6.1×0.2≈6.7。For example, the Great West parameter of A-1 used in the embodiment described later is calculated as follows. The total number of atoms of the first repeating unit of A-1 from the left is 22, the number of carbon atoms is 8, and the number of oxygen atoms is four, so the Western parameter is 22/(8-4)=5.5. The total number of atoms of the second repeating unit of A-1 from the left is 23, the number of carbon atoms is 7, and the number of oxygen atoms is 4, so the Daxi parameter is 23/(7-4) ≈7.7. The total number of atoms of the third repeating unit of A-1 from the left is 43, the number of carbon atoms is 7, and the number of oxygen atoms is four, so the Daxi parameter is 43/(13-6) ≈ 6.1. The molar fraction of the first repeating unit from the left of A-1 is 0.3, the molar fraction of the second repeating unit of A-1 from the left is 0.5, and the third repeating unit of A-1 from the left The molar fraction is 0.2, so considering the large West parameter of each of the above repeating units, the Great West parameter of A-1 is 5.5 × 0.3 + 7.7 × 0.5 + 6.1 × 0.2 ≈ 6.7.

只要特定樹脂為大西參數大於5.0之樹脂,則無特別限制,但作為其具體例,可以舉出聚(甲基)丙烯酸酯樹脂、聚酯樹脂、聚醚樹脂、聚苯乙烯樹脂、聚乙烯醇樹脂、聚矽氧烷樹脂等。其中,選自由聚(甲基)丙烯酸酯樹脂、聚酯樹脂以及聚醚樹脂構成之群組中的至少1種樹脂為較佳。 特定樹脂不含有芳香環為較佳。The specific resin is not particularly limited as long as it has a resin having a large West parameter of more than 5.0, and specific examples thereof include a poly(meth)acrylate resin, a polyester resin, a polyether resin, a polystyrene resin, and a polyvinyl alcohol. Resin, polyoxyalkylene resin, and the like. Among them, at least one resin selected from the group consisting of poly(meth)acrylate resins, polyester resins, and polyether resins is preferred. It is preferred that the specific resin does not contain an aromatic ring.

特定樹脂的玻璃化轉移溫度(Tg)並無特別限制,200℃以下為較佳,60℃以下為更佳。Tg的下限並無特別限制,通常為-100℃以上。從埋入性以及平坦性更加優異之理由考慮,Tg低為較佳。 另外,Tg係使用差示掃描量熱計(DSC)測定者。The glass transition temperature (Tg) of the specific resin is not particularly limited, and is preferably 200 ° C or less, more preferably 60 ° C or less. The lower limit of Tg is not particularly limited and is usually -100 ° C or higher. From the standpoint of better embedding property and flatness, Tg is preferably lower. Further, the Tg is measured using a differential scanning calorimeter (DSC).

特定樹脂的重量平均分子量並無特別限制,500~100,000為較佳,其中,20,000以下為較佳,15,000以下為更佳,10,000以下為進一步較佳。 另外,在本說明書中,重量平均分子量為根據下述條件的凝膠滲透色譜法(GPC)求出之標準聚苯乙烯換算値。 ・色譜柱的種類:TSK SuperAWM-H(TOSOH CORPORATION製造,6.0mmID×150mm ・展開溶劑:NMP(N-甲基-2-吡咯烷酮) ・色譜柱溫度:50℃ ・流量:0.35mL/min. ・樣品注入量:20μL ・裝置名稱:HLC-8220GPC(TOSOH CORPORATION製造)The weight average molecular weight of the specific resin is not particularly limited, and is preferably from 500 to 100,000, more preferably 20,000 or less, more preferably 15,000 or less, still more preferably 10,000 or less. In the present specification, the weight average molecular weight is a standard polystyrene equivalent enthalpy obtained by gel permeation chromatography (GPC) according to the following conditions.・Type of column: TSK SuperAWM-H (manufactured by TOSOH CORPORATION, 6.0mmID×150mm ・Expanding solvent: NMP (N-methyl-2-pyrrolidone) ・Column temperature: 50°C ・Flow rate: 0.35mL/min. Sample injection amount: 20 μL ・Device name: HLC-8220GPC (manufactured by TOSOH CORPORATION)

<第1最佳態樣> 上述特定樹脂具有用下述式(1-1)表示之重複單元為較佳。特定樹脂亦可具有2種以上用下述式(1-1)表示之重複單元。<First Preferred Aspect> The specific resin described above preferably has a repeating unit represented by the following formula (1-1). The specific resin may have two or more kinds of repeating units represented by the following formula (1-1).

【化學式1】 [Chemical Formula 1]

式(1-1)中,R1 表示氫原子或有機基。R2 表示具有雜原子之烴基。其中,R2 所含之雜原子的數相對於R2 所含之碳原子的數之比例為0.30以上。In the formula (1-1), R 1 represents a hydrogen atom or an organic group. R 2 represents a hydrocarbon group having a hetero atom. The ratio of the number of hetero atoms contained in R 2 to the number of carbon atoms contained in R 2 is 0.30 or more.

如上所述,式(1-1)中,R1 表示氫原子或有機基。 作為有機基,例如可以舉出亦可具有氟原子、羥基等取代基之烷基,氫原子、甲基、三氟甲基、羥基甲基為較佳。As described above, in the formula (1-1), R 1 represents a hydrogen atom or an organic group. The organic group may, for example, be an alkyl group which may have a substituent such as a fluorine atom or a hydroxyl group, and a hydrogen atom, a methyl group, a trifluoromethyl group or a hydroxymethyl group is preferred.

如上所述,式(1-1)中,R2 表示具有雜原子之烴基。 雜原子並無特別限制,作為具體例,可以舉出氮原子、氧原子、硫原子等。其中,氧原子為較佳。 具有雜原子之烴基並無特別限制,可以舉出具有雜原子之脂肪族烴基(例如,碳原子數1~10)(直鏈狀、支鏈狀、環狀)、具有雜原子之芳香族烴基(例如,碳原子數6~20)、脂環式雜環基、芳香族雜環基或組合該些之基團等。As described above, in the formula (1-1), R 2 represents a hydrocarbon group having a hetero atom. The hetero atom is not particularly limited, and specific examples thereof include a nitrogen atom, an oxygen atom, and a sulfur atom. Among them, an oxygen atom is preferred. The hydrocarbon group having a hetero atom is not particularly limited, and examples thereof include an aliphatic hydrocarbon group having a hetero atom (for example, a carbon number of 1 to 10) (linear, branched, cyclic), and an aromatic hydrocarbon group having a hetero atom. (for example, a carbon number of 6 to 20), an alicyclic heterocyclic group, an aromatic heterocyclic group or a combination of these groups.

其中,R2 所含之雜原子的數相對於R2 所含之碳原子的數之比例(以下,亦稱作“R2雜原子比”。)為0.30以上。其中,0.50以上為較佳。R2雜原子比的上限並無特別限制,通常為1.00以下。The ratio of the number of hetero atoms contained in R 2 to the number of carbon atoms contained in R 2 (hereinafter also referred to as "R 2 hetero atom ratio") is 0.30 or more. Among them, 0.50 or more is preferred. The upper limit of the R2 hetero atom ratio is not particularly limited, and is usually 1.00 or less.

作為上述R2 ,例如可以舉出烴基、含有內酯結構之基團、含有碳酸酯結構之基團、含有乙縮醛結構之基團、含有羥基之基團或用後述式(P)表示之基團等,其中,含有內酯結構之基團、含有碳酸酯結構之基團、含有乙縮醛結構之基團、含有羥基之基團或用後述式(P)表示之基團為較佳。烴基並無特別限制,可以舉出脂肪族烴基(例如,碳原子數1~10)(直鏈狀、支鏈狀、環狀)、芳香族烴基(例如,碳原子數6~20)等。其中,脂肪族烴基為較佳。 另外,本說明書中,以下,有時將用式(1-1)表示之重複單元的R2 為含有內酯結構之基團之重複單元表示為“用式(1-1)表示之重複單元(R2 :含有內酯結構之基團)(R2 為除了含有內酯結構之基團以外的具有雜原子之烴基的情況亦相同)。Examples of the above R 2 include a hydrocarbon group, a group having a lactone structure, a group containing a carbonate structure, a group having an acetal structure, a group having a hydroxyl group, or a formula represented by the following formula (P). A group or the like, wherein a group having a lactone structure, a group containing a carbonate structure, a group having an acetal structure, a group having a hydroxyl group, or a group represented by the following formula (P) is preferred. . The hydrocarbon group is not particularly limited, and examples thereof include an aliphatic hydrocarbon group (for example, a carbon number of 1 to 10) (linear, branched, or cyclic), and an aromatic hydrocarbon group (for example, a carbon number of 6 to 20). Among them, an aliphatic hydrocarbon group is preferred. In the present specification, the repeating unit in which the R 2 of the repeating unit represented by the formula (1-1) is a group having a lactone structure is represented by the following "repeating unit represented by the formula (1-1)" (R 2 : a group having a lactone structure) (R 2 is the same in the case of a hydrocarbon group having a hetero atom other than a group having a lactone structure).

上述含有內酯結構之基團為具有內酯結構(環狀酯結構)之基團。 作為內酯結構的具體例,可以舉出亦可含有後述樹脂(A)之“具有內酯結構之重複單元”中的內酯結構。The above group containing a lactone structure is a group having a lactone structure (cyclic ester structure). Specific examples of the lactone structure include a lactone structure which may also contain a "repeating unit having a lactone structure" of the resin (A) described later.

上述含有碳酸酯結構之基團表示含有碳酸酯結構(環狀碳酸酯結構)之基團。作為碳酸酯結構的具體例,可以舉出亦可具有後述樹脂(A)之“具有環狀碳酸酯結構之重複單元”中的環狀碳酸酯結構。The above group containing a carbonate structure means a group containing a carbonate structure (cyclic carbonate structure). Specific examples of the carbonate structure include a cyclic carbonate structure in the "repeating unit having a cyclic carbonate structure" of the resin (A) to be described later.

上述含有乙縮醛結構之基團表示含有乙縮醛結構之基團。 在此,乙縮醛結構用下述式(Q)表示。The above group having an acetal structure means a group containing an acetal structure. Here, the acetal structure is represented by the following formula (Q).

【化學式2】“ [Chemical Formula 2] "

上述式(Q)中,R1 ~R4 分別獨立地表示烴基。烴基並無特別限制,可以舉出脂肪族烴基(例如,碳原子數1~10)(直鏈狀、支鏈狀、環狀)、芳香族烴基(例如,碳原子數6~20)等。其中,脂肪族烴基為較佳。R1 ~R4 亦可相互鍵結而形成環。In the above formula (Q), R 1 to R 4 each independently represent a hydrocarbon group. The hydrocarbon group is not particularly limited, and examples thereof include an aliphatic hydrocarbon group (for example, a carbon number of 1 to 10) (linear, branched, or cyclic), and an aromatic hydrocarbon group (for example, a carbon number of 6 to 20). Among them, an aliphatic hydrocarbon group is preferred. R 1 to R 4 may be bonded to each other to form a ring.

上述含有羥基之基團表示含有羥基(-OH)之基團。其中,含有羥基之烴基為較佳。烴基的具體例以及最佳態樣與上述式(Q)中的R1 ~R4 相同。 上述含有羥基之基團為含有2個以上羥基之基團為較佳。The above hydroxyl group-containing group represents a group containing a hydroxyl group (-OH). Among them, a hydrocarbon group having a hydroxyl group is preferred. Specific examples and preferred embodiments of the hydrocarbon group are the same as those of R 1 to R 4 in the above formula (Q). The hydroxyl group-containing group is preferably a group containing two or more hydroxyl groups.

以下,對用式(P)表示之基團進行說明。Hereinafter, the group represented by the formula (P) will be described.

【化學式3】 [Chemical Formula 3]

式(P)中,RA 表示2價烴基。RB 表示1價烴基。n表示1以上的整數。n為2以上的整數時,存在複數個之RA 可以相同,亦可不同。*表示鍵結位置。In the formula (P), R A represents a divalent hydrocarbon group. R B represents a monovalent hydrocarbon group. n represents an integer of 1 or more. When n is an integer of 2 or more, a plurality of R A may be the same or different. * indicates the bonding position.

如上所述,RA 表示2價烴基。烴基的具體例以及最佳態樣與上述式(Q)中的R1 ~R4 相同。 如上所述,RB 表示1價烴基。烴基的具體例以及最佳態樣與上述式(Q)中的R1 ~R4 相同。 如上所述,n表示1以上的整數。n為1~10的整數為較佳。As described above, R A represents a divalent hydrocarbon group. Specific examples and preferred embodiments of the hydrocarbon group are the same as those of R 1 to R 4 in the above formula (Q). As described above, R B represents a monovalent hydrocarbon group. Specific examples and preferred embodiments of the hydrocarbon group are the same as those of R 1 to R 4 in the above formula (Q). As described above, n represents an integer of 1 or more. An integer of n of from 1 to 10 is preferred.

在第1最佳態樣中,特定樹脂中的用上述式(1-1)表示之重複單元(R2 :含有內酯結構之基團)之比例為10~80mol%為較佳,10~60mol%為更佳。 在第1最佳態樣中,特定樹脂中的用上述式(1-1)表示之重複單元(R2 :含有碳酸酯結構之基團)之比例為10~80mol%為較佳,10~50mol%為更佳。 在第1最佳態樣中,特定樹脂中的用上述式(1-1)表示之重複單元(R2 :含有乙縮醛結構之基團)之比例為10~80mol%為較佳,10~30mol%為更佳。 在第1最佳態樣中,特定樹脂中的用上述式(1-1)表示之重複單元(R2 :用式(P)表示之基團)之比例為10~80mol%為較佳,10~30mol%為更佳。In the first preferred embodiment, the ratio of the repeating unit (R 2 : a group having a lactone structure) represented by the above formula (1-1) in the specific resin is preferably from 10 to 80 mol%, more preferably 10 to 10 60 mol% is more preferred. In the first preferred embodiment, the ratio of the repeating unit (R 2 : a group containing a carbonate structure) represented by the above formula (1-1) in the specific resin is preferably from 10 to 80 mol%, more preferably 10 to 10 50 mol% is more preferred. In the first preferred embodiment, the ratio of the repeating unit represented by the above formula (1-1) (R 2 : a group having an acetal structure) in the specific resin is preferably from 10 to 80 mol%, preferably 10 ~30 mol% is more preferred. In the first preferred embodiment, the ratio of the repeating unit represented by the above formula (1-1) (R 2 : a group represented by the formula (P)) in the specific resin is preferably from 10 to 80 mol%. 10 to 30 mol% is more preferred.

在第1最佳態樣中,特定樹脂中的用上述式(1-1)表示之重複單元之比例(在具有2種以上用上述式(1-1)表示之重複單元的情況下,合計之比例)並無特別限制,10~100mol%為較佳,80~100mol%為更佳。In the first preferred embodiment, the ratio of the repeating unit represented by the above formula (1-1) in the specific resin (in the case of having two or more repeating units represented by the above formula (1-1), the total The ratio is not particularly limited, and is preferably from 10 to 100 mol%, more preferably from 80 to 100 mol%.

在第1最佳態樣中,特定樹脂具有用上述式(1-1)表示之重複單元(R2 :用式(P)表示之基團)為較佳,其中,用上述式(1-1)表示之重複單元(R2 :用式(P)表示之基團)之比例為15mol%以上為更佳,其中,除了具有用上述式(1-1)表示之重複單元(R2 :用式(P)表示之基團)之外,還具有用上述式(1-1)表示之重複單元(R2 :含有2個以上羥基之基團)為進一步較佳。In the first preferred embodiment, the specific resin has a repeating unit represented by the above formula (1-1) (R 2 : a group represented by the formula (P)), wherein the above formula (1) is used. 1) It is more preferable that the ratio of the repeating unit (R 2 : a group represented by the formula (P)) is 15 mol% or more, in addition to the repeating unit represented by the above formula (1-1) (R 2 : Further, in addition to the group represented by the formula (P), a repeating unit represented by the above formula (1-1) (R 2 : a group having two or more hydroxyl groups) is further preferable.

在第1最佳態樣中,特定樹脂可以具有除了用上述式(1-1)表示之重複單元以外的重複單元。例如,可以舉出在上述式(1-1)中R2雜原子比小於0.30之重複單元等。In the first preferred embodiment, the specific resin may have a repeating unit other than the repeating unit represented by the above formula (1-1). For example, a repeating unit or the like having an R2 hetero atom ratio of less than 0.30 in the above formula (1-1) can be given.

<第2最佳態樣> 上述樹脂具有用下述式(1-2)表示之重複單元為較佳。特定樹脂亦可具有2種以上用下述式(1-2)表示之重複單元。 【化學式4】 <Second Best Aspect> The above resin preferably has a repeating unit represented by the following formula (1-2). The specific resin may have two or more kinds of repeating units represented by the following formula (1-2). [Chemical Formula 4]

式(1-2)中,L表示2價烴基。X表示-O-、-S-或-CO-O-。In the formula (1-2), L represents a divalent hydrocarbon group. X represents -O-, -S- or -CO-O-.

如上所述,L表示2價烴基。烴基的具體例以及最佳態樣與上述式(Q)中的R1 ~R4 相同。As described above, L represents a divalent hydrocarbon group. Specific examples and preferred embodiments of the hydrocarbon group are the same as those of R 1 to R 4 in the above formula (Q).

在第2最佳態樣中,特定樹脂中的用上述式(1-2)表示之重複單元之比例(X:-O-)之比例為20~100mol%為較佳,50~100mol%為更佳,80~100mol%為更佳。In the second preferred embodiment, the ratio of the ratio (X: -O-) of the repeating unit represented by the above formula (1-2) in the specific resin is preferably from 20 to 100 mol%, preferably from 50 to 100 mol%. More preferably, 80 to 100 mol% is more preferable.

在第2最佳態樣中,特定樹脂中的用上述式(1-2)表示之重複單元之比例(在具有2種以上用上述式(1-2)表示之重複單元的情況下,合計之比例)並無特別限制,10~100mol%為較佳,80~100mol%為更佳。In the second preferred embodiment, the ratio of the repeating unit represented by the above formula (1-2) in the specific resin (in the case of having two or more repeating units represented by the above formula (1-2), the total The ratio is not particularly limited, and is preferably from 10 to 100 mol%, more preferably from 80 to 100 mol%.

在本發明的組成物中,上述特定樹脂的含量並無特別限制,總固體成分中,10~100質量%為較佳,80~100質量%為更佳。In the composition of the present invention, the content of the specific resin is not particularly limited, and from 10 to 100% by mass, more preferably from 80 to 100% by mass, based on the total solid content.

〔任意成分〕 本發明的組成物亦可含有除了特定樹脂以外的成分。作為該種成分,可以舉出除了特定樹脂以外的樹脂、溶劑、界面活性劑等。溶劑以及界面活性劑的具體例與後述抗蝕劑組成物相同。另外,本發明的組成物含有溶劑為較佳。[Optional Component] The composition of the present invention may contain components other than the specific resin. Examples of such a component include a resin, a solvent, a surfactant, and the like other than the specific resin. Specific examples of the solvent and the surfactant are the same as those of the resist composition described later. Further, the composition of the present invention preferably contains a solvent.

〔用途〕 如上所述,本發明的組成物的埋入性、平坦性以及蝕刻性優異,故能夠較佳地使用於後述之本發明中使用之平坦化方法或本發明的圖案形成方法等塗佈埋入於形成在基板表面上之有機圖案(例如,抗蝕劑圖案)的間隙等。間隙的形狀或大小無特別限定,可以為孔(hole)狀的間隙,亦可為溝(trench)狀的間隙。[Application] As described above, since the composition of the present invention is excellent in embedding property, flatness, and etching property, it can be preferably used in a flattening method used in the present invention to be described later or a pattern forming method of the present invention. The cloth is buried in a gap or the like of an organic pattern (for example, a resist pattern) formed on the surface of the substrate. The shape or size of the gap is not particularly limited, and may be a hole-like gap or a trench-like gap.

[平坦化方法以及圖案形成方法] 在本發明中使用之平坦化方法依次包括如下步驟: (A)利用第一抗蝕劑組成物,在基板上形成第一抗蝕劑膜之步驟; (B)對上述第一抗蝕劑膜進行曝光之步驟; (C)對曝光之上述第一抗蝕劑膜進行顯影,形成第一圖案之步驟;以及 (D)使用上述本發明的組成物,在設置有上述第一圖案之基板上形成平坦化層之步驟。[Flatness Method and Pattern Forming Method] The planarization method used in the present invention sequentially includes the following steps: (A) a step of forming a first resist film on a substrate using the first resist composition; (B) a step of exposing the first resist film; (C) developing the exposed first resist film to form a first pattern; and (D) using the composition of the present invention described above, A step of forming a planarization layer on the substrate provided with the first pattern described above.

並且,本發明的圖案形成方法在上述(A)~(D)的步驟之後,進一步依次包括如下步驟: (E)使用第二抗蝕劑組成物,在上述平坦化層上形成第二抗蝕劑膜之步驟; (F)對上述第二抗蝕劑膜進行曝光之步驟;以及 (G)對曝光之上述第二抗蝕劑膜進行顯影,形成第二圖案之步驟。 以下,將“在本發明中使用之平坦化方法”和“本發明的圖案形成方法”亦統稱為“本發明的方法”。Further, the pattern forming method of the present invention further includes the following steps after the steps (A) to (D): (E) forming a second resist on the planarization layer using the second resist composition. a step of exposing the film; (F) a step of exposing the second resist film; and (G) developing the exposed second resist film to form a second pattern. Hereinafter, the "planarization method used in the present invention" and "the pattern formation method of the present invention" are also collectively referred to as "the method of the present invention".

上述第一圖案和/或上述第二圖案為藉由使用含有有機溶劑之顯影液進行顯影來形成之圖案為較佳。It is preferable that the first pattern and/or the second pattern be a pattern formed by development using a developing solution containing an organic solvent.

在本發明的方法中,步驟(A)~(G)分別能夠藉由通常所知的方法進行。In the method of the present invention, steps (A) to (G) can be carried out by a generally known method.

在本發明的實施形態中,如圖1之(a)的概略剖視圖所示,首先,使用第一抗蝕劑組成物,在基板51上形成第一抗蝕劑膜52(步驟(A))。 在此,第一抗蝕劑組成物含有藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液(有機系顯影液)之溶解性減少之樹脂為較佳。理由係,尤其在該情況下,經由後述步驟(B)以及(C)而獲得之第一圖案含有藉由曝光而相對於有機系顯影液之溶解性下降之樹脂,故能夠將第一圖案設為不溶於上述本發明的組成物,不易受到在步驟(D)中使用之上述本發明的組成物中的溶劑的影響,容易形成所希望的圖案。 後面,對第一抗蝕劑組成物以及該第一抗蝕劑組成物較佳地含有之藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液之溶解性減少之樹脂等的詳細內容進行敘述。In the embodiment of the present invention, as shown in the schematic cross-sectional view of Fig. 1(a), first, the first resist film 52 is formed on the substrate 51 using the first resist composition (step (A)). . Here, the first resist composition preferably contains a resin which is increased in polarity by an action of an acid and which has a reduced solubility with respect to a developing solution (organic developing solution) containing an organic solvent. The reason is that, in particular, in this case, the first pattern obtained through the steps (B) and (C) described later contains a resin whose solubility with respect to the organic developing solution is lowered by exposure, so that the first pattern can be set. In the case of the composition of the present invention which is insoluble in the above, it is less susceptible to the influence of the solvent in the above-described composition of the present invention used in the step (D), and it is easy to form a desired pattern. In the following, the first resist composition and the first resist composition preferably contain a resin which is increased in polarity by an action of an acid and which has a reduced solubility with respect to a developer containing an organic solvent. The details are described.

在步驟(A)中,使用第一抗蝕劑組成物在基板上形成第一抗蝕劑膜之方法典型地能夠藉由在基板上塗佈第一抗蝕劑組成物來實施,作為塗佈方法,能夠使用以往公知的旋轉塗佈法、噴塗法、輥塗法、浸漬法等,藉由旋轉塗佈法塗佈第一抗蝕劑組成物為較佳。In the step (A), the method of forming the first resist film on the substrate using the first resist composition can be typically performed by coating the first resist composition on the substrate as a coating In the method, it is preferable to apply the first resist composition by a spin coating method using a conventionally known spin coating method, spray coating method, roll coating method, dipping method, or the like.

第一抗蝕劑膜的膜厚為20~160nm為較佳,25~140nm為更佳,30~120nm為進一步較佳。The film thickness of the first resist film is preferably 20 to 160 nm, more preferably 25 to 140 nm, and still more preferably 30 to 120 nm.

形成第一抗蝕劑膜之基板51無特別限定,能夠使用矽、SiN、SiO2 或SiN等無機基板、SOG(Spin on Glass)等塗佈類無機基板等、在IC(Integrated Circuit)等的半導體製造步驟、液晶、熱感應頭等的電路基板的製造步驟以及其他光蝕刻加工的微影步驟中通常使用之基板。而且,亦可根據需要在第一抗蝕劑膜與基板之間形成防反射膜等底層膜。作為底層膜,能夠適當地選擇有機防反射膜、無機防反射膜以及其他。底層膜材料能夠從BREWER SCIENCE,INC.、NISSAN CHEMICAL INDUSTRIES. LTD.等獲取。作為適於使用含有有機溶劑之顯影液進行顯影之製程之底層膜,例如可以舉出WO2012/039337A中記載之底層膜。The substrate 51 on which the first resist film is formed is not particularly limited, and an inorganic substrate such as ruthenium, SiN, SiO 2 or SiN, a coated inorganic substrate such as SOG (Spin on Glass), or the like can be used, and an IC (Integrated Circuit) or the like can be used. A substrate commonly used in a semiconductor manufacturing step, a manufacturing process of a circuit board such as a liquid crystal or a thermal induction head, and a photolithography process of other photolithography processes. Further, an underlayer film such as an antireflection film may be formed between the first resist film and the substrate as needed. As the underlayer film, an organic antireflection film, an inorganic antireflection film, and the like can be appropriately selected. The underlying film material can be obtained from BREWER SCIENCE, INC., NISSAN CHEMICAL INDUSTRIES. LTD., and the like. The underlayer film which is suitable for the process of developing using a developing solution containing an organic solvent is, for example, an underlayer film described in WO2012/039337A.

在步驟(A)與步驟(B)之間包括預加熱步驟(PB;Prebake)亦較佳。 並且,本發明的方在步驟(B)與步驟(C)之間包括曝光後加熱步驟(PEB;Post Exposure Bake)亦較佳。 PB、PEB的加熱溫度均在70~130℃下進行為較佳,在80~120℃下進行為更佳。 加熱時間為30~300秒鐘為較佳,30~180秒鐘為更佳,30~90秒鐘為進一步較佳。 加熱能夠利用通常的曝光顯影機所附帶之機構進行,亦可使用加熱板等進行。 藉由烘烤促進曝光部的反應,改善靈敏度或圖案分佈。 預加熱步驟以及曝光後加熱步驟的至少一方亦可包括複數次加熱步驟。It is also preferred to include a preheating step (PB; Prebake) between step (A) and step (B). Further, the method of the present invention preferably includes a post exposure heating step (PEB; Post Exposure Bake) between the step (B) and the step (C). The heating temperature of PB and PEB is preferably 70 to 130 ° C, more preferably 80 to 120 ° C. The heating time is preferably from 30 to 300 seconds, more preferably from 30 to 180 seconds, and further preferably from 30 to 90 seconds. The heating can be performed by a mechanism attached to a general exposure developing machine, or by using a heating plate or the like. The sensitivity or pattern distribution is improved by baking to promote the reaction of the exposed portion. At least one of the preheating step and the post-exposure heating step may also include a plurality of heating steps.

接著,如圖1之(b)的概略剖視圖所示,經由遮罩61,向抗蝕劑膜52照射光化射線或放射線71(亦即,進行曝光),藉此獲得完成曝光的第一抗蝕劑膜53(步驟(B))。 在此,遮罩61中的遮罩圖案無特別限定,例如能夠舉出包括作為遮光部的線(line)部和作為透光部的空間部之具有線與空間圖案之遮罩,亦即線部的寬度與空間部的寬度之比為1:3的遮罩。 在步驟(B)中,曝光裝置中使用之光源波長並無特別限制,能夠舉出紅外光、可見光、紫外光、遠紫外光、極紫外光、X射線、電子束等,250nm以下為較佳,220nm以下為更佳,1~200nm的波長的遠紫外光為特佳,具體而言,為KrF準分子雷射(248nm)、ArF準分子雷射(193nm)、F2 準分子雷射(157nm)、X射線、EUV(13nm)、電子束等,KrF準分子雷射、ArF準分子雷射、EUV或電子束為較佳,ArF準分子雷射為更佳。 步驟(B)亦可包括複數次曝光步驟。Next, as shown in the schematic cross-sectional view of FIG. 1(b), the resist film 52 is irradiated with actinic rays or radiation 71 (that is, exposure is performed) via the mask 61, whereby the first anti-exposure is obtained. Etchant film 53 (step (B)). Here, the mask pattern in the mask 61 is not particularly limited, and examples thereof include a line portion including a light shielding portion and a space portion having a light transmission portion, and a mask having a line and space pattern, that is, a line. The ratio of the width of the portion to the width of the space portion is a 1:3 mask. In the step (B), the wavelength of the light source used in the exposure apparatus is not particularly limited, and examples thereof include infrared light, visible light, ultraviolet light, far ultraviolet light, extreme ultraviolet light, X-ray, electron beam, etc., and preferably 250 nm or less. More preferably, it is 220 nm or less, and far ultraviolet light of a wavelength of 1 to 200 nm is particularly preferable, specifically, a KrF excimer laser (248 nm), an ArF excimer laser (193 nm), and an F 2 excimer laser ( 157 nm), X-ray, EUV (13 nm), electron beam, etc., KrF excimer laser, ArF excimer laser, EUV or electron beam are preferred, and ArF excimer laser is more preferable. Step (B) may also include a plurality of exposure steps.

並且,在步驟(B)中,能夠應用液浸曝光方法。而且,能夠組合當前研究之相移法、變形照明法等超分辨技術。Further, in the step (B), a liquid immersion exposure method can be applied. Moreover, it is possible to combine super-resolution techniques such as a phase shift method and a deformed illumination method currently under study.

在進行液浸曝光時,亦可在(1)在基板上形成第一抗蝕劑膜之後進行曝光之步驟之前,和/或(2)在經由液浸液對第一抗蝕劑膜進行曝光之步驟之後對第一抗蝕劑膜進行加熱之步驟之前,實施利用水類薬液清洗第一抗蝕劑膜的表面之步驟。When the immersion exposure is performed, the first resist film may be exposed through the liquid immersion liquid before (1) the step of performing exposure after forming the first resist film on the substrate, and/or (2) The step of washing the surface of the first resist film with aqueous sputum is performed before the step of heating the first resist film after the step.

液浸液為,相對於曝光波長透明,並且為了將投影於第一抗蝕劑膜上之光學圖像的失真限制到最小限度而折射率的溫度係數盡可能小之液體為較佳,但尤其在曝光光源為ArF準分子雷射(波長:193nm)的情況下,除了上述觀點之外,從獲取的容易度、操作容易度之類的觀點來看,使用水為較佳。The liquid immersion liquid is transparent to the exposure wavelength, and a liquid having a temperature coefficient of the refractive index as small as possible to minimize the distortion of the optical image projected on the first resist film is preferable, but particularly In the case where the exposure light source is an ArF excimer laser (wavelength: 193 nm), in addition to the above viewpoints, it is preferable to use water from the viewpoints of easiness of acquisition and ease of handling.

在經由液浸介質對使用第一抗蝕劑組成物形成之第一抗蝕劑膜進行曝光的情況下,能夠根據需要進一步添加後述疏水性樹脂(D)。藉由添加疏水性樹脂(D),提高表面的後退接触角。第一抗蝕劑膜的後退接触角為60°~90°為較佳,70°以上為進一步較佳。 在液浸曝光步驟中,隨著曝光頭在晶圓上高速掃描而形成曝光圖案之動作,需要使液浸液在晶圓上移動,故液浸液相對於動態狀態的第一抗蝕劑膜之接触角非常重要,液滴不會殘留,對抗蝕劑要求伴隨曝光頭的高速掃描之性能。When the first resist film formed using the first resist composition is exposed through a liquid immersion medium, the hydrophobic resin (D) described later can be further added as needed. The receding contact angle of the surface is increased by adding a hydrophobic resin (D). The receding contact angle of the first resist film is preferably 60 to 90, and more preferably 70 or more. In the immersion exposure step, as the exposure head is scanned at a high speed on the wafer to form an exposure pattern, it is necessary to move the liquid immersion liquid on the wafer, so that the liquid immersion liquid phase is in a dynamic state of the first resist film. The contact angle is very important, the droplets do not remain, and the resist is required to be accompanied by the high speed scanning performance of the exposure head.

在使用第一抗蝕劑組成物形成之第一抗蝕劑膜與液浸液之間,為了避免膜直接與液浸液接触,亦可設置液浸液難溶性膜(以下,亦稱作“頂塗層”。)。作為頂塗層或頂塗層形成用組成物所需之功能,可以舉出塗佈至抗蝕劑上層部之塗佈適應性、相對於放射線、尤其是具有193nm的波長之放射線之透明性以及液浸液難溶性。頂塗層形成用組成物不與抗蝕劑混合,能夠進一步均勻地塗佈於抗蝕劑上層為較佳。In order to prevent the film from directly contacting the liquid immersion liquid between the first resist film formed using the first resist composition and the liquid immersion liquid, a liquid immersion liquid poorly soluble film may also be provided (hereinafter, also referred to as " Top coat".). The functions required for the composition for forming the top coat layer or the top coat layer include coating suitability applied to the upper portion of the resist, transparency with respect to radiation, particularly radiation having a wavelength of 193 nm, and The liquid immersion liquid is poorly soluble. It is preferable that the top coat layer-forming composition is not mixed with the resist, and it can be further uniformly applied to the upper layer of the resist.

接著,如圖1之(c)的概略剖視圖所示,對完成曝光的第一抗蝕劑膜53進行顯影,形成第一圖案54(步驟(C))。 在此,步驟(C)典型地為,利用含有有機溶劑之顯影液對曝光之第一抗蝕劑膜進行顯影,形成第一圖案之步驟,第一圖案54典型地為負型圖案。 在步驟(C)中,作為使用含有有機溶劑之顯影液對第一抗蝕劑膜進行顯影而形成第一圖案之步驟中的該顯影液(以下,亦稱作“有機系顯影液”。),能夠使用酮類溶劑、酯類溶劑、醇類溶劑、醯胺類溶劑、醚類溶劑等極性溶劑以及烴類溶劑。 作為酮類溶劑,例如能夠舉出1-辛酮、2-辛酮、1-壬酮、2-壬酮、丙酮、2-庚酮(甲基戊基酮)、4-庚酮、1-己酮、2-己酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮、甲基乙基酮、甲基異丁基酮、乙醯丙酮、己二酮、紫羅蘭酮、二丙酮醇、乙醯甲醇、苯乙酮、甲基萘基酮、異氟爾酮、碳酸丙烯酯等。 作為酯類溶劑,例如能夠舉出乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、乙酸異戊酯、乙酸戊酯、乙酸環己酯、異丁酸異丁酯、丙二醇單甲醚乙酸酯、乙二醇單乙醚乙酸酯、二乙二醇單丁醚乙酸酯、二乙二醇單乙醚乙酸酯、乙基-3-乙氧基丙酸酯、3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯、乙酸異戊酯、丁酸丁酯、2-羥基異丁酸甲酯、丙酸丁酯等。 作為醇類溶劑,例如能夠舉出甲醇、乙醇、正丙醇、異丙醇、正丁醇、仲丁醇、叔丁醇、異丁醇、正己醇、正庚醇、正辛醇、正癸醇等醇或乙二醇、二乙二醇、三乙二醇等二醇類溶劑或乙二醇單甲醚、丙二醇單甲醚、乙二醇單乙醚、丙二醇單乙醚、二乙二醇單甲醚、三乙二醇單乙醚、甲氧基甲基丁醇等二醇醚類溶劑等。 作為醚類溶劑,除了上述二醇醚類溶劑之外,例如能夠舉出二噁烷、四氫呋喃、苯乙醚、二丁醚等。 作為醯胺類溶劑,例如能夠使用N-甲基-2-吡咯烷酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺、六甲基磷醯三胺、1,3-二甲基-2-咪唑啉酮等。 作為烴類溶劑,例如可以舉出甲苯、二甲苯等芳香族烴類溶劑、戊烷、己烷、辛烷、癸烷等脂肪族烴類溶劑。 上述的溶劑可以混合複數種使用,亦可與除了上述以外的溶劑或水混合使用。但是,為了充分地起到本發明的效果,作為顯影液總體的含水率小於10質量%為較佳,實質上不含有水分為更佳。 亦即,有機溶劑相對於有機系顯影液之使用量相對於顯影液的總量為90質量%以上且100質量%以下為較佳,95質量%以上且100質量%以下為更佳。 尤其是,有機系顯影液為含有選自由酮類溶劑、酯類溶劑、醇類溶劑、醯胺類溶劑以及醚類溶劑構成之群組中的至少1種有機溶劑之顯影液為較佳。Next, as shown in the schematic cross-sectional view of FIG. 1(c), the exposed first resist film 53 is developed to form a first pattern 54 (step (C)). Here, the step (C) is typically a step of developing the exposed first resist film by using a developing solution containing an organic solvent to form a first pattern, and the first pattern 54 is typically a negative pattern. In the step (C), the developer (hereinafter also referred to as "organic developer") in the step of developing the first pattern by developing the first resist film using a developer containing an organic solvent. A polar solvent such as a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent or an ether solvent, or a hydrocarbon solvent can be used. Examples of the ketone solvent include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 2-heptanone (methyl amyl ketone), 4-heptanone, and 1- Hexone, 2-hexanone, diisobutyl ketone, cyclohexanone, methylcyclohexanone, phenylacetone, methyl ethyl ketone, methyl isobutyl ketone, acetamidine, hexanedione, violet Ketone, diacetone alcohol, acetamethanol, acetophenone, methylnaphthyl ketone, isophorone, propylene carbonate and the like. Examples of the ester solvent include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, amyl acetate, cyclohexyl acetate, and isobutyl isobutyrate. , propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl-3-ethoxy propionate , 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate Ester, propyl lactate, isoamyl acetate, butyl butyrate, methyl 2-hydroxyisobutyrate, butyl propionate, and the like. Examples of the alcohol solvent include methanol, ethanol, n-propanol, isopropanol, n-butanol, sec-butanol, tert-butanol, isobutanol, n-hexanol, n-heptanol, n-octanol, and anthracene. An alcohol such as an alcohol or a glycol solvent such as ethylene glycol, diethylene glycol or triethylene glycol, or ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether or diethylene glycol alone A glycol ether solvent such as methyl ether, triethylene glycol monoethyl ether or methoxymethylbutanol. The ether solvent may, for example, be dioxane, tetrahydrofuran, phenethyl ether or dibutyl ether in addition to the above glycol ether solvent. As the guanamine-based solvent, for example, N-methyl-2-pyrrolidone, N,N-dimethylacetamide, N,N-dimethylformamide, hexamethylphosphonium triamine, 1, can be used. 3-dimethyl-2-imidazolidinone and the like. Examples of the hydrocarbon solvent include an aromatic hydrocarbon solvent such as toluene or xylene, and an aliphatic hydrocarbon solvent such as pentane, hexane, octane or decane. The above solvent may be used in combination of plural kinds, or may be used in combination with a solvent or water other than the above. However, in order to sufficiently exhibit the effects of the present invention, it is preferred that the water content of the entire developer is less than 10% by mass, and it is more preferable that the water content is substantially not contained. In other words, the amount of the organic solvent to be used in the organic developer is preferably 90% by mass or more and 100% by mass or less based on the total amount of the developer, and more preferably 95% by mass or more and 100% by mass or less. In particular, the organic developer is preferably a developer containing at least one organic solvent selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent, and an ether solvent.

有機系顯影液的蒸氣壓在20℃下5kPa以下為較佳,3kPa以下為進一步較佳,2kPa以下為特佳。藉由將有機系顯影液的蒸氣壓設為5kPa以下,抑制基板上或顯影杯內的顯影液蒸發,提高晶圓面內的溫度均勻性,其結果優化晶圓面內的尺寸均勻性。The vapor pressure of the organic developing solution is preferably 5 kPa or less at 20 ° C, more preferably 3 kPa or less, and particularly preferably 2 kPa or less. By setting the vapor pressure of the organic developer to 5 kPa or less, evaporation of the developer on the substrate or in the developing cup is suppressed, and temperature uniformity in the wafer surface is improved, and as a result, dimensional uniformity in the wafer surface is optimized.

能夠根據需要在有機系顯影液中添加適當量的界面活性劑。 界面活性劑無特別限定,例如能夠使用離子性或非離子性的氟類和/或矽類界面活性劑等。作為該些氟和/或矽類界面活性劑,例如能夠舉出日本特開昭62-36663號公報、日本特開昭61-226746號公報、日本特開昭61-226745號公報、日本特開昭62-170950號公報、日本特開昭63-34540號公報、日本特開平7-230165號公報、日本特開平8-62834號公報、日本特開平9-54432號公報、日本特開平9-5988號公報、美國專利第5405720號說明書、美國專利第5360692號說明書、美國專利第5529881號說明書、美國專利第5296330號說明書、美國專利第5436098號說明書、美國專利第5576143號說明書、美國專利第5294511號說明書、美國專利第5824451號說明書中記載的界面活性劑,非離子性的界面活性劑為較佳。非離子性的界面活性劑無特別限定,使用氟類界面活性劑或矽類界面活性劑為進一步較佳。 通常,界面活性劑的使用量相對於顯影液的總量為0.001~5質量%,0.005~2質量%為較佳,0.01~0.5質量%為進一步較佳。An appropriate amount of a surfactant can be added to the organic developer as needed. The surfactant is not particularly limited, and for example, an ionic or nonionic fluorine-based and/or quinone-based surfactant can be used. For example, JP-A-62-36663, JP-A-61-226746, JP-A-61-226745, and JP-A-61-226745 Japanese Patent Publication No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. 5, 405, 520, U.S. Patent No. 5, 560, 520, U.S. Patent No. 5,360, 692, U.S. Patent No. 5,529, 881, U.S. Patent No. 5, 296, 730, U.S. Patent No. 5, 436, 830, U.S. Patent No. 5,576, 143, U.S. Patent No. 5,294,411 The surfactant described in the specification and the specification of U.S. Patent No. 5,824,451 is preferably a nonionic surfactant. The nonionic surfactant is not particularly limited, and a fluorine-based surfactant or a quinone-based surfactant is further preferably used. In general, the amount of the surfactant to be used is 0.001 to 5% by mass, preferably 0.005 to 2% by mass, and more preferably 0.01 to 0.5% by mass, based on the total amount of the developer.

並且,亦可根據需要在有機系顯影液中含有鹼性化合物。作為鹼性化合物的例子,有含氮鹼性化合物,例如可以舉出日本特開2013-11833號公報的尤其<0021>~<0063>中記載的含氮化合物。藉由有機系顯影液含有鹼性化合物,提高顯影時的對比度,能夠期待抑制薄膜化等。Further, a basic compound may be contained in the organic developer as needed. Examples of the basic compound include a nitrogen-containing basic compound, and the nitrogen-containing compound described in JP-A-2013-11833, in particular, <0021> to <0063>. When the organic-based developing solution contains a basic compound and the contrast during development is improved, it is expected to suppress thinning or the like.

並且,藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液之溶解性減少之樹脂亦可成為藉由酸的作用而極性增大且相對於鹼顯影液之溶解度增大之樹脂。藉此,本發明的方法亦可在步驟(B)與步驟(C)之間或步驟(C)與步驟(D)之間(在實施後述步驟(C’)實施的情況下,步驟(C)與步驟(C’)之間)進一步具有使用鹼顯影液進行顯影之步驟。藉由組合藉由有機系顯影液進行之顯影和藉由鹼顯影液進行之顯影,如在US8,227,183B的FIG.1~11等中說明,能夠期待對遮罩圖案的1/2的線寬度的圖案進行分辨。Further, the resin which is increased in polarity by the action of an acid and which has reduced solubility with respect to the developer containing the organic solvent may be a resin which is increased in polarity by the action of an acid and which has an increased solubility with respect to the alkali developer. . Thereby, the method of the present invention may also be between step (B) and step (C) or between step (C) and step (D) (in the case of performing step (C') described later, step (C) And the step (C')) further having a step of performing development using an alkali developer. By combining development by an organic developer and development by an alkali developer, as described in FIGS. 1 to 11 of US Pat. No. 8,227,183 B, it is possible to expect a line of 1/2 of the mask pattern. The pattern of the width is resolved.

在本發明的方法進一步具有使用鹼顯影液進行顯影之步驟的情況下,作為鹼顯影液,例如能夠使用氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水等無機鹼類、乙胺、正丙胺等伯胺類、二乙胺、二正丁胺等仲胺類、三乙胺、甲基二乙胺等叔胺類、二甲基乙醇胺、三乙醇胺等醇胺類、四甲基氫氧化銨、四乙基氫氧化銨等季銨鹽、吡咯、哌啶等環狀胺類等鹼性水溶液。 而且,還能夠在上述鹼性水溶液中添加適當量的醇類、界面活性劑來使用。作為界面活性劑,能夠舉出上述者。 鹼顯影液的鹼濃度通常為0.1~20質量%。 鹼顯影液的pH通常為10.0~15.0。 四甲基氫氧化銨的2.38質量%的水溶液為特佳。In the case where the method of the present invention further has a step of performing development using an alkali developer, as the alkali developer, for example, inorganic substances such as sodium hydroxide, potassium hydroxide, sodium carbonate, sodium citrate, sodium metasilicate, and ammonia can be used. Primary amines such as alkalis, ethylamines and n-propylamines, secondary amines such as diethylamine and di-n-butylamine, tertiary amines such as triethylamine and methyldiethylamine, and alcohol amines such as dimethylethanolamine and triethanolamine. An alkaline aqueous solution such as a quaternary ammonium salt such as tetramethylammonium hydroxide or tetraethylammonium hydroxide or a cyclic amine such as pyrrole or piperidine. Further, an appropriate amount of an alcohol or a surfactant may be added to the above alkaline aqueous solution for use. The above-mentioned one can be mentioned as a surfactant. The alkali concentration of the alkali developer is usually from 0.1 to 20% by mass. The pH of the alkali developer is usually from 10.0 to 15.0. A 2.38 mass% aqueous solution of tetramethylammonium hydroxide is particularly preferred.

作為顯影方法,例如能夠應用在填滿顯影液之槽中將基板浸泡一定時間之方法(浸漬法)、藉由在基板表面利用表面張力使顯影液隆起並靜止一定時間來進行顯影之方法(槳式攪拌法)、將顯影液噴到基板表面之方法(噴塗法)、在以恆定速度旋轉之基板上以恆定速度掃描顯影液吐出噴嘴一邊持續吐出顯影液之方法(動態分配法)等。As a developing method, for example, a method of immersing a substrate in a tank filled with a developing solution for a certain period of time (dipping method), and a method of developing the substrate by using a surface tension on the surface of the substrate and holding it for a certain period of time can be applied (paddle method) A method of stirring (a stirring method), a method of spraying a developing solution onto a surface of a substrate (spraying method), a method of continuously discharging a developing solution while continuously scanning a developing solution discharge nozzle at a constant speed on a substrate rotating at a constant speed (dynamic stirring method).

本發明的方法亦可在步驟(C)與步驟(D)之間(在實施後述步驟(C’)的情況下,步驟(C)與步驟(C’)之間)、亦即在使用含有有機溶劑之顯影液進行顯影之步驟之後,具有使用含有有機溶劑之沖洗液進行清洗之步驟(沖洗步驟)。The method of the present invention may also be between step (C) and step (D) (in the case of performing step (C') described later, between step (C) and step (C')), that is, in use After the developing solution of the organic solvent is subjected to the step of developing, it has a step of washing using a washing liquid containing an organic solvent (rinsing step).

作為在使用含有有機溶劑之顯影液進行顯影之步驟之後的沖洗步驟中使用之沖洗液,只要不溶解抗蝕劑圖案,則無特別限制,能夠使用通常的含有有機溶劑之溶液。作為上述沖洗液,使用含有選自由烴類溶劑、酮類溶劑、酯類溶劑、醇類溶劑、醯胺類溶劑以及醚類溶劑構成之群組中的至少1種有機溶劑之沖洗液為較佳。 作為烴類溶劑、酮類溶劑、酯類溶劑、醇類溶劑、醯胺類溶劑以及醚類溶劑的具體例,能夠舉出與在含有有機溶劑之顯影液中說明者相同者。 在使用含有有機溶劑之顯影液進行顯影之步驟之後,進行使用含有選自由酮類溶劑、酯類溶劑、醇類溶劑、醯胺類溶劑、烴類溶劑構成之群組中的至少1種有機溶劑之沖洗液清洗之步驟為更佳,進行使用含有烴類溶劑、醇類溶劑或酯類溶劑之沖洗液清洗之步驟為進一步較佳,進行使用含有烴類溶劑、一元醇之沖洗液清洗之步驟為特佳,使用含有碳原子數5以上的一元醇之沖洗液清洗之步驟為最佳。 在此,作為在沖洗步驟中使用之一元醇,可以舉出直鏈狀、支鏈狀、環狀的一元醇,具體而言,能夠使用1-丁醇、2-丁醇、3-甲基-1-丁醇、叔丁醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、環戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇等,作為特佳之碳原子數5以上的一元醇,能夠使用1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。 作為含有烴類溶劑之沖洗液,碳原子數6~30的烴化合物為較佳,碳原子數8~30的烴化合物為更佳,碳原子數10~30的烴化合物為特佳。其中,藉由使用含有癸烷和/或十一烷之沖洗液,可以抑制圖案倒塌。 在將酯類溶劑用作有機溶劑的情況下,除了使用酯類溶劑(1種或2種以上)之外,亦可使用二醇醚類溶劑。作為此時的具體例,可以舉出以酯類溶劑(乙酸丁酯為較佳)為主成分,以二醇醚類溶劑(丙二醇單甲醚(PGME)為較佳)為副成分來使用。藉此,可以更加抑制殘渣缺陷。The rinse liquid used in the rinsing step after the step of performing development using the developer containing the organic solvent is not particularly limited as long as the resist pattern is not dissolved, and a solution containing a usual organic solvent can be used. As the rinsing liquid, a rinsing liquid containing at least one organic solvent selected from the group consisting of a hydrocarbon solvent, a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent, and an ether solvent is preferably used. . Specific examples of the hydrocarbon solvent, the ketone solvent, the ester solvent, the alcohol solvent, the guanamine solvent, and the ether solvent may be the same as those described in the developer containing the organic solvent. After the step of performing development using a developing solution containing an organic solvent, at least one organic solvent containing a group selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent, and a hydrocarbon solvent is used. The step of washing the rinse liquid is more preferably, and the step of washing with a rinse liquid containing a hydrocarbon solvent, an alcohol solvent or an ester solvent is further preferably carried out by washing with a rinse liquid containing a hydrocarbon solvent and a monohydric alcohol. It is particularly preferred that the step of washing with a rinse liquid containing a monohydric alcohol having 5 or more carbon atoms is preferred. Here, as the monohydric alcohol used in the rinsing step, a linear, branched, or cyclic monohydric alcohol may be mentioned, and specifically, 1-butanol, 2-butanol, or 3-methyl group can be used. 1-butanol, tert-butanol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1-heptanol, 1-octanol, 2-hexanol, Cyclopentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol, etc., as a particularly preferred monohydric alcohol having 5 or more carbon atoms, can be used. -hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol, and the like. As the rinse liquid containing a hydrocarbon solvent, a hydrocarbon compound having 6 to 30 carbon atoms is preferable, a hydrocarbon compound having 8 to 30 carbon atoms is more preferable, and a hydrocarbon compound having 10 to 30 carbon atoms is particularly preferable. Among them, pattern collapse can be suppressed by using a rinse liquid containing decane and/or undecane. When an ester solvent is used as the organic solvent, a glycol ether solvent may be used in addition to the ester solvent (one or two or more). Specific examples at this time include an ester solvent (preferable as butyl acetate) as a main component, and a glycol ether solvent (preferably propylene glycol monomethyl ether (PGME)) as a subcomponent. Thereby, residue defects can be further suppressed.

上述各成分可以混合複數種,亦可與除上述以外的有機溶劑混合使用。Each of the above components may be mixed in a plurality of types, or may be used in combination with an organic solvent other than the above.

即使在本發明的方法具有使用鹼顯影液進行顯影之步驟的情況下,亦可包括使用沖洗液進行清洗之步驟(沖洗步驟)。作為此時的沖洗液使用純水,還能夠添加適當量的界面活性劑來使用。Even in the case where the method of the present invention has a step of performing development using an alkali developer, a step of washing using a rinse liquid (rinsing step) may be included. As the rinsing liquid at this time, pure water is used, and an appropriate amount of a surfactant can also be added and used.

上述沖洗步驟中的清洗處理的方法無特別限定,例如能夠應用在以恆定速度旋轉之基板上持續吐出沖洗液之方法(旋轉塗佈法)、在填滿沖洗液之槽中將基板浸泡一定時間之方法(浸漬法)、向基板表面噴霧沖洗液之方法(噴塗法)等,其中,利用旋轉塗佈方法進行清洗處理,清洗之後使基板以2000rpm~4000rpm的轉速旋轉,從基板上去除沖洗液為較佳。並且,在沖洗步驟之後,包括加熱步驟(Post Bake)亦較佳。藉由烘烤,去除殘留在圖案之間以及圖案內部之顯影液以及沖洗液。沖洗步驟之後的加熱步驟通常在40~160℃、較佳地在70~95℃下,通常進行10秒鐘~3分鐘,較佳地進行30秒鐘至90秒鐘。The method of the cleaning treatment in the above-described rinsing step is not particularly limited. For example, it can be applied to a method of continuously discharging a rinsing liquid on a substrate rotating at a constant speed (spin coating method), and immersing the substrate in a tank filled with the rinsing liquid for a certain period of time. a method (dipping method), a method of spraying a rinsing liquid onto a surface of a substrate (spraying method), or the like, wherein the cleaning treatment is performed by a spin coating method, and after the cleaning, the substrate is rotated at a number of revolutions of 2000 rpm to 4000 rpm to remove the rinsing liquid from the substrate. It is better. Also, after the rinsing step, a heating step (Post Bake) is also preferred. The developer and the rinse liquid remaining between the patterns and inside the pattern are removed by baking. The heating step after the rinsing step is usually carried out at 40 to 160 ° C, preferably 70 to 95 ° C, usually for 10 seconds to 3 minutes, preferably for 30 seconds to 90 seconds.

並且,在顯影處理或沖洗處理之後,能夠進行藉由超臨界流體去除附著在圖案上之顯影液或沖洗液之處理。Further, after the development treatment or the rinsing treatment, the treatment of removing the developer or the rinsing liquid adhering to the pattern by the supercritical fluid can be performed.

並且,可以在步驟(C)與在後面詳細敘述之步驟(D)之間進一步實施加熱步驟(C’),藉此,如上所述,能夠更加提高在步驟(C)中形成之第一圖案的耐溶劑性,能夠設成即使在後續步驟(D)中將由上述本發明的組成物構成之液體塗佈在第一圖案上,亦更加不易受損者。該加熱步驟(C’)中的溫度為130℃以上為較佳,150℃以上為更佳,170℃以上為進一步較佳。該溫度通常為240℃以下。並且,該加熱步驟(C’)中的加熱時間,以30~120秒鐘左右進行。 從藉由促進揮發有機物的分解殘渣,能夠降低加熱溫度,能夠縮短加熱時間之觀點來看,在減壓下實施加熱步驟(C’)亦較佳。Further, the heating step (C') may be further carried out between the step (C) and the step (D) which will be described later in detail, whereby the first pattern formed in the step (C) can be further improved as described above. The solvent resistance can be set even more so that the liquid composed of the above-described composition of the present invention is applied to the first pattern in the subsequent step (D). The temperature in the heating step (C') is preferably 130 ° C or more, more preferably 150 ° C or more, and further preferably 170 ° C or more. This temperature is usually 240 ° C or less. Further, the heating time in the heating step (C') is carried out for about 30 to 120 seconds. It is also preferable to carry out the heating step (C') under reduced pressure from the viewpoint of reducing the heating temperature by promoting the decomposition residue of the volatile organic substance and shortening the heating time.

另外,如上所述,第一圖案54具有充分的耐溶劑性,故雖然不需要,但本發明並非係排除對第一圖案54應用公知的冷凍材料者。Further, as described above, since the first pattern 54 has sufficient solvent resistance, it is not required, but the present invention is not intended to exclude the application of a known frozen material to the first pattern 54.

接著,如圖1之(d)的概略剖視圖所示,在形成有第一圖案54之基板51上,使用上述本發明的組成物形成平坦化層81(步驟(D))。Next, as shown in the schematic cross-sectional view of FIG. 1(d), on the substrate 51 on which the first pattern 54 is formed, the planarization layer 81 is formed using the composition of the present invention described above (step (D)).

在步驟(D)中使用上述本發明的組成物形成平坦化層之方法與在上述步驟(A)中使用第一抗蝕劑組成物形成第一抗蝕劑膜之方法相同。 以第一圖案的表面為基準面之平坦化層的膜厚為0~50nm為較佳,2~40nm為更佳,5~30nm為進一步較佳。另外,在以填充在第一圖案的空隙部分中的方式形成平坦化層,利用第一圖案的表面和平坦化層的表面形成平坦的面的情況下,以上述第一圖案的表面為基準面之平坦化層的膜厚亦可為0nm。換言之,第一圖案的表面和平坦化層的表面亦可為同一水平面。The method of forming the planarization layer using the composition of the present invention described above in the step (D) is the same as the method of forming the first resist film using the first resist composition in the above step (A). The thickness of the planarization layer having the surface of the first pattern as a reference surface is preferably 0 to 50 nm, more preferably 2 to 40 nm, and still more preferably 5 to 30 nm. Further, in a case where a planarization layer is formed in a space filled in a void portion of the first pattern, and a surface of the first pattern and a surface of the planarization layer are used to form a flat surface, the surface of the first pattern is used as a reference surface The film thickness of the planarization layer may also be 0 nm. In other words, the surface of the first pattern and the surface of the planarization layer may also be the same horizontal plane.

藉由上述步驟(A)~(D),第一圖案被平坦化。By the above steps (A) to (D), the first pattern is flattened.

如上所述,本發明的圖案形成方法在上述步驟(A)~(D)之後,進一步包括下述步驟(E)~(G)。As described above, the pattern forming method of the present invention further includes the following steps (E) to (G) after the above steps (A) to (D).

在步驟(E)中,如圖1之(e)的概略剖視圖所示,在形成有第一圖案54之基板51上,使用第二抗蝕劑組成物形成第二抗蝕劑膜56。 第二抗蝕劑組成物含有藉由酸的作用而極性增大且相對於有機系顯影液之溶解性減少之樹脂為較佳。其理由係,尤其在該情況下,能夠將經由後述步驟(F)以及(G)獲得之第二圖案設成使用有機系顯影液形成之負型圖案,故如上所述,與正型圖案比較,能夠可靠地形成超微細(例如,間隔寬度為40nm以下)的間隙圖案。 對於第二抗蝕劑組成物以及較佳地含有該第二抗蝕劑組成物之藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液之溶解性減少之樹脂等的詳細內容,在後面敘述。In the step (E), as shown in the schematic cross-sectional view of FIG. 1(e), on the substrate 51 on which the first pattern 54 is formed, the second resist film 56 is formed using the second resist composition. The second resist composition preferably contains a resin which is increased in polarity by the action of an acid and which has a reduced solubility with respect to the organic developer. The reason is that, in particular, in this case, the second pattern obtained through the steps (F) and (G) described later can be set to a negative pattern formed using an organic developing solution, so as described above, compared with the positive pattern It is possible to reliably form a gap pattern which is ultrafine (for example, a spacer width of 40 nm or less). Details of the second resist composition and preferably a resin containing the second resist composition which is increased in polarity by the action of an acid and reduced in solubility with respect to the developer containing the organic solvent It will be described later.

在步驟(E)中使用第二抗蝕劑組成物形成第二抗蝕劑膜之方法與在上述步驟(A)中使用第一抗蝕劑組成物形成第一抗蝕劑膜之方法相同。The method of forming the second resist film using the second resist composition in the step (E) is the same as the method of forming the first resist film using the first resist composition in the above step (A).

第二抗蝕劑膜的膜厚的較佳範圍亦與記載為第一抗蝕劑膜的較佳範圍者相同。The preferred range of the film thickness of the second resist film is also the same as that described in the preferred range of the first resist film.

本發明的圖案形成方法在步驟(E)與步驟(F)之間包括預加熱步驟(PB;Prebake)亦較佳。 並且,本發明的圖案形成方法在步驟(F)與步驟(G)之間包括曝光後加熱步驟(PEB;Post Exposure Bake)亦較佳。 PB、PEB的加熱溫度均在70~130℃下進行為較佳,在80~120℃下進行為更佳。 加熱時間為30~300秒鐘為較佳,30~180秒鐘為更佳,30~90秒鐘為進一步較佳。 能夠利用通常的曝光顯影機所附帶之機構進行加熱,亦可使用加熱板等進行。 藉由烘烤,促進曝光部的反應,改善靈敏度或圖案分佈。 預加熱步驟以及曝光後加熱步驟的至少一方亦可包括複數次加熱步驟。The pattern forming method of the present invention preferably includes a preheating step (PB; Prebake) between the step (E) and the step (F). Further, the pattern forming method of the present invention preferably includes a post exposure heating step (PEB; Post Exposure Bake) between the step (F) and the step (G). The heating temperature of PB and PEB is preferably 70 to 130 ° C, more preferably 80 to 120 ° C. The heating time is preferably from 30 to 300 seconds, more preferably from 30 to 180 seconds, and further preferably from 30 to 90 seconds. Heating can be performed by a mechanism attached to a general exposure developing machine, or by using a hot plate or the like. By baking, the reaction of the exposed portion is promoted, and the sensitivity or pattern distribution is improved. At least one of the preheating step and the post-exposure heating step may also include a plurality of heating steps.

接著,如圖1之(f)的概略剖視圖所示,藉由經由遮罩61,對抗蝕劑膜56照射光化射線或放射線71(亦即,進行曝光),獲得完成曝光的第二抗蝕劑膜57(步驟(F))。 在此,遮罩61中的遮罩圖案無特別限定,能夠舉出與在步驟(B)中使用之遮罩相同者(例如為,包括作為遮光部的線部和作為透光部的空間部之具有線與空間圖案之遮罩,亦即線部的寬度與空間部的寬度之比為1:3的遮罩)。 並且,遮罩61以遮光部的位置相對於步驟(B)中的位置偏移半間距的量的方式(亦即,以第一圖案的線方向與第二圖案的線方向最終平行的方式,更具體而言,以從與基板垂直的方向觀察時,使第二圖案的空間部的中心線與第一圖案的線部的中心線一致的方式)配置為較佳,藉此,藉由實施後述步驟(G)、步驟(H)以及步驟(I),能夠形成超微細的1:1線與空間圖案。Next, as shown in the schematic cross-sectional view of FIG. 1(f), the resist film 56 is irradiated with actinic rays or radiation 71 (that is, exposure is performed) via the mask 61, thereby obtaining a second resist for completion of exposure. Film 57 (step (F)). Here, the mask pattern in the mask 61 is not particularly limited, and the same as the mask used in the step (B), for example, includes a line portion as a light shielding portion and a space portion as a light transmission portion. A mask having a line and space pattern, that is, a mask having a ratio of the width of the line portion to the width of the space portion of 1:3). Further, the mask 61 is such that the position of the light shielding portion is shifted by a half pitch with respect to the position in the step (B) (that is, the line direction of the first pattern is finally parallel to the line direction of the second pattern, More specifically, it is preferable to arrange the center line of the space portion of the second pattern and the center line of the line portion of the first pattern when viewed from a direction perpendicular to the substrate, thereby implementing Step (G), step (H), and step (I) described later can form an ultrafine 1:1 line and space pattern.

步驟(F)中的曝光方法能夠相同地採用在步驟(B)中的曝光中進行說明者。The exposure method in the step (F) can be similarly employed in the exposure in the step (B).

接著,如圖1之(g)的概略剖視圖所示,對完成曝光的第二抗蝕劑膜57進行顯影,形成第二圖案58(步驟(G))。 在步驟(G)中,能夠在對第二抗蝕劑膜進行顯影而形成第二圖案之步驟中使用之顯影液可以為有機系顯影液,亦可為鹼顯影液,分別能夠同樣使用對步驟(C)中的有機系顯影液進行說明者、以及對例如亦可在步驟(C)與步驟(D)之間實施之上述“使用鹼顯影液進行顯影之步驟”中的鹼顯影液進行說明者。 作為步驟(G),能夠較佳地舉出使用含有有機溶劑之顯影液形成負型圖案作為第二圖案之步驟和使用鹼顯影液形成正型圖案作為第二圖案之步驟。Next, as shown in a schematic cross-sectional view of (g) of FIG. 1, the second resist film 57 which has been exposed is developed to form a second pattern 58 (step (G)). In the step (G), the developer which can be used in the step of developing the second resist film to form the second pattern may be an organic developer or an alkali developer, and the same step can be used in the same manner. The organic developing solution in (C) will be described, and the alkali developing solution in the above-mentioned "step of developing using an alkali developing solution" which can be carried out between step (C) and step (D) will be described. By. As the step (G), a step of forming a negative pattern as a second pattern using a developing solution containing an organic solvent and a step of forming a positive pattern as a second pattern using an alkali developing solution can be preferably used.

如此,雖然第二圖案58可以為負型圖案,亦可為正型圖案,但如上所述,從能夠可靠地形成超微細(例如,間隔寬度為40nm以下)的間隙圖案之觀點來看,負型圖案為較佳,步驟(G)為使用含有有機溶劑之顯影液形成負型圖案作為第二圖案之步驟為更佳。As described above, although the second pattern 58 may be a negative pattern or a positive pattern, as described above, from the viewpoint of reliably forming an ultrafine (for example, a gap width of 40 nm or less) gap pattern, negative The pattern is preferably, and the step (G) is preferably a step of forming a negative pattern as a second pattern using a developing solution containing an organic solvent.

並且,雖然步驟(G)可以具有使用有機系顯影液進行顯影之步驟以及使用鹼顯影液進行顯影之步驟中的任一方,但可以亦具有使用有機系顯影液進行顯影之步驟以及使用鹼顯影液進行顯影之步驟這兩者,此時的各顯影步驟的順序無特別限定。Further, although the step (G) may have any one of a step of developing using an organic developing solution and a step of performing development using an alkali developing solution, it may have a step of developing using an organic developing solution and using an alkali developing solution. The steps of performing the development are not particularly limited in the order of the respective development steps at this time.

步驟(G)中的顯影方法能夠同樣使用對步驟(C)進行說明者、以及對例如亦可在步驟(C)與步驟(D)之間實施之上述“使用鹼顯影液進行顯影之步驟”進行說明者。The developing method in the step (G) can be similarly used for the description of the step (C), and the above-mentioned "step of developing using an alkali developing solution" which can be carried out, for example, between the step (C) and the step (D). For the explanation.

並且,本發明的圖案形成方法亦可在步驟(G)之後包括使用沖洗液進行清洗之步驟(沖洗步驟)。作為使用有機系顯影液進行顯影之步驟之後的沖洗步驟中的沖洗液,能夠同樣使用在可在步驟(C)之後具有之使用含有有機溶劑之沖洗液清洗之步驟(沖洗步驟)中進行說明者,作為使用鹼顯影液進行顯影之步驟之後的沖洗步驟中的沖洗液,能夠同樣使用在例如亦可在步驟(C)與步驟(D)之間實施之可在上述“使用鹼顯影液進行顯影之步驟”之後具有之沖洗步驟中進行說明者。Further, the pattern forming method of the present invention may further include a step of washing using a rinse liquid (washing step) after the step (G). The rinsing liquid in the rinsing step after the step of performing development using the organic developing solution can be similarly used in the step (rinsing step) which can be washed after the step (C) using the rinsing liquid containing the organic solvent. The rinsing liquid in the rinsing step after the step of performing development using the alkali developing solution can be similarly used, for example, and can be carried out between the step (C) and the step (D). The description will be made in the rinsing step after the step ”.

該些沖洗步驟中的清洗處理的方法能夠同樣舉出前述者。The method of the cleaning treatment in these rinsing steps can also be exemplified above.

藉由上述步驟(A)~(G),在使第一圖案平坦化之平坦化層上形成第二圖案。By the above steps (A) to (G), the second pattern is formed on the planarization layer which planarizes the first pattern.

對形成有第二圖案之基板進一步實施步驟(H)以及步驟(I),藉此能夠形成超微細的1:1線與空間圖案。Step (H) and step (I) are further carried out on the substrate on which the second pattern is formed, whereby an ultrafine 1:1 line and space pattern can be formed.

如圖1之(h)的概略剖視圖所示,步驟(H)以第二圖案58為遮罩,對平坦化層81以及第一圖案54進行使用蝕刻氣體75等之蝕刻處理,將第一圖案54轉換為微細化圖案55。As shown in the schematic cross-sectional view of FIG. 1(h), the step (H) uses the second pattern 58 as a mask, and the planarization layer 81 and the first pattern 54 are etched using an etching gas 75 or the like to form the first pattern. 54 is converted into a fine pattern 55.

蝕刻處理的方法無特別限定,均能使用公知的方法,按照提供至蝕刻處理之層的種類等,適當地確定各種條件等。例如,能夠按照國際光學工程學會紀要(Proc. of SPIE)Vol.6924,692420(2008)、日本特開2009-267112號公報等實施蝕刻。The method of the etching treatment is not particularly limited, and various conditions and the like can be appropriately determined according to the type of the layer supplied to the etching treatment, etc., using a known method. For example, etching can be carried out in accordance with the International Society of Optical Engineering (Proc. of SPIE) Vol. 6924, 692420 (2008), and JP-A-2009-267112.

在此,能夠較佳地舉出第一圖案以及第二圖案的至少任一方含有矽原子之形態。 該形態為,第一抗蝕劑組成物以及第二抗蝕劑組成物的至少任一方含有矽原子(例如,具有矽原子之樹脂)之形態或第一圖案以及第二圖案的至少任一方含有矽原子(例如,具有矽原子之樹脂)之形態為較佳。 依據上述形態,藉由採用對含有矽原子之膜容易產生蝕刻反應之蝕刻條件或對不含有矽原子之膜容易產生蝕刻反應之蝕刻條件,容易設定如第一圖案的蝕刻速度充分大於第二蝕刻速度這樣的蝕刻條件。藉此,能夠更容易形成第二圖案58的模樣(圖案)轉印至第一圖案54而成之微細化圖案55。Here, a form in which at least one of the first pattern and the second pattern contains germanium atoms can be preferably used. In this embodiment, at least one of the first resist composition and the second resist composition contains a ruthenium atom (for example, a resin having a ruthenium atom) or at least one of the first pattern and the second pattern. A form of a germanium atom (for example, a resin having a germanium atom) is preferred. According to the above aspect, it is easy to set the etching rate of the first pattern to be sufficiently larger than the second etching by using an etching condition in which an etching reaction is easily generated on a film containing a germanium atom or an etching reaction in which a film containing no germanium atom is likely to generate an etching reaction. Etching conditions such as speed. Thereby, it is possible to more easily form the fine pattern 55 in which the pattern (pattern) of the second pattern 58 is transferred to the first pattern 54.

接著,如圖1之(i)的概略剖視圖所示,去除平坦化層81和第二圖案58(步驟(I))。Next, as shown in the schematic cross-sectional view of (i) of FIG. 1, the planarization layer 81 and the second pattern 58 are removed (step (I)).

步驟(I)只要能夠去除平坦化層以及第二圖案,便無特別限定,能夠藉由對平坦化層以及第二圖案的至少一方實施選自“蝕刻處理”、“使用溶劑進行之曝光”以及“使用水溶液(例如,酸性水溶液或鹼性水溶液)進行之曝光”之1種以上處理適當地實施。亦即,可以對平坦化層以及第二圖案實施相同種類的處理,亦可實施不同種類的處理。 在步驟(I)中,不損傷微細化圖案55而去除平坦化層81和第二圖案58為較佳,換言之,選擇性地去除平坦化層81和第二圖案58為較佳,故在上述例示的處理中,亦採用能夠選擇性地去除平坦化層81和第二圖案58者為較佳。The step (I) is not particularly limited as long as the planarization layer and the second pattern can be removed, and at least one of the planarization layer and the second pattern can be selected from "etching treatment" and "exposure using a solvent" and One or more kinds of treatments "exposure using an aqueous solution (for example, an acidic aqueous solution or an alkaline aqueous solution)" are suitably carried out. That is, the same type of processing can be performed on the planarization layer and the second pattern, and different types of processing can be performed. In the step (I), it is preferable to remove the planarization layer 81 and the second pattern 58 without damaging the fine pattern 55, in other words, it is preferable to selectively remove the planarization layer 81 and the second pattern 58. In the illustrated process, it is preferable to selectively remove the planarization layer 81 and the second pattern 58.

鑒於上述情況等,在藉由蝕刻處理去除平坦化層81的情況下,步驟(I)包括對平坦化層81實施平坦化層81的蝕刻速度大於微細化圖案55的蝕刻速度之條件的蝕刻處理之步驟為較佳。 另外,在藉由蝕刻處理去除平坦化層81的情況下,步驟(I)包括對平坦化層81實施平坦化層81的蝕刻速度大於第二圖案58的蝕刻速度之條件的蝕刻處理之步驟亦較佳。 上述條件能夠藉由適當地調整第一抗蝕劑組成物、第二抗蝕劑組成物以及上述本發明的組成物的各組成的內容或蝕刻氣體的種類等來實現。尤其是,上述本發明的組成物的蝕刻性優異,故容易實現上述條件。In view of the above, etc., in the case where the planarization layer 81 is removed by an etching process, the step (I) includes an etching process in which the planarization layer 81 is subjected to a condition that the etching rate of the planarization layer 81 is larger than the etching rate of the fine pattern 55. The steps are preferred. In addition, in the case where the planarization layer 81 is removed by an etching process, the step of etching (I) including the step of performing the etching rate of the planarization layer 81 on the planarization layer 81 to be larger than the etching rate of the second pattern 58 is also performed. Preferably. The above conditions can be achieved by appropriately adjusting the contents of the respective compositions of the first resist composition, the second resist composition, and the above-described composition of the present invention, the type of etching gas, and the like. In particular, since the composition of the present invention described above is excellent in etching property, it is easy to achieve the above conditions.

以上,對本發明的實施形態之圖案形成方法進行了說明,如上述實施形態,本發明典型地以從與基板垂直的方向觀察之第一圖案的模樣與從與基板垂直的方向觀察之第二圖案的模樣不完全重疊的方式,形成第一圖案以及第二圖案。 在此,如上述實施形態,第一圖案以及第二圖案均為線寬度大於間隔寬度之線和間隔的圖案為較佳。尤其在該情況下,第一圖案的線方向與第二圖案的線方向平行為較佳。 該種實施形態作為能夠容易形成超微細圖案(例如,線寬度以及間隔寬度均為40nm以下的線與空間圖案)者較佳。As described above, the pattern forming method according to the embodiment of the present invention has been described. As in the above embodiment, the present invention typically has a pattern of the first pattern viewed from a direction perpendicular to the substrate and a second pattern viewed from a direction perpendicular to the substrate. The pattern does not completely overlap, forming a first pattern and a second pattern. Here, as in the above embodiment, it is preferable that the first pattern and the second pattern have a line having a line width larger than the interval width and a space. Especially in this case, it is preferable that the line direction of the first pattern is parallel to the line direction of the second pattern. Such an embodiment is preferable as an ultrafine pattern (for example, a line and space pattern having a line width and a gap width of 40 nm or less).

另外,在本發明的實施形態之圖案形成方法中,第一圖案以及第二圖案均為線和間隔的圖案,但本發明並不限定於該形態,例如亦可舉出第一圖案以及第二圖案的任一方為線與空間圖案,另一方為孔圖案之形態或第一圖案以及第二圖案均為孔圖案之形態等。 如此,第一圖案以及第二圖案的各模樣的種類以及大小等能夠按照最終希望形成之微細化圖案的模樣適當地選擇,並不限定於特定的內容。Further, in the pattern forming method according to the embodiment of the present invention, the first pattern and the second pattern are both lines and spaces, but the present invention is not limited to this embodiment, and for example, the first pattern and the second pattern may be mentioned. One of the patterns is a line and space pattern, and the other is a form of a hole pattern or a form in which the first pattern and the second pattern are both hole patterns. As described above, the type and size of each of the first pattern and the second pattern can be appropriately selected in accordance with the pattern of the fine pattern to be finally formed, and is not limited to a specific content.

並且,在上述本發明的實施形態中,亦可在步驟(G)之後,在設置有第二圖案之平坦化層上,使用上述本發明的組成物進一步形成另一平坦化層,接著,使用第三抗蝕劑組成物在該另一平坦化層上形成第三抗蝕劑膜,之後,對第三抗蝕劑膜進行曝光顯影,形成第三圖案。依據該種形態,藉由以第三圖案為遮罩,對第二圖案進行蝕刻處理,能夠形成轉印有第三圖案的模樣之第二圖案之後,藉由以轉印有第三圖案的模樣之第二圖案為遮罩,對第一圖案進行蝕刻處理,從而能夠形成第二圖案的模樣和第三圖案的模樣轉印至第一圖案而成之微細化圖案。 如此,本發明的圖案形成方法亦可在步驟(G)之後包括1次以上“進一步形成平坦化層、進一步形成抗蝕劑膜以及藉由該抗蝕劑膜的曝光顯影進一步形成圖案”這一連串的步驟組。Further, in the above-described embodiment of the present invention, after the step (G), another planarization layer may be further formed on the planarization layer provided with the second pattern by using the composition of the present invention described above, and then, The third resist composition forms a third resist film on the other planarization layer, and then the third resist film is subjected to exposure development to form a third pattern. According to this aspect, the second pattern is etched by using the third pattern as a mask, and the second pattern of the pattern after the third pattern is transferred can be formed by transferring the pattern of the third pattern. The second pattern is a mask, and the first pattern is etched to form a fine pattern in which the pattern of the second pattern and the pattern of the third pattern are transferred to the first pattern. As described above, the pattern forming method of the present invention may include a series of " further forming a planarization layer, further forming a resist film, and further patterning by exposure development of the resist film" after the step (G). Group of steps.

<第一抗蝕劑組成物> 第一抗蝕劑組成物(感光化射線性或感放射線性樹脂組成物)能夠使用公知的組成物。並且,第一抗蝕劑組成物典型地為化學放大型的抗蝕劑組成物。<First Resist Composition> A known composition can be used as the first resist composition (photosensitive ray-forming or radiation-sensitive resin composition). Also, the first resist composition is typically a chemically amplified resist composition.

[1]藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液之溶解性減少之樹脂 如上所述,第一抗蝕劑組成物含有藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液之溶解性減少之樹脂為較佳。 作為該種樹脂,例如能夠舉出在樹脂的主鏈或側鏈或主鏈以及側鏈這兩者具有藉由酸的作用進行分解而生成極性基團之基團(以下,亦稱作“酸分解性基團”。)之樹脂(以下,亦稱作“酸分解性樹脂”或“樹脂(A)”。)。 酸分解性基團具有被藉由酸的作用分解並脫離極性基團之基團保護之結構為較佳。 作為極性基團,只要為在含有有機溶劑之顯影液中難溶化或不溶化之基團,便無特別限定,可以舉出酚羥基、羧基、氟化醇基(六氟異丙醇基為較佳)、磺酸基、磺醯胺基、磺醯亞胺基、(烷基磺醯基)(烷羰基)亞甲基、(烷基磺醯基)(烷羰基)醯亞胺基、雙(烷羰基)亞甲基、雙(烷羰基)醯亞胺基、雙(烷基磺醯基)亞甲基、雙(烷基磺醯基)醯亞胺基、三(烷羰基)亞甲基、三(烷基磺醯基)亞甲基等酸性基(在以往用作抗蝕劑的顯影液之2.38質量%四甲基氫氧化銨水溶液中解離之基團)或醇羥基等。[1] Resin having increased polarity by the action of an acid and reduced solubility with respect to a developing solution containing an organic solvent As described above, the first resist composition contains an increase in polarity by an action of an acid and is relatively A resin having a reduced solubility in a developer containing an organic solvent is preferred. As such a resin, for example, a group in which a polar group is formed by decomposition of an acid by a main chain or a side chain, a main chain, and a side chain of a resin (hereinafter, also referred to as "acid" The resin of the decomposable group ".) (hereinafter also referred to as "acid-decomposable resin" or "resin (A)"). It is preferred that the acid-decomposable group has a structure protected by a group decomposed by an action of an acid and desorbed from a polar group. The polar group is not particularly limited as long as it is insoluble or insoluble in a developing solution containing an organic solvent, and examples thereof include a phenolic hydroxyl group, a carboxyl group, and a fluorinated alcohol group (hexafluoroisopropanol group is preferred). ), sulfonic acid group, sulfonylamino group, sulfonimido group, (alkylsulfonyl) (alkylcarbonyl) methylene, (alkylsulfonyl) (alkylcarbonyl) fluorenylene, bis ( Alkylcarbonyl)methylene, bis(alkylcarbonyl)indenylene, bis(alkylsulfonyl)methylene, bis(alkylsulfonyl)indolylene, tris(alkylcarbonyl)methylene An acidic group such as a tris(alkylsulfonyl)methylene group (a group which is dissociated in a 2.38 mass% tetramethylammonium hydroxide aqueous solution which has been conventionally used as a resist) or an alcoholic hydroxyl group.

另外,醇羥基係指與烴基鍵結之羥基,亦即係指除了在芳香環上直接鍵結之羥基(酚羥基)以外的羥基,羥基設為除了α位被氟原子等吸電子基取代之脂肪族醇(例如,氟化醇基(六氟異丙醇基等))以外者。醇羥基較佳為pKa為12以上且20以下的羥基。Further, the alcoholic hydroxyl group means a hydroxyl group bonded to a hydrocarbon group, that is, a hydroxyl group other than a hydroxyl group (phenolic hydroxyl group) directly bonded to an aromatic ring, and the hydroxyl group is substituted by an electron withdrawing group such as a fluorine atom. An aliphatic alcohol (for example, a fluorinated alcohol group (hexafluoroisopropanol group or the like)). The alcoholic hydroxyl group is preferably a hydroxyl group having a pKa of 12 or more and 20 or less.

作為較佳極性基團,可以舉出羧基、氟化醇基(六氟異丙醇基為較佳)、磺酸基。Preferred examples of the polar group include a carboxyl group, a fluorinated alcohol group (preferably a hexafluoroisopropanol group), and a sulfonic acid group.

作為酸分解性基團較佳之基團為被利用酸脫離該些基團的氫原子之基團取代之基團。 作為利用酸脫離之基團,例如能夠舉出-C(R36 )(R37 )(R38 )、-C(R36 )(R37 )(OR39 )、-C(R01 )(R02 )(OR39 )等。 式中,R36 ~R39 分別獨立地表示烷基、環烷基、芳基、芳烷基或烯基。R36 與R37 亦可相互鍵結而形成環。 R01 以及R02 分別獨立地表示氫原子、烷基、環烷基、芳基、芳烷基或烯基。A group which is preferably an acid-decomposable group is a group substituted with a group in which an acid is desorbed from a hydrogen atom of the group. Examples of the group which utilizes acid detachment include -C(R 36 )(R 37 )(R 38 ), -C(R 36 )(R 37 )(OR 39 ), and -C(R 01 )(R). 02 ) (OR 39 ) and so on. In the formula, R 36 to R 39 each independently represent an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group or an alkenyl group. R 36 and R 37 may also be bonded to each other to form a ring. R 01 and R 02 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an arylalkyl group or an alkenyl group.

R36 ~R39 、R01 以及R02 的烷基為碳原子數1~8的烷基為較佳,例如能夠舉出甲基、乙基、丙基、正丁基、仲丁基、己基、辛基等。 R36 ~R39 、R01 以及R02 的環烷基可以為單環型,亦可為多環型。作為單環型,碳原子數3~8的環烷基為較佳,例如能夠舉出環丙基、環丁基、環戊基、環己基、環辛基等。作為多環型,碳原子數6~20的環烷基為較佳,例如能夠舉出金剛烷基、降冰片基、異冰片基、莰基、二環戊基、α-蒎烯基(α-pinenyl)、三環癸基、四環十二烷基(tetracyclo dodecyl group)、雄甾烷基(androstanyl)等。另外,環烷基中的至少1個碳原子亦可被氧原子等雜原子取代。 R36 ~R39 、R01 以及R02 的芳基較佳為碳原子數6~10的芳基,例如能夠舉出苯基、萘基、蒽基等。 R36 ~R39 、R01 以及R02 的芳烷基較佳為碳原子數7~12的芳烷基,例如能夠舉出苄基、苯乙基、萘基甲基等。 R36 ~R39 、R01 以及R02 的烯基較佳為碳原子數2~8的烯基,例如能夠舉出乙烯基、烯丙基、丁烯基、環己烯基等。 作為R36 與R37 鍵結而形成之環,環烷基(單環或多環)為較佳。作為環烷基,環戊基、環己基等單環的環烷基、降冰片基、四環癸基、四環十二烷基(tetracyclo dodecanyl group)、金剛烷基等多環的環烷基為較佳。碳原子數5~6的單環的環烷基為更佳,碳原子數5的單環的環烷基為特佳。The alkyl group of R 36 to R 39 , R 01 and R 02 is preferably an alkyl group having 1 to 8 carbon atoms, and examples thereof include a methyl group, an ethyl group, a propyl group, a n-butyl group, a sec-butyl group and a hexyl group. , 辛基, etc. The cycloalkyl group of R 36 to R 39 , R 01 and R 02 may be a monocyclic type or a polycyclic type. The monocyclic type is preferably a cycloalkyl group having 3 to 8 carbon atoms, and examples thereof include a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, and a cyclooctyl group. The polycyclic type is preferably a cycloalkyl group having 6 to 20 carbon atoms, and examples thereof include adamantyl group, norbornyl group, isobornyl group, fluorenyl group, dicyclopentyl group, and α-decenyl group (α). -pinenyl), tricyclodecyl, tetracyclo dodecyl group, androstanyl, and the like. Further, at least one carbon atom in the cycloalkyl group may be substituted with a hetero atom such as an oxygen atom. The aryl group of R 36 to R 39 , R 01 and R 02 is preferably an aryl group having 6 to 10 carbon atoms, and examples thereof include a phenyl group, a naphthyl group and an anthracenyl group. The aralkyl group of R 36 to R 39 , R 01 and R 02 is preferably an aralkyl group having 7 to 12 carbon atoms, and examples thereof include a benzyl group, a phenethyl group, and a naphthylmethyl group. The alkenyl group of R 36 to R 39 , R 01 and R 02 is preferably an alkenyl group having 2 to 8 carbon atoms, and examples thereof include a vinyl group, an allyl group, a butenyl group, and a cyclohexenyl group. As the ring formed by bonding R 36 and R 37 , a cycloalkyl group (monocyclic or polycyclic) is preferred. As a cycloalkyl group, a cyclopentyl group such as a cyclopentyl group or a cyclohexyl group, a monocyclic cycloalkyl group, a norbornyl group, a tetracyclodecyl group, a tetracyclo dodecanyl group, an adamantyl group or the like, a polycyclic cycloalkyl group. It is better. A monocyclic cycloalkyl group having 5 to 6 carbon atoms is more preferable, and a monocyclic cycloalkyl group having 5 carbon atoms is particularly preferable.

作為酸分解性基團,枯基酯基、烯醇酯基、乙縮醛酯基、叔烷基酯基等為較佳。叔烷基酯基為進一步較佳。As the acid-decomposable group, a cumyl ester group, an enol ester group, an acetal ester group, a tertiary alkyl ester group or the like is preferable. A tertiary alkyl ester group is further preferred.

樹脂(A)包含具有酸分解性基團之重複單元為較佳。The resin (A) preferably contains a repeating unit having an acid-decomposable group.

並且,樹脂(A)具有用下述通式(AI)表示之重複單元作為具有酸分解性基團之重複單元為較佳。用通式(AI)表示之重複單元係,藉由酸的作用產生作為極性基團的羧基者,由於在複數個羧基中顯示藉由氫鍵結進行之較高的相互作用,故能夠更加可靠地使所形成之負型圖案相對於上述本發明的組成物中的溶劑不溶化或難溶化。Further, the resin (A) preferably has a repeating unit represented by the following formula (AI) as a repeating unit having an acid-decomposable group. By using a repeating unit represented by the general formula (AI), a carboxyl group as a polar group is produced by an action of an acid, and since it exhibits a high interaction by hydrogen bonding in a plurality of carboxyl groups, it can be more reliable. The formed negative pattern is insolubilized or poorly soluble with respect to the solvent in the above-described composition of the present invention.

【化學式5】 [Chemical Formula 5]

通式(AI)中, Xa1 表示氫原子、烷基、氰基或鹵素原子。 T表示單鍵或2價連結基。 Rx1 ~Rx3 分別獨立地表示烷基或環烷基。 Rx1 ~Rx3 中的2個可以鍵結而形成環結構。In the general formula (AI), Xa 1 represents a hydrogen atom, an alkyl group, a cyano group or a halogen atom. T represents a single bond or a divalent linking group. Rx 1 to Rx 3 each independently represent an alkyl group or a cycloalkyl group. Two of Rx 1 to Rx 3 may be bonded to form a ring structure.

作為T的2價連結基,可以舉出亞烷基、-COO-Rt-基、-O-Rt-基、亞苯基等。式中,Rt表示亞烷基或環亞烷基。 T為單鍵或-COO-Rt-基為較佳。Rt為碳原子數1~5的亞烷基為較佳,-CH2 -基、-(CH22 -基、-(CH23 -基為更佳。T為單鍵為更佳。Examples of the divalent linking group of T include an alkylene group, a -COO-Rt- group, a -O-Rt- group, and a phenylene group. In the formula, Rt represents an alkylene group or a cycloalkylene group. It is preferred that T is a single bond or a -COO-Rt- group. Rt is preferably an alkylene group having 1 to 5 carbon atoms, more preferably a -CH 2 - group, a -(CH 2 ) 2 - group or a -(CH 2 ) 3 - group. T is a single bond for better.

Xa1 的烷基亦可具有取代基,作為取代基,例如可以舉出羥基、鹵素原子(氟原子為較佳)。 Xa1 的烷基係碳原子數1~4者為較佳,可以舉出甲基、乙基、丙基、羥基甲基或三氟甲基等,但甲基為較佳。 Xa1 為氫原子或甲基為較佳。The alkyl group of X a1 may have a substituent, and examples of the substituent include a hydroxyl group and a halogen atom (a fluorine atom is preferred). The alkyl group having 1 to 4 carbon atoms of X a1 is preferably a methyl group, an ethyl group, a propyl group, a hydroxymethyl group or a trifluoromethyl group, and a methyl group is preferred. It is preferred that X a1 is a hydrogen atom or a methyl group.

作為Rx1 、Rx2 以及Rx3 的烷基,可以為直鏈狀,亦可為支鏈狀,可以較佳地舉出甲基、乙基、正丙基、異丙基、正丁基、異丁基、叔丁基等。作為烷基的碳原子數,1~10為較佳,1~5為更佳。 作為Rx1 、Rx2 以及Rx3 的環烷基,環戊基、環己基等單環的環烷基、降冰片基、四環癸基、四環十二烷基、金剛烷基等多環的環烷基為較佳。The alkyl group of R x1 , R x2 and R x3 may be linear or branched, and may preferably be a methyl group, an ethyl group, a n-propyl group, an isopropyl group or an n-butyl group. Isobutyl, tert-butyl and the like. The number of carbon atoms of the alkyl group is preferably from 1 to 10, more preferably from 1 to 5. Examples of the cycloalkyl group of R x1 , R x2 and R x3 , a monocyclic cycloalkyl group such as a cyclopentyl group or a cyclohexyl group, a norbornyl group, a tetracyclodecyl group, a tetracyclododecyl group or an adamantyl group. A cycloalkyl group is preferred.

作為Rx1 、Rx2 以及Rx3 中的2個鍵結而形成之環結構,環戊環、環己環等單環的環丙烷環、降莰烷環、四環癸烷環、四環十二烷環、金剛烷環等多環的環烷基為較佳。碳原子數5或6的單環的環丙烷環為特佳。a ring structure formed by two bonds of R x1 , R x2 , and R x3 , a cyclopentane ring such as a cyclopentane ring or a cyclohexene ring, a cyclodecane ring, a tetracyclodecane ring, or a tetracyclic ring A polycyclic cycloalkyl group such as a dialkyl ring or an adamantane ring is preferred. A monocyclic cyclopropane ring having 5 or 6 carbon atoms is particularly preferred.

Rx1 、Rx2 以及Rx3 分別獨立地為烷基為較佳,碳原子數1~4的直鏈狀或支鏈狀的烷基為更佳。R x1 , R x2 and R x3 are each independently preferably an alkyl group, and more preferably a linear or branched alkyl group having 1 to 4 carbon atoms.

上述各基團亦可具有取代基,作為取代基,例如可以舉出烷基(碳原子數1~4)、環烷基(碳原子數3~8)、鹵素原子、烷氧基(碳原子數1~4)、羧基、烷氧羰基(碳原子數2~6)等,碳原子數8以下為較佳。其中,從更加提高酸分解前後的相對於含有有機溶劑之顯影液之溶解對比度之觀點來看,不具有氧原子、氮原子、硫原子等雜原子之取代基為更佳(例如,不是被羥基取代之烷基等為更佳),僅由氫原子以及碳原子構成之基團為進一步較佳,直鏈或支鏈的烷基、環烷基為特佳。Each of the above groups may have a substituent. Examples of the substituent include an alkyl group (having 1 to 4 carbon atoms), a cycloalkyl group (having 3 to 8 carbon atoms), a halogen atom, and an alkoxy group (carbon atom). The number is 1 to 4), a carboxyl group or an alkoxycarbonyl group (having 2 to 6 carbon atoms), and the number of carbon atoms is preferably 8 or less. Among them, from the viewpoint of further improving the dissolution contrast of the developer containing the organic solvent before and after the acid decomposition, the substituent having no hetero atom such as an oxygen atom, a nitrogen atom or a sulfur atom is more preferable (for example, not by a hydroxyl group). Further, a substituted alkyl group or the like is more preferable, and a group consisting only of a hydrogen atom and a carbon atom is further preferable, and a linear or branched alkyl group or a cycloalkyl group is particularly preferable.

通式(AI)中,Rx1 ~Rx3 分別獨立地為烷基,Rx1 ~Rx3 中的2個鍵結而不形成環結構為較佳。藉此,成為如下傾向:能夠抑制作為藉由酸的作用分解並脫離之基團的用-C(Rx1 )(Rx2 )(Rx3 )表示之基團的體積增大,能夠在曝光步驟以及亦可在曝光步驟後實施之曝光後加熱步驟中,抑制曝光部的體積收縮。In the general formula (AI), R x1 to R x3 are each independently an alkyl group, and it is preferred that two of R x1 to R x3 are bonded without forming a ring structure. Therefore, it is possible to suppress the increase in the volume of the group represented by -C(R x1 )(R x2 )(R x3 ) which is a group which is decomposed and desorbed by the action of an acid, and can be exposed in the exposure step. And it is also possible to suppress volume shrinkage of the exposed portion during the post-exposure heating step performed after the exposure step.

以下,舉出用通式(AI)表示之重複單元的具體例,但本發明並不限定於該些具體例。 具體例中,Rx表示氫原子、CH3 、CF3 或CH2 OH。Rxa、Rxb分別獨立地表示烷基(碳原子數1~10為較佳、碳原子數1~5的烷基為更佳)。Xa1 表示氫原子、CH3 、CF3 或CH2 OH。Z表示取代基,在存在複數個的情況下,複數個Z可以相同,亦可不同。p表示0或正的整數。Z的具體例以及較佳例與Rx1 ~Rx3 等各基團所能具有之取代基的具體例以及較佳例相同。Specific examples of the repeating unit represented by the general formula (AI) are given below, but the present invention is not limited to these specific examples. In a specific example, Rx represents a hydrogen atom, CH 3 , CF 3 or CH 2 OH. Rxa and Rxb each independently represent an alkyl group (preferably having 1 to 10 carbon atoms and more preferably an alkyl group having 1 to 5 carbon atoms). Xa 1 represents a hydrogen atom, CH 3 , CF 3 or CH 2 OH. Z represents a substituent, and in the case where a plurality of substituents exist, a plurality of Z may be the same or different. p represents 0 or a positive integer. Specific examples and preferred examples of Z are the same as the specific examples and preferred examples of the substituents which each group such as Rx 1 to Rx 3 can have.

【化學式6】 [Chemical Formula 6]

並且,樹脂(A)具有日本特開2014-202969號公報的段落<0057>~<0071>中記載的重複單元作為具有酸分解性基團之重複單元亦較佳。Further, the resin (A) is preferably a repeating unit described in paragraphs <0057> to <0071> of JP-A-2014-202969, and is preferably a repeating unit having an acid-decomposable group.

並且,樹脂(A)亦可具有日本特開2014-202969號公報的段落<0072>~<0073>中記載的產生醇羥基之重複單元作為具有酸分解性基團之重複單元。In addition, the resin (A) may have a repeating unit which generates an alcoholic hydroxyl group as described in paragraphs <0072> to <0073> of JP-A-2014-202969, as a repeating unit having an acid-decomposable group.

具有酸分解性基團之重複單元可以為1種,亦可同時使用2種以上。The repeating unit having an acid-decomposable group may be one type or two or more types may be used at the same time.

樹脂(A)所含之具有酸分解性基團之重複單元的含量(在存在複數個具有酸分解性基團之重複單元的情況下,為其合計)相對於樹脂(A)的總重複單元為15莫耳%以上為較佳,20莫耳%以上為更佳,25莫耳%以上為進一步較佳,40莫耳%以上為特佳。其中,樹脂(A)具有用上述通式(AI)表示之重複單元,並且用上述通式(AI)表示之重複單元相對於樹脂(A)的總重複單元之含量為40莫耳%以上為較佳。 並且,具有酸分解性基團之重複單元的含量相對於樹脂(A)的總重複單元為80莫耳%以下為較佳,70莫耳%以下為較佳,65莫耳%以下為更佳。The content of the repeating unit having an acid-decomposable group contained in the resin (A) (in the case where a plurality of repeating units having an acid-decomposable group are present, in total) relative to the total repeating unit of the resin (A) It is preferably 15 mol% or more, more preferably 20 mol% or more, further preferably 25 mol% or more, and more preferably 40 mol% or more. Here, the resin (A) has a repeating unit represented by the above formula (AI), and the content of the repeating unit represented by the above formula (AI) with respect to the total repeating unit of the resin (A) is 40 mol% or more. Preferably. Further, the content of the repeating unit having an acid-decomposable group is preferably 80 mol% or less based on the total repeating unit of the resin (A), preferably 70 mol% or less, more preferably 65 mol% or less. .

樹脂(A)亦可含有具有內酯結構或磺內酯結構之重複單元。The resin (A) may also contain a repeating unit having a lactone structure or a sultone structure.

作為內酯結構或磺內酯結構,只要具有內酯結構或磺內酯結構,則可以任意使用,但5~7員環內酯結構或5~7員環磺內酯結構為較佳,以於5~7員環內酯結構上形成雙環結構、螺環結構之形式縮環有其他環結構者或以於5~7員環磺內酯結構上形成雙環結構、螺環結構之形式縮環有其他環結構者為更佳。含有具有用下述通式(LC1-1)~(LC1-21)的任一個表示之內酯結構或用下述通式(SL1-1)~(SL1-3)的任一個表示之磺內酯結構之重複單元為進一步較佳。並且,內酯結構或磺內酯結構直接與主鏈鍵結。作為較佳的內酯結構為(LC1-1)、(LC1-4)、(LC1-5)、(LC1-6)、(LC1-13)、(LC1-14)、(LC1-17),特佳的內酯結構為(LC1-4)。藉由使用該種特定的內酯結構,LER(Line Edge Roughness)、顯影缺陷變得良好。The lactone structure or the sultone structure may be used arbitrarily as long as it has a lactone structure or a sultone structure, but a 5- to 7-membered ring lactone structure or a 5- to 7-membered cyclic sultone structure is preferred. Forming a bicyclic structure on a 5- to 7-membered ring lactone structure, a ring-ring structure in the form of a ring-ring structure, or a ring-ring structure in the form of a bicyclic structure or a spiro ring structure on a 5- to 7-membered ring sultone structure It is better to have other ring structures. It contains a lactone structure represented by any one of the following general formulae (LC1-1) to (LC1-21) or a sulfone represented by any one of the following general formulae (SL1-1) to (SL1-3) The repeating unit of the ester structure is further preferred. Also, the lactone structure or the sultone structure is directly bonded to the main chain. Preferred lactone structures are (LC1-1), (LC1-4), (LC1-5), (LC1-6), (LC1-13), (LC1-14), (LC1-17), A particularly preferred lactone structure is (LC1-4). By using this specific lactone structure, LER (Line Edge Roughness) and development defects become good.

【化學式7】 [Chemical Formula 7]

內酯結構部分或磺內酯結構部分可以具有取代基(Rb2 ),亦可不具有取代基(Rb2 )。作為較佳的取代基(Rb2 ),可以舉出碳原子數1~8的烷基、碳原子數4~7的環烷基、碳原子數1~8的烷氧基、碳原子數2~8的烷氧羰基、羧基、鹵素原子、羥基、氰基、酸分解性基團等。碳原子數1~4的烷基、氰基、酸分解性基團為更佳。n2 表示0~4的整數。n2 為2以上時,存在複數個之取代基(Rb2 )可以相同,亦可不同。並且,存在複數個之取代基(Rb2 )彼此亦可鍵結而形成環。The lactone moiety or the sultone moiety may have a substituent (Rb 2 ) or may have no substituent (Rb 2 ). Preferred examples of the substituent (Rb 2 ) include an alkyl group having 1 to 8 carbon atoms, a cycloalkyl group having 4 to 7 carbon atoms, an alkoxy group having 1 to 8 carbon atoms, and 2 carbon atoms. ~8 alkoxycarbonyl group, carboxyl group, halogen atom, hydroxyl group, cyano group, acid-decomposable group, and the like. More preferably, an alkyl group having 1 to 4 carbon atoms, a cyano group or an acid-decomposable group is used. n 2 represents an integer of 0 to 4. When n 2 is 2 or more, a plurality of substituents (Rb 2 ) may be the same or different. Further, a plurality of substituents (Rb 2 ) may be bonded to each other to form a ring.

具有內酯結構或磺內酯結構之重複單元通常有光學異構體,但可以使用任一光學異構體。並且,可以單獨使用1種光學異構體,亦可混合使用複數個光學異構體。在主要使用1種光學異構體的情況下,其光學純度(對映體過量(enantiomeric excess,ee))為90%以上者為較佳,95%以上為更佳。The repeating unit having a lactone structure or a sultone structure usually has an optical isomer, but any optical isomer can be used. Further, one type of optical isomer may be used alone, or a plurality of optical isomers may be used in combination. When one optical isomer is mainly used, the optical purity (enantiomeric excess (ee)) is preferably 90% or more, more preferably 95% or more.

在樹脂(A)含有具有內酯結構或磺內酯結構之重複單元的情況下,具有內酯結構或磺內酯結構之重複單元的含量相對於樹脂(A)中的總重複單元為5~60莫耳%為較佳,5~55莫耳%為更佳,10~50莫耳%為進一步較佳。In the case where the resin (A) contains a repeating unit having a lactone structure or a sultone structure, the content of the repeating unit having a lactone structure or a sultone structure is 5 to 5 with respect to the total repeating unit in the resin (A). 60 mol% is preferred, 5 to 55 mol% is more preferred, and 10 to 50 mol% is further preferred.

並且,樹脂(A)亦可含有具有環狀碳酸酯結構之重複單元。 具有環狀碳酸酯結構之重複單元為用下述通式(A-1)表示之重複單元為較佳。Further, the resin (A) may also contain a repeating unit having a cyclic carbonate structure. The repeating unit having a cyclic carbonate structure is preferably a repeating unit represented by the following formula (A-1).

【化學式8】 [Chemical Formula 8]

通式(A-1)中,RA 1 表示氫原子或烷基。 在n為2以上時,RA 2 分別獨立地表示取代基。 A表示單鍵或2價連結基。 Z表示與式中的用-O-C(=O)-O-表示之基團一同形成單環或多環結構之原子團。 n表示0以上的整數。In the formula (A-1), R A 1 represents a hydrogen atom or an alkyl group. When n is 2 or more, R A 2 each independently represents a substituent. A represents a single bond or a divalent linking group. Z represents an atomic group which forms a monocyclic or polycyclic structure together with a group represented by -OC(=O)-O- in the formula. n represents an integer of 0 or more.

樹脂(A)中可以單獨含有用通式(A-1)表示之重複單元中的1種,亦可含有2種以上。 樹脂(A)中,具有環狀碳酸酯結構之重複單元(用通式(A-1)表示之重複單元為較佳)的含有率相對於構成樹脂(A)之總重複單元為3~80莫耳%為較佳,3~60莫耳%為進一步較佳,3~30莫耳%為特佳,10~15莫耳%為最佳。藉由設為該種含有率,能夠提高作為抗蝕劑的顯影性、低缺陷性、低LWR(Line Width Roughness)、低PEB溫度依賴性、分佈等。One type of the repeating unit represented by the formula (A-1) may be contained in the resin (A), and two or more kinds thereof may be contained. In the resin (A), the content of the repeating unit having a cyclic carbonate structure (the repeating unit represented by the formula (A-1) is preferable) is 3 to 80 with respect to the total repeating unit constituting the resin (A). Molar% is preferred, 3 to 60 mol% is further preferred, 3 to 30 mol% is particularly preferred, and 10 to 15 mol% is most preferred. By setting such a content ratio, it is possible to improve developability, low defect, low LWR (Line Width Roughness), low PEB temperature dependency, distribution, and the like as a resist.

樹脂(A)亦可含有具有羥基或氰基之重複單元。作為該種重複單元,例如可以舉出日本特開2014-098921號公報的段落<0081>~<0084>中記載之重複單元。The resin (A) may also contain a repeating unit having a hydroxyl group or a cyano group. Examples of the repeating unit include the repeating unit described in paragraphs <0081> to <0084> of JP-A-2014-098921.

並且,樹脂(A)亦可含有具有鹼可溶性基之重複單元。作為鹼可溶性基,可以舉出羧基、磺醯胺基、磺醯亞胺基、雙磺醯亞胺基、α位被吸電子基取代之脂肪族醇(例如六氟異丙醇基)。作為具有鹼可溶性基之重複單元,例如可以舉出日本特開2014-098921號公報的段落<0085>~<0086>中記載之重複單元。Further, the resin (A) may also contain a repeating unit having an alkali-soluble group. Examples of the alkali-soluble group include a carboxyl group, a sulfonylamino group, a sulfonimide group, a bissulfonimide group, and an aliphatic alcohol (for example, a hexafluoroisopropanol group) in which the α-position is substituted with an electron withdrawing group. Examples of the repeating unit having an alkali-soluble group include the repeating unit described in paragraphs <0085> to <0086> of JP-A-2014-098921.

並且,樹脂(A)能夠進一步含有具有脂環烴結構且不顯示酸分解性之重複單元,該脂環烴結構不含極性基團(例如,鹼可溶性基、羥基、氰基等)。作為該種重複單元,例如可以舉出日本特開2014-106299號公報的段落<0114>~<0123>中記載之重複單元。Further, the resin (A) can further contain a repeating unit having an alicyclic hydrocarbon structure and exhibiting no acid decomposition property, and the alicyclic hydrocarbon structure does not contain a polar group (for example, an alkali-soluble group, a hydroxyl group, a cyano group or the like). Examples of such a repeating unit include the repeating unit described in paragraphs <0114> to <0123> of JP-A-2014-106299.

並且,樹脂(A)例如亦可含有日本特開2009-258586號公報的段落<0045>~<0065>中記載之重複單元。Further, the resin (A) may contain, for example, a repeating unit described in paragraphs <0045> to <0065> of JP-A-2009-258586.

為了調節耐乾式蝕刻性或標準顯影液適應性、基板密接性、抗蝕劑輪廓(Profile)以及作為抗蝕劑通常所需的特性的解析度、耐熱性、靈敏度等,除了上述重複結構單元以外,樹脂(A)還能夠具有各種重複結構單元。作為該種重複結構單元,能夠舉出相當於下述單量體之重複結構單元,但並不限定於該些。 藉此,能夠對樹脂(A)所要求之性能、尤其是(1)相對於塗佈溶劑之溶解性、(2)製膜性(玻璃転移點)、(3)鹼顯影性、(4)薄膜化(親疏水性、鹼可溶性基選擇)、(5)未曝光部對基板的密接性、(6)耐乾式蝕刻性等進行微調整。In order to adjust the dry etching resistance or the standard developer compatibility, the substrate adhesion, the resist profile, and the resolution, heat resistance, sensitivity, and the like which are generally required for the resist, in addition to the above repeating structural unit The resin (A) can also have various repeating structural units. Examples of such a repeating structural unit include repeating structural units corresponding to the following single-stranded bodies, but are not limited thereto. Thereby, the properties required for the resin (A), in particular, (1) solubility with respect to the coating solvent, (2) film forming property (glass migration point), (3) alkali developability, (4) The film formation (hydrophobicity, alkali-soluble group selection), (5) adhesion of the unexposed portion to the substrate, and (6) dry etching resistance are finely adjusted.

作為該種單量體,例如能夠舉出選自丙烯酸酯類、甲基丙烯酸酯類、丙烯醯胺類、甲基丙烯醯胺類、烯丙基化合物、乙烯基醚類、乙烯基酯類等中的具有1個加成聚合性不飽和鍵之化合物等。 除此之外,只要為能夠與相當於上述各種重複結構單元之單量體共聚合之加成聚合性的不飽和化合物,則可以共聚合。 樹脂(A)中,為了調節抗蝕劑的耐乾式蝕刻性或標準顯影液適應性、基板密接性、抗蝕劑輪廓以及作為抗蝕劑通常所需的性能的解析度、耐熱性、靈敏度等,適當地設定各重複結構單元的含有莫耳比。Examples of such a monovalent body include acrylates, methacrylates, acrylamides, methacrylamides, allyl compounds, vinyl ethers, vinyl esters, and the like. A compound having one addition polymerizable unsaturated bond or the like. In addition, as long as it is an addition polymerizable unsaturated compound which can be copolymerized with a single amount corresponding to the above various repeating structural units, it can be copolymerized. In the resin (A), in order to adjust the dry etching resistance of the resist or the standard developer compatibility, the substrate adhesion, the resist profile, and the resolution, heat resistance, sensitivity, etc. which are generally required for the resist. The molar ratio of each repeating structural unit is appropriately set.

在第一抗蝕劑組成物用於ArF曝光時,從對ArF光的透明性的觀點來看,樹脂(A)實質上不具有芳香族基為較佳。更具體而言,樹脂(A)的總重複單元中,具有芳香族基之重複單元為總體的5莫耳%以下為較佳,3莫耳%以下為更佳,理想的是0莫耳%,亦即,不含有具有芳香族基之重複單元為進一步較佳。並且,樹脂(A)具有單環或多環的脂環烴結構為較佳。When the first resist composition is used for ArF exposure, it is preferable that the resin (A) has substantially no aromatic group from the viewpoint of transparency to ArF light. More specifically, in the total repeating unit of the resin (A), the repeating unit having an aromatic group is preferably 5 mol% or less in total, more preferably 3 mol% or less, and most preferably 0 mol%. That is, it is further preferred that the repeating unit having no aromatic group is contained. Further, the resin (A) preferably has a monocyclic or polycyclic alicyclic hydrocarbon structure.

另外,從與後述疏水性樹脂(D)的相溶性的觀點來看,樹脂(A)不含有氟原子以及矽原子為較佳。Moreover, it is preferable that the resin (A) does not contain a fluorine atom and a ruthenium atom from the viewpoint of compatibility with a hydrophobic resin (D) to be described later.

作為樹脂(A),重複單元全部由(甲基)丙烯酸酯類重複單元構成者為較佳。在該情況下,能夠使用重複單元全部為丙烯酸甲酯類重複單元者、重複單元全部為丙烯酸酯類重複單元者、重複單元全部由丙烯酸甲酯類重複單元和丙烯酸酯類重複單元構成者中的任一者,但丙烯酸酯類重複單元為總重複單元的50mol%以下為較佳。As the resin (A), it is preferred that all of the repeating units are composed of (meth) acrylate repeating units. In this case, it is possible to use those in which the repeating units are all methyl acrylate-based repeating units, the repeating units are all acrylate-based repeating units, and the repeating units are all composed of a methyl acrylate-based repeating unit and an acrylate-based repeating unit. Either the acrylate-based repeating unit is preferably 50 mol% or less of the total repeating unit.

在第一抗蝕劑組成物中,樹脂(A)的組成物整體中的配合率在總固體成分中為30~99質量%為較佳,60~95質量%為更佳。 並且,本發明中,樹脂(A)亦可使用1種,亦可同時使用複數個。In the first resist composition, the compounding ratio of the entire composition of the resin (A) is preferably from 30 to 99% by mass, more preferably from 60 to 95% by mass, based on the total solid content. Further, in the present invention, the resin (A) may be used alone or in combination of plural kinds.

[2]藉由光化射線或放射線的照射而產生酸之化合物 第一抗蝕劑組成物含有藉由光化射線或放射線的照射產生酸之化合物(以下,亦稱作“酸生成劑”。)。酸生成劑無特別限定,藉由光化射線或放射線的照射產生有機酸之化合物為較佳。 作為酸生成劑,能夠適當地選擇使用光陽離子聚合的光起始劑、光自由基聚合的光起始劑、色素類的光消色劑、光變色劑或微抗蝕劑等中使用之、藉由光化射線或放射線的照射而產生酸之公知的化合物以及該些的混合物,例如可以舉出日本特開2010-61043號公報的段落<0039>~<0103>中記載之化合物、日本特開2013-4820號公報的段落<0284>~<0389>中記載之化合物等,但本發明不限定於此。 例如能夠舉出重氮鹽、磷鹽、锍鹽、錪鹽、醯亞胺磺酸鹽、肟磺酸鹽、重氮二碸、二碸、鄰硝基苄基磺酸鹽。[2] Compound which generates an acid by irradiation with actinic rays or radiation The first resist composition contains a compound which generates an acid by irradiation with actinic rays or radiation (hereinafter also referred to as "acid generator"). ). The acid generator is not particularly limited, and a compound which generates an organic acid by irradiation with actinic rays or radiation is preferred. The acid generator can be appropriately selected from photoinitiator-polymerized photoinitiators, photoradical-polymerized photoinitiators, dye-based photodecolorizers, photochromic agents, and micro-resistors. A known compound which generates an acid by irradiation with an actinic ray or a radiation, and a mixture of the above, for example, a compound described in paragraphs <0039> to <0103> of JP-A-2010-61043, The compound described in paragraphs <0284> to <0389> of the Japanese Patent Publication No. 2013-4820, but the present invention is not limited thereto. For example, a diazonium salt, a phosphorus salt, a sulfonium salt, a sulfonium salt, a quinone imide sulfonate, an oxime sulfonate, a diazodiazine, a diterpene, an o-nitrobenzyl sulfonate can be mentioned.

作為第一抗蝕劑組成物含有之酸生成劑,例如能夠適當地舉出用下述通式(3)表示之藉由光化射線或放射線的照射而產生酸之化合物(特定酸生成劑)。As the acid generator to be contained in the first resist composition, for example, a compound (specific acid generator) which generates an acid by irradiation with actinic rays or radiation, which is represented by the following general formula (3), can be suitably used. .

【化學式9】 [Chemical Formula 9]

(陰離子) 通式(3)中, Xf分別獨立地表示氟原子或被至少一個氟原子取代之烷基。 R4 以及R5 分別獨立地表示氫原子、氟原子、烷基或被至少一個氟原子取代之烷基,存在複數個時的R4 、R5 可以分別相同,亦可不同。 L表示2價連結基,存在複數個時的L可以相同,亦可不同。 W表示含有環狀結構之有機基。 o表示1~3的整數。p表示0~10的整數。q表示0~10的整數。(Anion) In the formula (3), Xf each independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom. R 4 and R 5 each independently represent a hydrogen atom, a fluorine atom, an alkyl group or an alkyl group substituted with at least one fluorine atom. When a plurality of R 4 and R 5 are present in plural, they may be the same or different. L represents a divalent linking group, and when there are plural plural, L may be the same or different. W represents an organic group having a cyclic structure. o represents an integer from 1 to 3. p represents an integer of 0 to 10. q represents an integer of 0 to 10.

Xf表示氟原子或被至少1個氟原子取代之烷基。該烷基的碳原子數為1~10為較佳,1~4為更佳。並且,被至少1個氟原子取代之烷基為全氟烷基為較佳。 Xf為氟原子或碳原子數1~4的全氟烷基為較佳。Xf為氟原子或CF3 為更佳。兩個Xf為氟原子為較佳。Xf represents a fluorine atom or an alkyl group substituted with at least one fluorine atom. The alkyl group has preferably 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms. Further, an alkyl group substituted with at least one fluorine atom is preferably a perfluoroalkyl group. Xf is preferably a fluorine atom or a perfluoroalkyl group having 1 to 4 carbon atoms. It is more preferable that Xf is a fluorine atom or CF 3 . It is preferred that two Xf are fluorine atoms.

R4 以及R5 分別獨立地表示氫原子、氟原子、烷基或被至少一個氟原子取代之烷基,存在複數個時的R4 、R5 可以分別相同,亦可不同。 作為R4 以及R5 的烷基可以具有取代基,碳原子數1~4者為較佳。R4 以及R5 為氫原子為較佳。 被至少一個氟原子取代之烷基的具體例以及最佳態樣與通式(3)中的Xf的具體例以及最佳態樣相同。R 4 and R 5 each independently represent a hydrogen atom, a fluorine atom, an alkyl group or an alkyl group substituted with at least one fluorine atom. When a plurality of R 4 and R 5 are present in plural, they may be the same or different. The alkyl group as R 4 and R 5 may have a substituent, and those having 1 to 4 carbon atoms are preferred. It is preferred that R 4 and R 5 are a hydrogen atom. Specific examples and preferred embodiments of the alkyl group substituted with at least one fluorine atom are the same as the specific examples and the preferred embodiment of Xf in the formula (3).

L表示2價連結基,存在複數個時的L可以相同,亦可不同。 作為2價連結基,例如可以舉出-COO-(-C(=O)-O-)、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-S-、-SO-、-SO2 -、亞烷基(碳原子數1~6為較佳)、環亞烷基(碳原子數3~10為較佳)、亞烯基(碳原子數2~6為較佳)或組合複數個該些之2價連結基等。該些中,-COO-、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-SO2 -、-COO-亞烷基-、-OCO-亞烷基-、-CONH-亞烷基-或-NHCO-亞烷基-為較佳,-COO-、-OCO-、-CONH-、-SO2 -、-COO-亞烷基-或-OCO-亞烷基-為更佳。L represents a divalent linking group, and when there are plural plural, L may be the same or different. Examples of the divalent linking group include -COO-(-C(=O)-O-), -OCO-, -CONH-, -NHCO-, -CO-, -O-, -S-, - SO-, -SO 2 -, alkylene (preferably having 1 to 6 carbon atoms), cycloalkylene (preferably having 3 to 10 carbon atoms), and alkenylene (having 2 to 6 carbon atoms) Preferably, a plurality of the two-valent linking groups or the like are combined. Among these, -COO-, -OCO-, -CONH-, -NHCO-, -CO-, -O-, -SO 2 -, -COO-alkylene-, -OCO-alkylene-, - CONH-alkylene- or -NHCO-alkylene- is preferred, -COO-, -OCO-, -CONH-, -SO 2 -, -COO-alkylene- or -OCO-alkylene- For better.

W表示含有環狀結構之有機基。其中,環狀有機基為較佳。 作為環狀有機基,例如可以舉出脂環基、芳基以及雜環基。 脂環基可以為單環式,亦可為多環式。作為單環式脂環基,例如可以舉出環戊基、環己基以及環辛基等單環的環烷基。作為多環式脂環基,例如可以舉出降冰片基、三環癸基、四環癸基、四環十二烷基以及金剛烷基等多環的環烷基。其中,從抑制PEB(曝光後加熱)步驟中的膜中擴散性以及提高MEEF(Mask Error Enhancement Factor)的觀點來看,降冰片基、三環癸基、四環癸基、四環十二烷基以及金剛烷基等具有碳原子數7以上的體積大的結構之脂環基為較佳。W represents an organic group having a cyclic structure. Among them, a cyclic organic group is preferred. Examples of the cyclic organic group include an alicyclic group, an aryl group, and a heterocyclic group. The alicyclic group may be a single ring type or a polycyclic type. Examples of the monocyclic alicyclic group include a monocyclic cycloalkyl group such as a cyclopentyl group, a cyclohexyl group, and a cyclooctyl group. Examples of the polycyclic alicyclic group include polycyclic cycloalkyl groups such as a norbornyl group, a tricyclic fluorenyl group, a tetracyclodecyl group, a tetracyclododecyl group, and an adamantyl group. Among them, from the viewpoint of suppressing the diffusibility in the film in the PEB (post-exposure heating) step and improving the MEEF (Mask Error Enhancement Factor), norbornyl, tricyclodecyl, tetracyclononyl, tetracyclododecane An alicyclic group having a structure having a large volume of 7 or more carbon atoms such as an adamantyl group or the like is preferred.

芳基可以為單環式,亦可為多環式。作為該芳基,例如可以舉出苯基、萘基、菲基以及蒽基。其中,193nm中的光吸光度比較低之萘基為較佳。 雜環基可以為單環式,亦可為多環式,但多環式更能抑制酸的擴散。並且,雜環基可以具有芳香族性,亦可不具有芳香族性。作為具有芳香族性之雜環,例如可以舉出呋喃環、噻吩環、苯并呋喃環、苯并噻吩環、二苯并呋喃環、二苯并噻吩環以及吡啶環。作為不具有芳香族性之雜環,例如可以舉出四氫吡喃環、內酯環、磺內酯環以及十氫異喹啉環。作為雜環基中的雜環,呋喃環、噻吩環、吡啶環或十氫異喹啉環為特佳。並且,作為內酯環以及磺內酯環的例子,可以舉出前述樹脂中例示之內酯結構以及磺內酯結構。The aryl group may be a single ring type or a polycyclic type. Examples of the aryl group include a phenyl group, a naphthyl group, a phenanthryl group, and an anthracenyl group. Among them, a naphthyl group having a relatively low light absorbance in 193 nm is preferred. The heterocyclic group may be a monocyclic ring or a polycyclic ring, but the polycyclic ring is more resistant to the diffusion of an acid. Further, the heterocyclic group may have aromaticity or may not be aromatic. Examples of the aromatic heterocyclic ring include a furan ring, a thiophene ring, a benzofuran ring, a benzothiophene ring, a dibenzofuran ring, a dibenzothiophene ring, and a pyridine ring. Examples of the heterocyclic ring having no aromaticity include a tetrahydropyran ring, a lactone ring, a sultone ring, and a decahydroisoquinoline ring. As the heterocyclic ring in the heterocyclic group, a furan ring, a thiophene ring, a pyridine ring or a decahydroisoquinoline ring is particularly preferable. Further, examples of the lactone ring and the sultone ring include the lactone structure and the sultone structure exemplified in the above resin.

上述環狀有機基亦可具有取代基。作為該取代基,例如可以舉出烷基(可以為直鏈和支鏈中的任一個,碳原子數1~12為較佳)、環烷基(可以為單環、多環、螺環中的任一個,碳原子數3~20為較佳)、芳基(碳原子數6~14為較佳)、羥基、烷氧基、酯基、醯胺基、胺基甲酸酯基、脲基、硫醚基、磺醯胺基以及磺酸酯基。另外,構成環狀有機基之碳(有助於環的形成之碳)亦可為羰基碳。The above cyclic organic group may have a substituent. The substituent may, for example, be an alkyl group (which may be either a straight chain or a branched chain, preferably having 1 to 12 carbon atoms) or a cycloalkyl group (which may be monocyclic, polycyclic or spiro). Any one, preferably having 3 to 20 carbon atoms, an aryl group (preferably having 6 to 14 carbon atoms), a hydroxyl group, an alkoxy group, an ester group, a decylamino group, a urethane group, or a urea group. a thiol group, a sulfonamide group, and a sulfonate group. Further, the carbon constituting the cyclic organic group (carbon which contributes to the formation of the ring) may also be a carbonyl carbon.

o表示1~3的整數。p表示0~10的整數。q表示0~10的整數。 在一態樣中,通式(3)中的o為1~3的整數,p為1~10的整數,q為0為較佳。Xf為氟原子為較佳,R4 以及R5 均為氫原子為較佳,W為多環式烴基為較佳。o為1或2為更佳,1為進一步較佳。p為1~3的整數為更佳,1或2為進一步較佳,1為特佳。W為多環的環烷基為更佳,金剛烷基或二金剛烷基(diamantyl)為進一步較佳。o represents an integer from 1 to 3. p represents an integer of 0 to 10. q represents an integer of 0 to 10. In one aspect, o in the formula (3) is an integer of 1 to 3, p is an integer of 1 to 10, and q is preferably 0. Xf is preferably a fluorine atom, R 4 and R 5 are each a hydrogen atom, and W is preferably a polycyclic hydrocarbon group. o is preferably 1 or 2, and 1 is further preferred. An integer of p is preferably from 1 to 3, more preferably 1 or 2, and particularly preferably 1. W is preferably a polycyclic cycloalkyl group, and adamantyl or diamantyl is further preferred.

(陽離子) 通式(3)中,X+ 表示陽離子。 X+ 只要為陽離子,則無特別限制,作為最佳態樣,例如可以舉出後述通式(ZI)、(ZII)或(ZIII)中的陽離子(除Z- 以外的部分)。(cation) In the formula (3), X + represents a cation. X + is not particularly limited as long as it is a cation, and examples of the preferred embodiment include cations (parts other than Z - ) in the following general formula (ZI), (ZII) or (ZIII).

(最佳態樣) 作為特定酸生成劑的最佳態樣,例如可以舉出用下述通式(ZI)、(ZII)或(ZIII)表示之化合物。(Optimal Aspect) As a preferable aspect of the specific acid generator, for example, a compound represented by the following formula (ZI), (ZII) or (ZIII) can be mentioned.

【化學式10】 [Chemical Formula 10]

上述通式(ZI)中, R201 、R202 以及R203 分別獨立地表示有機基。 作為R201 、R202 以及R203 的有機基的碳原子數通常為1~30,較佳為1~20。 並且,可以將R201 ~R203 中的2個鍵結而形成環結構,亦可在環內含有氧原子、硫原子、酯鍵、醯胺鍵、羰基。作為R201 ~R203 中的2個鍵結而形成之基團,能夠舉出亞烷基(例如,丁烯基、戊烯基)。 Z- 表示通式(3)中的陰離子,具體而言,表示下述陰離子。In the above formula (ZI), R 201 , R 202 and R 203 each independently represent an organic group. The organic group of R 201 , R 202 and R 203 has usually 1 to 30, preferably 1 to 20 carbon atoms. Further, two of R 201 to R 203 may be bonded to each other to form a ring structure, and an oxygen atom, a sulfur atom, an ester bond, a guanamine bond or a carbonyl group may be contained in the ring. Examples of the group formed by bonding two of R 201 to R 203 include an alkylene group (for example, a butenyl group or a pentenyl group). Z - represents an anion in the formula (3), and specifically represents the following anion.

【化學式11】 [Chemical Formula 11]

作為用R201 、R202 以及R203 表示之有機基,例如能夠舉出後述化合物(ZI-1)、(ZI-2)、(ZI-3)以及(ZI-4)中對應之基團。 另外,亦可為具有複數個用通式(ZI)表示之結構之化合物。例如,亦可為具有用通式(ZI)表示之化合物的R201 ~R203 的至少1個經由單鍵或連結基與用通式(ZI)表示之另一化合物的R201 ~R203 的至少1個鍵結之結構之化合物。Examples of the organic group represented by R 201 , R 202 and R 203 include the groups corresponding to the compounds (ZI-1), (ZI-2), (ZI-3) and (ZI-4) described below. Further, it may be a compound having a plurality of structures represented by the general formula (ZI). For example, at least one of R 201 to R 203 having a compound represented by the general formula (ZI) may be a single bond or a linking group and R 201 to R 203 of another compound represented by the general formula (ZI). A compound of at least one bonded structure.

接著,對通式(ZII)、(ZIII)進行說明。 通式(ZII)、(ZIII)中,R204 ~R207 分別獨立地表示芳基、烷基或環烷基。 作為R204 ~R207 的芳基,苯基、萘基為較佳,苯基為進一步較佳。R204 ~R207 的芳基亦可為具有含有氧原子、氮原子、硫原子等之雜環結構之芳基。作為具有雜環結構之芳基的骨架,例如能夠舉出吡咯、呋喃、噻吩、吲哚、苯并呋喃、苯并噻吩等。 作為R204 ~R207 中的烷基以及環烷基,能夠較佳地舉出碳原子數1~10的直鏈或支鏈烷基(例如,甲基、乙基、丙基、丁基、戊基)、碳原子數3~10的環烷基(環戊基、環己基、降冰片基)。Next, the general formulae (ZII) and (ZIII) will be described. In the general formulae (ZII) and (ZIII), R 204 to R 207 each independently represent an aryl group, an alkyl group or a cycloalkyl group. As the aryl group of R 204 to R 207 , a phenyl group or a naphthyl group is preferred, and a phenyl group is further preferred. The aryl group of R 204 to R 207 may be an aryl group having a heterocyclic structure containing an oxygen atom, a nitrogen atom, a sulfur atom or the like. Examples of the skeleton of the aryl group having a heterocyclic structure include pyrrole, furan, thiophene, anthracene, benzofuran, and benzothiophene. The alkyl group and the cycloalkyl group in R 204 to R 207 are preferably a linear or branched alkyl group having 1 to 10 carbon atoms (for example, a methyl group, an ethyl group, a propyl group or a butyl group). Pentyl), a cycloalkyl group having 3 to 10 carbon atoms (cyclopentyl group, cyclohexyl group, norbornyl group).

R204 ~R207 的芳基、烷基、環烷基亦可具有取代基。作為R204 ~R207 的芳基、烷基、環烷基亦可具有之取代基,例如能夠舉出烷基(例如碳原子數1~15)、環烷基(例如碳原子數3~15)、芳基(例如碳原子數6~15)、烷氧基(例如碳原子數1~15)、鹵素原子、羥基、苯硫基等。 Z- 表示通式(3)中的陰離子,具體而言如上所述。The aryl group, the alkyl group or the cycloalkyl group of R 204 to R 207 may have a substituent. The aryl group, the alkyl group or the cycloalkyl group of R 204 to R 207 may have a substituent, and examples thereof include an alkyl group (for example, a carbon number of 1 to 15) and a cycloalkyl group (for example, a carbon number of 3 to 15). And an aryl group (for example, a carbon number of 6 to 15), an alkoxy group (for example, a carbon number of 1 to 15), a halogen atom, a hydroxyl group, or a phenylthio group. Z - represents an anion in the formula (3), specifically as described above.

酸生成劑(包括特定酸生成劑。以下相同。)可以為低分子化合物的形態,亦可為編入聚合物的一部分之形態。並且,亦可同時使用低分子化合物的形態和編入聚合物的一部分之形態。 在酸生成劑為低分子化合物的形態的情況下,分子量為3000以下為較佳,2000以下為更佳,1000以下為進一步較佳。 在酸生成劑為編入聚合物的一部分之形態的情況下,可以編入前述樹脂的一部分,亦可編入與樹脂不同之樹脂。 酸生成劑能夠利用公知的方法進行合成,例如能夠按照日本特開2007-161707號公報中記載的方法進行合成。 酸生成劑能夠單獨使用1種或組合2種以上來使用。 酸生成劑在組成物中的含量(存在複數種時,其合計)以組成物的總固體成分為基準,0.1~30質量%為較佳,0.5~25質量%為更佳,3~20質量%為進一步較佳,3~15質量%為特佳。 作為酸生成劑,在含有用上述通式(ZI-3)或(ZI-4)表示之化合物的情況下,組成物中所含之酸生成劑的含量(存在複數種時,其合計)以組成物的總固體成分為基準,5~35質量%為較佳,8~30質量%為更佳,9~30質量%為進一步較佳,9~25質量%為特佳。The acid generator (including a specific acid generator. The same applies hereinafter) may be in the form of a low molecular compound or a form in which a part of the polymer is incorporated. Further, the form of the low molecular compound and the form of a part of the polymer may be used at the same time. When the acid generator is in the form of a low molecular compound, the molecular weight is preferably 3,000 or less, more preferably 2,000 or less, and still more preferably 1,000 or less. When the acid generator is in the form of a part of the polymer, a part of the resin may be incorporated, or a resin different from the resin may be incorporated. The acid generator can be synthesized by a known method, and can be synthesized, for example, by the method described in JP-A-2007-161707. The acid generator can be used singly or in combination of two or more. The content of the acid generator in the composition (the total amount when a plurality of kinds are present) is preferably 0.1 to 30% by mass, more preferably 0.5 to 25% by mass, more preferably 3 to 20% by mass based on the total solid content of the composition. % is further preferred, and 3 to 15% by mass is particularly preferred. When the compound represented by the above formula (ZI-3) or (ZI-4) is contained as the acid generator, the content of the acid generator contained in the composition (when plural kinds are present, the total amount thereof) is The total solid content of the composition is preferably from 5 to 35% by mass, more preferably from 8 to 30% by mass, even more preferably from 9 to 30% by mass, even more preferably from 9 to 25% by mass.

[3]疏水性樹脂 第一抗蝕劑組成物亦可含有疏水性樹脂(以下,亦稱作“疏水性樹脂(D)”或亦僅稱作“樹脂(D)”。)。另外,疏水性樹脂(D)與樹脂(A)不同為較佳。 疏水性樹脂(D)以偏在於界面的方式設計為較佳,但是與界面活性劑不同,無需一定在分子內具有親水基,亦可不有助於均勻地混合極性以及非極性物質。 作為添加疏水性樹脂之效果,能夠舉出抗蝕劑膜表面相對於水之靜態以及動態接触角的控制、液浸液追随性的提高、脫氣的抑制等。[3] Hydrophobic Resin The first resist composition may also contain a hydrophobic resin (hereinafter also referred to as "hydrophobic resin (D)" or simply "resin (D)"). Further, the hydrophobic resin (D) is preferably different from the resin (A). The hydrophobic resin (D) is preferably designed to be biased at the interface, but unlike the surfactant, it is not necessary to have a hydrophilic group in the molecule, and it may not contribute to uniform mixing of polar and non-polar substances. Examples of the effect of adding the hydrophobic resin include control of static and dynamic contact angles of the surface of the resist film, improvement of liquid immersion liquid followability, suppression of degassing, and the like.

從向膜表層的偏在化的觀點來看,疏水性樹脂(D)具有“氟原子”、“矽原子”以及“樹脂的側鏈部分所含有之CH3 部分結構”中的任1種以上為較佳,具有2種以上為進一步較佳。 在疏水性樹脂(D)含有氟原子和/或矽原子的情況下,疏水性樹脂(D)中的上述氟原子和/或矽原子可以包含於樹脂的主鏈中,亦可包含於側鏈中。The hydrophobic resin (D) has one or more of a "fluorine atom", a "deuterium atom", and a "CH 3 partial structure contained in a side chain portion of the resin" from the viewpoint of the surface layer of the film. Preferably, it is further preferable to have two or more types. In the case where the hydrophobic resin (D) contains a fluorine atom and/or a ruthenium atom, the above-mentioned fluorine atom and/or ruthenium atom in the hydrophobic resin (D) may be contained in the main chain of the resin or may be contained in the side chain. in.

在疏水性樹脂(D)含有氟原子的情況下,作為具有氟原子之部分結構,較佳為具有氟原子之烷基、具有氟原子之環烷基或具有氟原子之芳基之樹脂。 具有氟原子之烷基(碳原子數1~10為較佳,碳原子數1~4為更佳)為至少1個氫原子被氟原子取代之直鏈或支鏈烷基,亦可進一步具有除氟原子以外的取代基。 具有氟原子之環烷基以及具有氟原子之芳基分別為1個氫原子被氟原子取代之環烷基以及具有氟原子之芳基,亦可進一步具有除氟原子以外的取代基。In the case where the hydrophobic resin (D) contains a fluorine atom, as a partial structure having a fluorine atom, a resin having an alkyl group having a fluorine atom, a cycloalkyl group having a fluorine atom or an aryl group having a fluorine atom is preferable. The alkyl group having a fluorine atom (preferably having 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms) is a linear or branched alkyl group in which at least one hydrogen atom is substituted by a fluorine atom, and may further have A substituent other than a fluorine atom. The cycloalkyl group having a fluorine atom and the aryl group having a fluorine atom are each a cycloalkyl group in which one hydrogen atom is substituted by a fluorine atom, and an aryl group having a fluorine atom, and may further have a substituent other than a fluorine atom.

作為具有氟原子之烷基、具有氟原子之環烷基以及具有氟原子之芳基,能夠較佳地舉出日本特開2014-202969號公報的段落<0287>~<0290>中記載的基團,但本發明不限定於此。The alkyl group having a fluorine atom, the cycloalkyl group having a fluorine atom, and the aryl group having a fluorine atom are preferably those described in paragraphs <0287> to <0290> of JP-A-2014-202969. Group, but the invention is not limited thereto.

疏水性樹脂(D)亦可含有矽原子。作為具有矽原子之部分結構,具有烷基甲矽烷基結構(三烷基甲矽烷基為較佳)或環狀矽氧烷結構之樹脂為較佳。 作為具有氟原子或矽原子之重複單元的例子,能夠舉出US2012/0251948A1〔0519〕中例示者。The hydrophobic resin (D) may also contain a ruthenium atom. As the partial structure having a ruthenium atom, a resin having an alkylformamidine structure (trialkylcarbenyl group is preferred) or a cyclic oxirane structure is preferred. Examples of the repeating unit having a fluorine atom or a ruthenium atom include those exemplified in US 2012/0251948 A1 [0519].

並且,如上所述,疏水性樹脂(D)在側鏈部分含有CH3 部分結構亦較佳。 在此,係疏水性樹脂(D)中的側鏈部分所具有之CH3 部分結構(以下,亦簡稱作“側鏈CH3 部分結構”。)包含乙基、丙基等所具有之CH3 部分結構者。 另一方面,由於直接與疏水性樹脂(D)的主鏈鍵結之甲基(例如,具有甲基丙烯酸結構之重複單元的α-甲基)由於主鏈的影響,對疏水性樹脂(D)的表面偏在化的幫助小,故並不包含於本發明中的CH3 部分結構中。Further, as described above, the hydrophobic resin (D) preferably has a CH 3 moiety structure in the side chain portion. Here, the hydrophobic side chain moieties based resin (D) in the portion having the structure CH 3 (hereinafter also simply referred to as "partial structure of side chain CH 3.") Comprising ethyl, propyl, etc. with the CH 3 Part of the structure. On the other hand, since a methyl group directly bonded to the main chain of the hydrophobic resin (D) (for example, an α-methyl group having a repeating unit of a methacrylic acid structure) is affected by the main chain, the hydrophobic resin (D) The surface biasing is small and is not included in the CH 3 partial structure in the present invention.

更具體而言,在疏水性樹脂(D)包含例如用下述通式(M)表示之重複單元等由具有碳-碳雙鍵之聚合性部位之單體由來之重複單元的情況,亦即在R11 ~R14 為CH3 “其本身”的情況下,該CH3 不包含於本發明中的側鏈部分所具有之CH3 部分結構。 另一方面,從C-C主鏈隔著某些原子而存在之CH3 部分結構設為相當於本發明中的CH3 部分結構者。例如,在R11 為乙基(CH2 CH3 )的情況下,設為具有“1個”本發明中的CH3 部分結構者。More specifically, the hydrophobic resin (D) includes, for example, a repeating unit represented by the following general formula (M): a repeating unit derived from a monomer having a polymerizable moiety having a carbon-carbon double bond, that is, In the case where R 11 to R 14 are CH 3 "by itself", the CH 3 does not include the CH 3 moiety structure of the side chain moiety in the present invention. On the other hand, via some of the atoms present from the CC backbone CH 3 CH 3 partial structure corresponding to the partial structure to those of the present invention. For example, in the case where R 11 is ethyl (CH 2 CH 3 ), it is assumed to have "one" CH 3 partial structure in the present invention.

【化學式12】 [Chemical Formula 12]

上述通式(M)中, R11 ~R14 分別獨立地表示側鏈部分。 作為側鏈部分的R11 ~R14 ,可以舉出氫原子、1價有機基等。 作為關於R11 ~R14 的1價有機基,可以舉出烷基、環烷基、芳基、烷氧基羰基、環烷氧基羰基、芳氧基羰基、烷胺基羰基、環烷胺基羰基、芳胺基羰基等,該些基團亦可進一步具有取代基。In the above formula (M), R 11 to R 14 each independently represent a side chain moiety. Examples of R 11 to R 14 as a side chain moiety include a hydrogen atom and a monovalent organic group. Examples of the monovalent organic group of R 11 to R 14 include an alkyl group, a cycloalkyl group, an aryl group, an alkoxycarbonyl group, a cycloalkoxycarbonyl group, an aryloxycarbonyl group, an alkylaminocarbonyl group, and a cycloalkylamine. These groups may further have a substituent, such as a carbonyl group, an arylaminocarbonyl group or the like.

疏水性樹脂(D)為含有在側鏈部分具有CH3 部分結構之重複單元之樹脂為較佳,作為該種重複單元,具有日本特開2014-202969號公報的段落<0298>~<0321>中記載的重複單元(x)為更佳。The hydrophobic resin (D) is preferably a resin containing a repeating unit having a CH 3 moiety structure in a side chain moiety, and as such a repeating unit, it has a paragraph <0298> to <0321> of JP-A-2014-202969. The repeating unit (x) described in the above is more preferable.

並且,疏水性樹脂(D)即使在含有(i)氟原子和/或矽原子的情況下,即使在(ii)在側鏈部分含有CH3 部分結構的情況下,亦可具有至少1個選自下述(x)~(z)的群組中的基團。 (x)酸基 (y)具有內酯結構之基團、酸酐基團或酸醯亞胺基 (z)藉由酸的作用分解之基團Further, the hydrophobic resin (D) may have at least one selected even when (ii) contains a CH 3 partial structure in the side chain portion even when (i) a fluorine atom and/or a ruthenium atom is contained. A group in the group of (x) to (z) below. (x) an acid group (y) having a lactone structure, an acid anhydride group or a hydrazide imine group (z) which is decomposed by the action of an acid

作為酸基(x),可以舉出酚羥基、羧酸基、氟化醇基、磺酸基、磺醯胺基、磺醯亞胺基、(烷基磺醯基)(烷羰基)亞甲基、(烷基磺醯基)(烷羰基)醯亞胺基、雙(烷羰基)亞甲基、雙(烷羰基)醯亞胺基、雙(烷基磺醯基)亞甲基、雙(烷基磺醯基)醯亞胺基、三(烷羰基)亞甲基、三(烷基磺醯基)亞甲基等。 作為較佳之酸基,可以舉出氟化醇基(六氟異丙醇為較佳)、磺酸醯亞胺基、雙(烷羰基)亞甲基。Examples of the acid group (x) include a phenolic hydroxyl group, a carboxylic acid group, a fluorinated alcohol group, a sulfonic acid group, a sulfonylamino group, a sulfonimide group, and an (alkylsulfonyl) (alkylcarbonyl) group. (Alkylsulfonyl)(alkylcarbonyl)indenylene, bis(alkylcarbonyl)methylene, bis(alkylcarbonyl)indenylene, bis(alkylsulfonyl)methylene, double (Alkylsulfonyl) fluorenylene, tris(alkylcarbonyl)methylene, tris(alkylsulfonyl)methylene, and the like. Preferred examples of the acid group include a fluorinated alcohol group (preferably hexafluoroisopropanol), a sulfonium sulfonate group, and a bis(alkylcarbonyl)methylene group.

作為具有酸基(x)之重複單元,可以舉出如源自丙烯酸、甲基丙烯酸之重複單元之類的在樹脂的主鏈上直接鍵結有酸基之重複單元,或經由連結基在樹脂的主鏈上鍵結有酸基之重複單元等,進而亦可在聚合時使用具有酸基之聚合起始劑或鏈轉移劑導入至聚合物鏈的末端,無論是哪種情況均較佳。具有酸基(x)之重複單元可含有氟原子以及矽原子中的至少任一個。 具有酸基(x)之重複單元的含量相對於疏水性樹脂(D)中的總重複單元為1~50莫耳%為較佳,3~35莫耳%為更佳,5~20莫耳%為進一步較佳。 作為具有酸基(x)之重複單元的具體例,可以舉出日本特開2014-235179號公報的段落<0447>~<0448>中記載的重複單元,但本發明不限定於此。As the repeating unit having an acid group (x), a repeating unit in which an acid group is directly bonded to a main chain of a resin such as a repeating unit derived from acrylic acid or methacrylic acid, or a resin in a resin via a linking group may be mentioned. In the main chain, a repeating unit such as an acid group is bonded, and further, a polymerization initiator or a chain transfer agent having an acid group may be introduced into the end of the polymer chain during polymerization, which is preferable in any case. The repeating unit having an acid group (x) may contain at least any one of a fluorine atom and a halogen atom. The content of the repeating unit having an acid group (x) is preferably from 1 to 50 mol%, more preferably from 3 to 35 mol%, more preferably from 5 to 20 mol%, based on the total repeating unit in the hydrophobic resin (D). % is further preferred. Specific examples of the repeating unit having an acid group (x) include the repeating unit described in paragraphs <0447> to <0448> of JP-A-2014-235179, but the present invention is not limited thereto.

作為具有內酯結構之基團、酸酐基團或酸醯亞胺基(y),具有內酯結構之基團為特佳。 含有該些基團之重複單元例如為由丙烯酸酯以及甲基丙烯酸酯構成之重複單元等該基團直接與樹脂的主鏈鍵結之重複單元。或者,該重複單元亦可為該基團經由連結基與樹脂的主鏈鍵結之重複單元。或者,該重複單元亦可在聚合時使用具有該基團之聚合起始劑或鏈轉移劑導入至樹脂的末端。 作為含有具有內酯結構之基團之重複單元,例如可以舉出與以上在樹脂(A)項中說明之具有內酯結構之重複單元相同者。As the group having a lactone structure, an acid anhydride group or an acid sulfonimide group (y), a group having a lactone structure is particularly preferable. The repeating unit containing these groups is, for example, a repeating unit composed of an acrylate and a methacrylate, and the like is a repeating unit in which the group is directly bonded to the main chain of the resin. Alternatively, the repeating unit may be a repeating unit in which the group is bonded to the main chain of the resin via a linking group. Alternatively, the repeating unit may be introduced to the end of the resin by polymerization using a polymerization initiator or a chain transfer agent having the group. The repeating unit having a group having a lactone structure may, for example, be the same as the repeating unit having a lactone structure described above in the resin (A).

含有具有內酯結構之基團、酸酐基團或酸醯亞胺基之重複單元的含量以疏水性樹脂(D)中的總重複單元為基準,1~100莫耳%為較佳,3~98莫耳%為更佳,5~95莫耳%為進一步較佳。The content of the repeating unit containing a group having a lactone structure, an acid anhydride group or an acid sulfonium imino group is preferably from 1 to 100 mol% based on the total repeating unit in the hydrophobic resin (D), and is preferably from 3 to 100 mol%. 98% Mo is more preferably, and 5 to 95 mol% is further preferred.

疏水性樹脂(D)中的具有藉由酸的作用分解之基團(z)之重複單元可以舉出與在樹脂(A)中舉出之具有酸分解性基團之重複單元相同者。具有藉由酸的作用分解之基團(z)之重複單元亦可具有氟原子以及矽原子中的至少任一個。疏水性樹脂(D)中的具有藉由酸的作用分解之基團(z)之重複單元的含量相對於樹脂(D)中的總重複單元為1~80莫耳%為較佳,10~80莫耳%為更佳,20~60莫耳%為進一步較佳。 疏水性樹脂(D)亦可進一步具有與上述重複單元不同的重複單元。The repeating unit having a group (z) decomposed by the action of an acid in the hydrophobic resin (D) may be the same as the repeating unit having an acid-decomposable group exemplified in the resin (A). The repeating unit having a group (z) decomposed by the action of an acid may have at least one of a fluorine atom and a ruthenium atom. The content of the repeating unit having a group (z) decomposed by the action of an acid in the hydrophobic resin (D) is preferably from 1 to 80 mol% based on the total repeating unit in the resin (D), and 10 to 10 More preferably, 80% by mole, and 20% to 60% by mole is further preferred. The hydrophobic resin (D) may further have a repeating unit different from the above repeating unit.

含有氟原子之重複單元在疏水性樹脂(D)所含之總重複單元中10~100莫耳%為較佳,30~100莫耳%為更佳。並且,含有矽原子之重複單元在疏水性樹脂(D)所含之總重複單元中10~100莫耳%為較佳,20~100莫耳%為更佳。The repeating unit containing a fluorine atom is preferably from 10 to 100 mol%, more preferably from 30 to 100 mol%, based on the total repeating unit contained in the hydrophobic resin (D). Further, the repeating unit containing a ruthenium atom is preferably from 10 to 100 mol%, more preferably from 20 to 100 mol%, based on the total repeating unit contained in the hydrophobic resin (D).

另一方面,尤其在疏水性樹脂(D)在側鏈部分含有CH3 部分結構的情況下,疏水性樹脂(D)實質上不含有氟原子以及矽原子之形態亦較佳。並且,疏水性樹脂(D)實質上只含有僅由選自碳原子、氧原子、氫原子、氮原子以及硫原子之原子構成之重複單元為較佳。On the other hand, in particular, when the hydrophobic resin (D) contains a CH 3 partial structure in a side chain portion, the hydrophobic resin (D) preferably has no fluorine atom or a ruthenium atom. Further, the hydrophobic resin (D) preferably contains only a repeating unit composed only of atoms selected from a carbon atom, an oxygen atom, a hydrogen atom, a nitrogen atom and a sulfur atom.

疏水性樹脂(D)的標準聚苯乙烯換算的重量平均分子量為1,000~100,000為較佳,1,000~50,000為更佳。 並且,疏水性樹脂(D)亦可使用1種,亦可同時使用複數種。 疏水性樹脂(D)的組成物中的含量相對於第一抗蝕劑組成物中的總固體成分為0.01~10質量%為較佳,0.05~8質量%為更佳。The standard polystyrene-equivalent weight average molecular weight of the hydrophobic resin (D) is preferably from 1,000 to 100,000, more preferably from 1,000 to 50,000. Further, the hydrophobic resin (D) may be used alone or in combination of plural kinds. The content of the composition of the hydrophobic resin (D) is preferably 0.01 to 10% by mass based on the total solid content of the first resist composition, and more preferably 0.05 to 8% by mass.

疏水性樹脂(D)的殘留單量體或寡聚物成分為0.01~5質量%為較佳,0.01~3質量%為更佳。並且,分子量分佈(亦稱作“Mw/Mn”、“分散度”。)為1~5的範圍為較佳,1~3的範圍為更佳。The residual monomer or oligomer component of the hydrophobic resin (D) is preferably from 0.01 to 5% by mass, more preferably from 0.01 to 3% by mass. Further, the molecular weight distribution (also referred to as "Mw/Mn" or "dispersion degree") is preferably in the range of 1 to 5, and more preferably in the range of 1 to 3.

疏水性樹脂(D)還能夠利用各種市售品,能夠按照常規方法(例如自由基聚合)進行合成。The hydrophobic resin (D) can also be synthesized by various methods (for example, radical polymerization) by using various commercially available products.

[4]酸擴散控制劑 第一抗蝕劑組成物含有酸擴散控制劑為較佳。酸擴散控制劑係,作為捕獲曝光時從酸生成劑等產生之酸,抑制因多餘的發生酸產生之未曝光部中的酸分解性樹脂的反應之猝滅劑發揮作用者。作為酸擴散控制劑,能夠使用鹼性化合物、具有氮原子且具有藉由酸的作用脫離之基團之低分子化合物、藉由光化射線或放射線的照射而鹼性降低或消失之鹼性化合物或相對於酸生成劑相對弱酸之鎓鹽。[4] Acid Diffusion Control Agent The first resist composition preferably contains an acid diffusion controlling agent. The acid diffusion control agent functions as a quencher that suppresses the reaction of the acid-decomposable resin in the unexposed portion due to excessive acid generation, as an acid generated from an acid generator or the like during the capture exposure. As the acid diffusion controlling agent, a basic compound, a low molecular compound having a nitrogen atom and having a group desorbed by an action of an acid, and a basic compound which is reduced or eliminated by irradiation by actinic rays or radiation can be used. Or a relatively weak acid bismuth salt relative to the acid generator.

作為鹼性化合物,能夠較佳地舉出具有用下述式(A)~(E)表示之結構之化合物。As the basic compound, a compound having a structure represented by the following formulas (A) to (E) can be preferably used.

【化學式13】 [Chemical Formula 13]

通式(A)以及(E)中, R200 、R201 以及R202 可以相同,亦可不同,表示氫原子、烷基(碳原子數1~20為較佳)、環烷基(碳原子數3~20為較佳)或芳基(碳原子數6~20),在此,R201 和R202 亦可相互鍵結而形成環。 R203 、R204 、R205 以及R206 可以相同,亦可不同,表示碳原子數1~20個的烷基。In the general formulae (A) and (E), R 200 , R 201 and R 202 may be the same or different, and represent a hydrogen atom, an alkyl group (preferably having 1 to 20 carbon atoms), and a cycloalkyl group (carbon atom). The number 3 to 20 is preferably a) or an aryl group (having 6 to 20 carbon atoms), and R 201 and R 202 may be bonded to each other to form a ring. R 203 , R 204 , R 205 and R 206 may be the same or different and each represent an alkyl group having 1 to 20 carbon atoms.

關於上述烷基,作為具有取代基之烷基,碳原子數1~20的胺基烷基、碳原子數1~20的羥基烷基或碳原子數1~20的氰基烷基為較佳。 該些通式(A)以及(E)中的烷基為無取代為更佳。The alkyl group is preferably an alkyl group having a substituent, an aminoalkyl group having 1 to 20 carbon atoms, a hydroxyalkyl group having 1 to 20 carbon atoms or a cyanoalkyl group having 1 to 20 carbon atoms. . It is more preferred that the alkyl groups in the general formulae (A) and (E) are unsubstituted.

作為較佳之化合物,能夠舉出胍、胺基吡咯烷、吡唑、吡唑啉、哌嗪、胺基嗎啉、胺基烷基嗎啉、哌啶等,作為進一步較佳之化合物,能夠舉出具有咪唑結構、二氮雜雙環結構、鎓羥基結構、鎓羧酸鹽結構、三烷基胺結構、苯胺結構或吡啶結構之化合物、具有羥基和/或醚鍵之烷基胺衍生物、具有羥基和/或醚鍵之苯胺衍生物等。 作為較佳之化合物的具體例,能夠舉出US2012/0219913A1 <0379>中例示之化合物。 作為較佳之鹼性化合物,能夠進一步舉出具有苯氧基之胺化合物、具有苯氧基之銨鹽化合物、具有磺酸酯基之胺化合物以及具有磺酸酯基之銨鹽化合物。 該些鹼性化合物可以單獨使用1種,亦可組合2種以上來使用。Preferred examples of the compound include hydrazine, aminopyrrolidine, pyrazole, pyrazoline, piperazine, aminomorpholine, aminoalkylmorpholine, and piperidine. Further preferred compounds include exemplified a compound having an imidazole structure, a diazabicyclo structure, a hydrazine hydroxy structure, a hydrazine carboxylate structure, a trialkylamine structure, an aniline structure or a pyridine structure, an alkylamine derivative having a hydroxyl group and/or an ether bond, having a hydroxyl group And/or an aniline derivative of an ether bond, and the like. Specific examples of preferred compounds include the compounds exemplified in US 2012/0219913 A1 <0379>. Further, as a preferred basic compound, an amine compound having a phenoxy group, an ammonium salt compound having a phenoxy group, an amine compound having a sulfonate group, and an ammonium salt compound having a sulfonate group can be further exemplified. These basic compounds may be used alone or in combination of two or more.

第一抗蝕劑組成物可以含有鹼性化合物,亦可不含有鹼性化合物,但在含有鹼性化合物的情況下,鹼性化合物的含有率以組成物的固體成分為基準,通常為0.001~10質量%,較佳為0.01~5質量%。 酸生成劑與鹼性化合物在組成物中的使用比例為酸生成劑/鹼性化合物(莫耳比)=2.5~300為較佳,5.0~200為更佳,7.0~150為進一步較佳。The first resist composition may contain a basic compound or may not contain a basic compound. However, when a basic compound is contained, the content of the basic compound is usually 0.001 to 10 based on the solid content of the composition. The mass% is preferably 0.01 to 5% by mass. The ratio of the acid generator to the basic compound to be used in the composition is preferably an acid generator/basic compound (mole ratio) = 2.5 to 300, more preferably 5.0 to 200, still more preferably 7.0 to 150.

具有氮原子且具有藉由酸的作用脫離之基團之低分子化合物(以下,亦稱作“化合物(C)”。)為在氮原子上具有藉由酸的作用脫離之基團之胺衍生物為較佳。 作為藉由酸的作用脫離之基團,乙縮醛基、碳酸鹽基、胺基甲酸酯基、叔酯基、叔羥基、半胺縮醛醚基為較佳,胺基甲酸酯基、半胺縮醛醚基為特佳。 化合物(C)的分子量為100~1000為較佳,100~700為更佳,100~500為特佳。 化合物(C)亦可在氮原子上具有含有保護基之胺基甲酸酯基。作為構成胺基甲酸酯基之保護基,能夠用下述通式(d-1)表示。A low molecular compound having a nitrogen atom and having a group desorbed by the action of an acid (hereinafter, also referred to as "compound (C)") is an amine derivative having a group desorbed by an action of an acid on a nitrogen atom. The object is preferred. As the group desorbed by the action of an acid, an acetal group, a carbonate group, a urethane group, a tertiary ester group, a tertiary hydroxyl group, a half amine acetal group is preferred, and a urethane group is preferred. The semiamine acetal ether group is particularly preferred. The compound (C) preferably has a molecular weight of from 100 to 1,000, more preferably from 100 to 700, and particularly preferably from 100 to 500. The compound (C) may also have a urethane group having a protective group on a nitrogen atom. The protective group constituting the urethane group can be represented by the following formula (d-1).

【化學式14】 [Chemical Formula 14]

通式(d-1)中, Rb 分別獨立地表示氫原子、烷基(碳原子數1~10為較佳)、環烷基(碳原子數3~30為較佳)、芳基(碳原子數3~30為較佳)、芳烷基(碳原子數1~10為較佳)或烷氧基烷基(碳原子數1~10為較佳)。Rb 亦可相互連結而形成環。 Rb 所表示之烷基、環烷基、芳基、芳烷基亦可被羥基、氰基、胺基、吡咯烷基、哌啶基、嗎啉基、氧代基等官能基、烷氧基、鹵素原子所取代。關於Rb 所表示之烷氧基烷基亦相同。In the formula (d-1), R b each independently represents a hydrogen atom, an alkyl group (preferably having 1 to 10 carbon atoms), a cycloalkyl group (preferably having 3 to 30 carbon atoms), and an aryl group ( The number of carbon atoms is preferably from 3 to 30, the aralkyl group (preferably having 1 to 10 carbon atoms) or the alkoxyalkyl group (preferably having 1 to 10 carbon atoms). R b may also be bonded to each other to form a ring. The alkyl group, cycloalkyl group, aryl group or aralkyl group represented by R b may be a functional group such as a hydroxyl group, a cyano group, an amine group, a pyrrolidinyl group, a piperidinyl group, a morpholinyl group or an oxo group, or an alkoxy group. Substituted by a halogen atom. The alkoxyalkyl group represented by R b is also the same.

作為Rb ,直鏈狀或支鏈狀的烷基、環烷基、芳基為較佳。直鏈狀或支鏈狀的烷基、環烷基為更佳。 作為2個Rb 相互連結而形成之環,可以舉出脂環式烴基、芳香族烴基、雜環式烴基或其衍生物等。 作為用通式(d-1)表示之基團的具體結構,能夠舉出US2012/0135348 A1 <0466>中公開之結構,但不限定於此。As R b , a linear or branched alkyl group, a cycloalkyl group or an aryl group is preferred. A linear or branched alkyl group or a cycloalkyl group is more preferred. Examples of the ring in which two R b are bonded to each other include an alicyclic hydrocarbon group, an aromatic hydrocarbon group, a heterocyclic hydrocarbon group or a derivative thereof. The specific structure of the group represented by the formula (d-1) is exemplified by the structure disclosed in US 2012/0135348 A1 <0466>, but is not limited thereto.

化合物(C)係具有用下述通式(6)表示之結構者為特佳。It is particularly preferable that the compound (C) has a structure represented by the following formula (6).

【化學式15】 [Chemical Formula 15]

通式(6)中,Ra 表示氫原子、烷基、環烷基、芳基或芳烷基。l為2時,2個Ra 可以相同,亦可不同,2個Ra 亦可相互連結而與式中的氮原子一同形成雜環。該雜環中亦可含有除了式中的氮原子以外的雜原子。 Rb 與上述通式(d-1)中的Rb 的含義相同,較佳例亦相同。 l表示0~2的整數,m表示1~3的整數,滿足l+m=3。 通式(6)中,作為Ra 的烷基、環烷基、芳基、芳烷基亦可被與以上作為可以取代作為Rb 的烷基、環烷基、芳基、芳烷基之基團進行說明之基團相同之基團所取代。In the formula (6), R a represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group or an aralkyl group. When l is 2, two R a may be the same or different, and two R a may be bonded to each other to form a heterocyclic ring together with a nitrogen atom in the formula. The heterocyclic ring may also contain a hetero atom other than the nitrogen atom in the formula. The same as R b in the general formula (d-1) in the meaning of R b, preferred embodiments are also the same. l represents an integer of 0 to 2, and m represents an integer of 1 to 3, and satisfies l+m=3. In the formula (6), an alkyl group, a cycloalkyl group, an aryl group or an aralkyl group as R a may be the same as the above-mentioned alkyl group, cycloalkyl group, aryl group or aralkyl group which may be substituted as R b . The group is replaced by the same group as the group described.

作為上述Ra 的烷基、環烷基、芳基以及芳烷基(該些烷基、環烷基、芳基以及芳烷基亦可被上述基團取代)的具體例,可以舉出與通式(d-1)中的Rb 的具體例相同之基團。 作為本發明中的特佳之化合物(C)的具體例,能夠舉出US2012/0135348 A1 <0475>中公開之化合物,但不限定於此。Specific examples of the alkyl group, the cycloalkyl group, the aryl group and the aralkyl group of the above R a (the alkyl group, the cycloalkyl group, the aryl group and the aralkyl group may be substituted by the above group) may be exemplified. The group of the specific example of R b in the formula (d-1) is the same. Specific examples of the compound (C) which is particularly preferred in the present invention include the compounds disclosed in US 2012/0135348 A1 <0475>, but are not limited thereto.

用通式(6)表示之化合物能夠依據日本特開2007-298569號公報、日本特開2009-199021號公報等進行合成。 本發明中,具有氮原子且具有藉由酸的作用脫離之基團之低分子化合物(C)能夠單獨使用一種,或者混合2種以上來使用。 第一抗蝕劑組成物中的化合物(C)的含量以組成物的總固體成分為基準,0.001~20質量%為較佳,0.001~10質量%為更佳,0.01~5質量%為進一步較佳。The compound represented by the formula (6) can be synthesized in accordance with JP-A-2007-298569, JP-A-2009-199021, and the like. In the present invention, the low molecular compound (C) having a nitrogen atom and having a group desorbed by the action of an acid can be used singly or in combination of two or more. The content of the compound (C) in the first resist composition is preferably 0.001 to 20% by mass based on the total solid content of the composition, more preferably 0.001 to 10% by mass, still more preferably 0.01 to 5% by mass. Preferably.

第一抗蝕劑組成物中,能夠將相對於酸生成劑相對弱酸之鎓鹽用作酸擴散控制劑。 在將酸生成劑和產生相對於由酸生成劑產生之酸相對弱酸之酸的鎓鹽混合使用的情況下,若藉由光化射線性或放射線的照射而由酸生成劑產生之酸與具有未反應的弱酸陰離子之鎓鹽發生碰撞,則藉由塩交換放出弱酸,產生具有強酸陰離子之鎓鹽。在該過程中,強酸更換為催化性能更低之弱酸,故在外觀上酸失活而能夠控制酸擴散。In the first resist composition, a phosphonium salt having a relatively weak acid relative to the acid generator can be used as the acid diffusion controlling agent. In the case where an acid generator is used in combination with a phosphonium salt which produces an acid which is relatively weakly acidic with respect to an acid produced by an acid generator, an acid produced by an acid generator by irradiation with actinic radiation or radiation has When the unreacted weak acid anion ruthenium salt collides, a weak acid is released by hydrazine exchange to produce a sulfonium salt having a strong acid anion. In this process, the strong acid is replaced with a weak acid having a lower catalytic performance, so that the acid is deactivated in appearance and the acid diffusion can be controlled.

作為相對於酸生成劑相對弱酸之鎓鹽,用下述通式(d1-1)~(d1-3)表示之化合物為較佳。As the onium salt which is relatively weak to the acid generator, a compound represented by the following formulas (d1-1) to (d1-3) is preferred.

【化學式16】 [Chemical Formula 16]

式中,R51 為亦可具有取代基之烴基,Z2c 為亦可具有取代基之碳原子數1~30的烴基(其中,設成在與S相鄰之碳中氟原子不被取代者),R52 為有機基,Y3 為直鏈狀、支鏈狀或環狀的亞烷基或亞芳基,Rf為含有氟原子之烴基,M+ 分別獨立地為锍或錪陽離子。In the formula, R 51 is a hydrocarbon group which may have a substituent, and Z 2c is a hydrocarbon group having 1 to 30 carbon atoms which may have a substituent (wherein a fluorine atom is not substituted in a carbon adjacent to S) And R 52 is an organic group, Y 3 is a linear, branched or cyclic alkylene group or an arylene group, Rf is a hydrocarbon group containing a fluorine atom, and M + is independently a ruthenium or osmium cation.

作為表示為M+ 之锍陽離子或錪陽離子的較佳例,能夠舉出用通式(ZI)例示之锍陽離子以及用通式(ZII)例示之錪陽離子。Preferable examples of the phosphonium cation or the phosphonium cation represented by M + include an anthracene cation exemplified by the formula (ZI) and a phosphonium cation exemplified by the formula (ZII).

作為用通式(d1-1)表示之化合物的陰離子部的較佳例,能夠舉出日本特開2012-242799號公報的段落〔0198〕中例示之結構。 作為用通式(d1‐2)表示之化合物的陰離子部的較佳例,能夠舉出日本特開2012-242799號公報的段落〔0201〕中例示之結構。 作為用通式(d1‐3)表示之化合物的陰離子部的較佳例,能夠舉出日本特開2012-242799號公報的段落〔0209〕以及〔0210〕中例示之結構。A preferred example of the anion moiety of the compound represented by the formula (d1-1) is a structure exemplified in the paragraph [0198] of JP-A-2012-242799. A preferred example of the anion moiety of the compound represented by the formula (d1-2) is a structure exemplified in paragraph [0201] of JP-A-2012-242799. Preferred examples of the anion portion of the compound represented by the formula (d1-3) include those exemplified in paragraphs [0209] and [0210] of JP-A-2012-242799.

相對於酸生成劑相對弱酸之鎓鹽亦可為(C)在同一分子內具有陽離子部位和陰離子部位,並且該陽離子部位與陰離子部位藉由共價鍵連結之化合物(以下,亦稱作“化合物(CA)”。)。 作為化合物(CA),用下述通式(C-1)~(C-3)的任一個表示之化合物為較佳。The sulfonium salt which is relatively weakly acidic with respect to the acid generator may also be (C) a compound having a cation moiety and an anion site in the same molecule, and the cation moiety and the anion site are linked by a covalent bond (hereinafter, also referred to as "a compound" (CA)".). As the compound (CA), a compound represented by any one of the following formulae (C-1) to (C-3) is preferred.

【化學式17】 [Chemical Formula 17]

通式(C-1)~(C-3)中, R1 、R2 、R3 表示碳原子數1以上的取代基。 L1 表示連結陽離子部位與陰離子部位之2價連結基或單鍵。 -X- 表示選自-COO- 、-SO3 - 、-SO2 - 、-N- -R4 之陰離子部位。R4 表示在與相鄰之N原子的連結部位具有羰基:-C(=O)-、磺醯基:-S(=O)2 -、亞磺醯基:-S(=O)-之1價取代基。 R1 、R2 、R3 、R4 、L1 亦可相互鍵結而形成環結構。並且,亦可在(C-3)中,組合R1 ~R3 中的2個來形成N原子和雙鍵。In the general formulae (C-1) to (C-3), R 1 , R 2 and R 3 each represent a substituent having 1 or more carbon atoms. L 1 represents a divalent linking group or a single bond linking the cation moiety to the anion site. -X - represents an anion moiety selected from the group consisting of -COO - , -SO 3 - , -SO 2 - , -N - -R 4 . R 4 represents a carbonyl group at a point of attachment to an adjacent N atom: -C(=O)-, sulfonyl group: -S(=O) 2 -, sulfinyl group: -S(=O)- A monovalent substituent. R 1 , R 2 , R 3 , R 4 and L 1 may be bonded to each other to form a ring structure. Further, in (C-3), two of R 1 to R 3 may be combined to form an N atom and a double bond.

作為R1 ~R3 中的碳原子數1以上的取代基,可以舉出烷基、環烷基、芳基、烷氧基羰基、環烷氧基羰基、芳氧基羰基、烷胺基羰基、環烷胺基羰基、芳胺基羰基等。烷基、環烷基、芳基為較佳。Examples of the substituent having 1 or more carbon atoms in R 1 to R 3 include an alkyl group, a cycloalkyl group, an aryl group, an alkoxycarbonyl group, a cycloalkoxycarbonyl group, an aryloxycarbonyl group, and an alkylaminocarbonyl group. And a cycloalkylaminocarbonyl group, an arylaminocarbonyl group, or the like. Alkyl groups, cycloalkyl groups, and aryl groups are preferred.

作為2價連結基的L1 可以舉出直鏈或支鏈狀亞烷基、環亞烷基、亞芳基、羰基、醚鍵、酯鍵、醯胺鍵、胺基甲酸酯鍵、脲素鍵以及組合該些2種以上而成之基團等。L1 為亞烷基、亞芳基、醚鍵、酯鍵以及組合該些2種以上而成之基團為更佳。 作為用通式(C-1)表示之化合物的較佳例,能夠舉出日本特開2013-6827號公報的段落〔0037〕~〔0039〕以及特開2013-8020號公報的段落〔0027〕~〔0029〕中例示之化合物。 作為用通式(C-2)表示之化合物的較佳例,能夠舉出日本特開2012-189977號公報的段落〔0012〕~〔0013〕中例示之化合物。 作為用通式(C-3)表示之化合物的較佳例,能夠舉出日本特開2012-252124號公報的段落〔0029〕~〔0031〕中例示之化合物。The L 1 which is a divalent linking group may, for example, be a linear or branched alkylene group, a cycloalkylene group, an arylene group, a carbonyl group, an ether bond, an ester bond, a guanamine bond, a urethane bond, or a urea. A prime bond or a group obtained by combining these two or more kinds. L 1 is an alkylene group, an arylene group, an ether bond, an ester bond, and a group obtained by combining the two or more kinds thereof is more preferable. Preferred examples of the compound represented by the formula (C-1) include paragraphs [0037] to [0039] of JP-A-2013-6827 and paragraph [0027] of JP-A-2013-8020. The compound exemplified in [0029]. Preferred examples of the compound represented by the formula (C-2) include the compounds exemplified in paragraphs [0012] to [0013] of JP-A-2012-189977. Preferred examples of the compound represented by the formula (C-3) include the compounds exemplified in paragraphs [0029] to [0031] of JP-A-2012-252124.

以組成物的固體成分基準計,相對於酸生成劑相對弱酸之鎓鹽的含量為0.5~10.0質量%為較佳,0.5~8.0質量%為更佳,1.0~8.0質量%為進一步較佳。The content of the cerium salt relative to the weak acid of the acid generator is preferably 0.5 to 10.0% by mass, more preferably 0.5 to 8.0% by mass, even more preferably 1.0 to 8.0% by mass, based on the solid content of the composition.

[5]溶劑 第一抗蝕劑組成物通常含有溶劑。 作為能夠在製備組成物時使用之溶劑,例如能夠舉出亞烷基二醇單烷基醚羧酸酯、亞烷基二醇單烷基醚、乳酸烷基酯、烷氧基丙酸烷基酯、環狀內酯(碳原子數4~10為較佳)、亦可具有環之單酮化合物(碳原子數4~10為較佳)、亞烷基碳酸酯、烷氧基乙酸烷基酯、丙酮酸烷基酯等有機溶劑。 該些溶劑的具體例能夠舉出美國專利申請公開2008/0187860號說明書<0441>~<0455>中記載者。[5] Solvent The first resist composition usually contains a solvent. Examples of the solvent which can be used in the preparation of the composition include an alkylene glycol monoalkyl ether carboxylate, an alkylene glycol monoalkyl ether, an alkyl lactate, and an alkoxypropionic acid alkyl group. An ester or a cyclic lactone (preferably having 4 to 10 carbon atoms), a monoketone compound having a ring (preferably having 4 to 10 carbon atoms), an alkylene carbonate or an alkoxyacetic acid alkyl group. An organic solvent such as an ester or an alkyl pyruvate. Specific examples of such solvents include those described in the specification of the U.S. Patent Application Publication No. 2008/0187860, <0441> to <0455.

本發明中,作為有機溶劑,亦可使用將在結構中含有羥基之溶劑和在結構中不含有羥基之溶劑混合之混合溶劑。 作為含有羥基之溶劑以及不含有羥基之溶劑,能夠適當地選擇前述的例示化合物,作為含有羥基之溶劑,亞烷基二醇單烷基醚、乳酸烷基酯等為較佳,丙二醇單甲醚(PGME,別名1-甲氧基-2-丙醇)、乳酸乙酯、2-羥基異丁酸甲酯為更佳。並且,作為不含有羥基之溶劑,亞烷基二醇單烷基醚醋酸酯、烷基烷氧基丙酸酯、亦可含有環之單酮化合物、環狀內酯、乙酸烷基酯等為較佳,該些中,丙二醇單甲醚乙酸酯(PGMEA、別名1-甲氧基-2-乙醯氧基丙烷)、乙氧基丙酸乙酯、2-庚酮、γ-丁內酯、環己酮、乙酸丁酯為特佳,丙二醇單甲醚乙酸酯、乙氧基丙酸乙酯、2-庚酮為最佳。 含有羥基之溶劑與不含有羥基之溶劑的混合比(質量)為1/99~99/1,10/90~90/10為較佳,20/80~60/40為進一步較佳。就塗佈均勻性方面而言,含有50質量%以上的不含有羥基之溶劑之混合溶劑為特佳。 溶劑含有丙二醇單甲醚乙酸酯為較佳,丙二醇單甲醚乙酸酯單獨溶劑或含有丙二醇單甲醚乙酸酯之2種以上的混合溶劑為較佳。In the present invention, as the organic solvent, a mixed solvent in which a solvent having a hydroxyl group in the structure and a solvent having no hydroxyl group in the structure are mixed may be used. The solvent containing a hydroxyl group and the solvent containing no hydroxyl group can appropriately select the above-exemplified compounds, and a solvent containing a hydroxyl group, an alkylene glycol monoalkyl ether, an alkyl lactate or the like is preferable, and propylene glycol monomethyl ether is preferable. (PGME, the alias 1-methoxy-2-propanol), ethyl lactate, and methyl 2-hydroxyisobutyrate are more preferred. Further, as a solvent containing no hydroxyl group, an alkylene glycol monoalkyl ether acetate, an alkyl alkoxy propionate, a monoketone compound which may contain a ring, a cyclic lactone, an alkyl acetate or the like is Preferably, among these, propylene glycol monomethyl ether acetate (PGMEA, alias 1-methoxy-2-ethoxypropane propane), ethyl ethoxypropionate, 2-heptanone, γ-butane Ester, cyclohexanone, and butyl acetate are particularly preferred, and propylene glycol monomethyl ether acetate, ethyl ethoxy propionate, and 2-heptanone are preferred. The mixing ratio (mass) of the solvent containing a hydroxyl group and the solvent containing no hydroxyl group is 1/99 to 99/1, preferably 10/90 to 90/10, and more preferably 20/80 to 60/40. In terms of coating uniformity, a mixed solvent containing 50% by mass or more of a solvent containing no hydroxyl group is particularly preferable. The solvent preferably contains propylene glycol monomethyl ether acetate, and a mixed solvent of two or more kinds of propylene glycol monomethyl ether acetate alone solvent or propylene glycol monomethyl ether acetate is preferable.

[6]界面活性劑 第一抗蝕劑組成物可以進一步含有界面活性劑,亦可不含該界面活性劑,在含有界面活性劑的情況下,含有氟類和/或矽類界面活性劑(氟類界面活性劑、矽類界面活性劑、具有氟原子和矽原子這兩者之界面活性劑)中的任一種或2種以上為更佳。[6] Surfactant The first resist composition may further contain a surfactant or may not contain the surfactant, and in the case of a surfactant, a fluorine-containing and/or a terpene-based surfactant (fluorine) Any one or two or more kinds of a surfactant, a quinone surfactant, and a surfactant having both a fluorine atom and a ruthenium atom are more preferable.

藉由第一抗蝕劑組成物含有界面活性劑,在使用250nm以下,尤其是220nm以下的曝光光源時,能夠以良好的靈敏度以及解析度提供密接性以及顯影缺陷少之抗蝕劑圖案。 作為氟類和/或矽類界面活性劑,能夠舉出美國專利申請公開第2008/0248425號說明書的段落<0276>中記載的界面活性劑。 並且,在本發明中,還能夠使用美國專利申請公開第2008/0248425號說明書的段落<0280>中記載的除了氟類和/或矽類界面活性劑以外的其他界面活性劑。When the first resist composition contains a surfactant, when an exposure light source of 250 nm or less, particularly 220 nm or less is used, it is possible to provide a resist pattern having less adhesion and development defects with good sensitivity and resolution. The surfactant described in the paragraph <0276> of the specification of the US Patent Application Publication No. 2008/0248425 is exemplified as the fluorine-based and/or quinone-based surfactant. Further, in the present invention, other surfactants than the fluorine-based and/or quinone-based surfactants described in paragraph <0280> of the specification of US Patent Application Publication No. 2008/0248425 can also be used.

該些界面活性劑可以單獨使用,並且亦可組合若干種來使用。 在第一抗蝕劑組成物含有界面活性劑的情況下,界面活性劑的使用量相對於組成物的總固體成分0.0001~2質量%為較佳,0.0005~1質量%為更佳。 另一方面,藉由將界面活性劑的添加量相對於組成物的總量(除了溶劑)設為10ppm以下,疏水性樹脂的表面偏在性有所提高,藉此,能夠使抗蝕劑膜表面進一步具有疏水性,能夠提高液浸曝光時的水追随性。These surfactants may be used singly or in combination of several kinds. When the first resist composition contains a surfactant, the amount of the surfactant used is preferably 0.0001 to 2% by mass based on the total solid content of the composition, and more preferably 0.0005 to 1% by mass. On the other hand, when the amount of the surfactant added is 10 ppm or less based on the total amount of the composition (excluding the solvent), the surface property of the hydrophobic resin is improved, whereby the surface of the resist film can be made. Further, it has hydrophobicity and can improve water followability during immersion exposure.

[7]其他添加劑 第一抗蝕劑組成物可以含有羧酸鎓鹽,亦可不含有羧酸鎓鹽。該種羧酸鎓鹽能夠舉出美國專利申請公開2008/0187860號說明書<0605>~<0606>中記載者。 該些羧酸鎓鹽能夠藉由使锍氫氧化物、錪氫氧化物、銨氫氧化物以及羧酸在適當的溶劑中與氧化銀進行反應來合成。[7] Other Additives The first resist composition may contain a cerium carboxylate salt or may not contain a cerium carboxylate salt. The carboxylic acid cerium salt can be described in the specification of the US Patent Application Publication No. 2008/0187860, <0605> to <0606>. The cerium carboxylate salts can be synthesized by reacting cerium hydroxide, cerium hydroxide, ammonium hydroxide, and carboxylic acid with silver oxide in a suitable solvent.

在第一抗蝕劑組成物含有羧酸鎓鹽的情況下,其含量相對於組成物的總固體成分,通常為0.1~20質量%,0.5~10質量%為較佳,1~7質量%為進一步較佳。 第一抗蝕劑組成物中依據需要能夠進一步含有酸增殖劑、染料、增塑劑、光敏劑、光吸收劑、鹼可溶性樹脂、溶解阻止劑以及促進相對於顯影液之溶解性之化合物(例如,分子量1000以下的苯酚化合物、具有羧基之脂環族或脂肪族化合物)等。When the first resist composition contains a cerium carboxylate salt, the content thereof is usually 0.1 to 20% by mass, preferably 0.5 to 10% by mass, and preferably 1 to 7% by mass based on the total solid content of the composition. It is further preferred. The first resist composition can further contain an acid multiplier, a dye, a plasticizer, a photosensitizer, a light absorber, an alkali-soluble resin, a dissolution inhibitor, and a compound which promotes solubility with respect to a developer, as needed (for example) A phenol compound having a molecular weight of 1,000 or less, an alicyclic group having a carboxyl group, or an aliphatic compound).

關於該種分子量1000以下的苯酚化合物,例如能夠參閱日本特開平4-122938號、日本特開平2-28531號、美國專利第4,916,210,歐洲專利第219294等中記載的方法,由本領域技術人員輕鬆地進行合成。 作為具有羧基之脂環族或脂肪族化合物的具體例,可以舉出膽酸、脫氧膽酸、石膽酸等具有類固醇結構之羧酸衍生物、金剛烷羧酸衍生物、金剛烷二羧酸、環己烷羧酸、環己烷二羧酸等,但不限定於該些。For the phenol compound having a molecular weight of 1,000 or less, for example, the method described in JP-A No. 4-122938, JP-A No. 2-28531, U.S. Patent No. 4,916,210, European Patent No. 219,294, etc. Technicians easily synthesize. Specific examples of the alicyclic or aliphatic compound having a carboxyl group include a carboxylic acid derivative having a steroid structure such as cholic acid, deoxycholic acid or lithocholic acid, an adamantanecarboxylic acid derivative, and an adamantane dicarboxylic acid. And cyclohexanecarboxylic acid, cyclohexanedicarboxylic acid, etc., but it is not limited to these.

第一抗蝕劑組成物的固體成分濃度通常為1.0~10質量%,2.0~5.7質量%為較佳,2.0~5.3質量%為進一步較佳。藉由將固體成分濃度設為上述範圍,能夠將抗蝕劑溶液均勻地塗佈在基板上,進而能夠形成線寬粗糙度優異之抗蝕劑圖案。其理由雖不明確,但認為其原因可能在於:藉由將固體成分濃度設為10質量%以下,較佳地設為5.7質量%以下,可以抑制原材料尤其是光酸生成劑在抗蝕劑溶劑中的凝聚,其結果能夠形成均勻的抗蝕劑膜。 固體成分濃度係指,除了溶劑以外的其他抗蝕劑成分的質量相對於組成物的總質量之質量百分率。The solid content concentration of the first resist composition is usually 1.0 to 10% by mass, preferably 2.0 to 5.7 % by mass, more preferably 2.0 to 5.3 % by mass. By setting the solid content concentration to the above range, the resist solution can be uniformly applied onto the substrate, and a resist pattern having excellent line width roughness can be formed. Though the reason for this is not clear, the reason may be that the solid content concentration is preferably 10% by mass or less, preferably 5.7% by mass or less, whereby the raw material, particularly the photoacid generator, can be suppressed in the resist solvent. The aggregation in the middle results in the formation of a uniform resist film. The solid content concentration means the mass percentage of the mass of the resist component other than the solvent with respect to the total mass of the composition.

第一抗蝕劑組成物的製備方法並無特別限制,將上述各成分溶解於規定的有機溶劑,上述混合溶劑為較佳,進行過濾器過濾為較佳。用於過濾器過濾之過濾器係,孔尺寸為0.1μm以下,0.05μm以下為更佳,0.03μm以下的聚四氟乙烯製、聚乙烯製以及尼龍製者為較佳。在進行過濾器過濾時,例如如日本特開2002-62667號公報,亦可進行循環性過濾,或者串聯或並聯連接複數種過濾器來進行過濾。並且,亦可對組成物進行複數次過濾。而且,亦可在過濾器過濾的前後,對組成物進行脫氣處理等。The method for preparing the first resist composition is not particularly limited, and it is preferred to dissolve the above components in a predetermined organic solvent, and the mixed solvent is preferably filtered by a filter. The filter for filter filtration has a pore size of 0.1 μm or less, more preferably 0.05 μm or less, and a polytetrafluoroethylene, polyethylene or nylon which is preferably 0.03 μm or less. In the case of filter filtration, for example, it is also possible to carry out cyclic filtration by connecting a plurality of filters in series or in parallel, or to perform filtration, for example, in JP-A-2002-62667. Further, the composition may be subjected to plural filtration. Further, the composition may be subjected to a degassing treatment or the like before and after the filter is filtered.

第一抗蝕劑組成物有關一種藉由光化射線或放射線進行反應而性質發生變化之感光化射線性或感放射線性樹脂組成物。更詳細而言,本發明有關一種於IC等半導體製造步驟、液晶、熱感應頭等電路基板的製造、印跡用模型結構體的作製以及其他光蝕刻加工步驟、平版印刷板、酸硬化性組成物中使用之感光化射線性或感放射線性樹脂組成物。The first resist composition relates to a sensitized ray- or radiation-sensitive resin composition in which the properties are changed by reaction with actinic rays or radiation. More specifically, the present invention relates to a semiconductor manufacturing process such as an IC, a circuit substrate such as a liquid crystal or a thermal induction head, a mold structure for imprinting, and other photo-etching steps, a lithographic printing plate, and an acid-curable composition. A sensitizing ray-sensitive or radiation-sensitive resin composition used in the present invention.

<第二抗蝕劑組成物> 接著,對在本發明的圖案形成方法中使用之第二抗蝕劑組成物進行說明。 第二抗蝕劑組成物可以為負型抗蝕劑組成物,亦可為正型抗蝕劑組成物,能夠分別使用公知的抗蝕劑組成物,但根據上述理由,負型抗蝕劑組成物(更具體而言,有機溶劑顯影用的負型抗蝕劑組成物)為較佳。並且,第二抗蝕劑組成物典型地為化學放大型的抗蝕劑組成物。<Second Resist Composition> Next, a second resist composition used in the pattern forming method of the present invention will be described. The second resist composition may be a negative resist composition or a positive resist composition, and each of the known resist compositions may be used, but for the above reasons, the negative resist composition The material (more specifically, a negative resist composition for developing an organic solvent) is preferred. Also, the second resist composition is typically a chemically amplified resist composition.

如上所述,第二抗蝕劑組成物含有藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液之溶解性減少之樹脂為較佳。作為該種樹脂,能夠舉出與在第一抗蝕劑組成物中說明之藉由酸的作用而極性增大且相對於含有有機溶劑之顯影液之溶解性減少之樹脂相同者,相對於第二抗蝕劑組成物的總量之上述樹脂的含量的較佳範圍亦與在第一抗蝕劑組成物中說明者相同。 並且,第二抗蝕劑組成物能夠相同地含有第一抗蝕劑組成物所能夠含有之上述各成分,相對於第二抗蝕劑組成物的總量之各成分的含量的較佳範圍亦與在第一抗蝕劑組成物中說明者相同。As described above, the second resist composition preferably contains a resin which is increased in polarity by the action of an acid and which has a reduced solubility with respect to the developer containing the organic solvent. As such a resin, the same as the resin which is increased in polarity by the action of an acid and which has a reduced solubility with respect to the developer containing an organic solvent, which is described in the first resist composition, may be mentioned. The preferred range of the content of the above resin in the total amount of the two resist compositions is also the same as that described in the first resist composition. Further, the second resist composition can similarly contain the above-described respective components which can be contained in the first resist composition, and the preferable range of the content of each component with respect to the total amount of the second resist composition is also It is the same as that described in the first resist composition.

在本發明的有機圖案埋入用組成物以及本發明的圖案形成方法中使用之各種材料(例如,有機圖案埋入用組成物所含之溶劑、抗蝕劑溶劑、顯影液、沖洗液、有機防反射膜形成用組成物、頂塗層形成用組成物等)不含有金屬等雜質為較佳。作為該些材料所含之雜質的含量,1ppm以下為較佳,100ppt以下為更佳,10ppt以下為進一步較佳,實質上不含有(為測定裝置的檢測界限以下)為特佳。 作為從上述各種材料除去金屬等雜質之方法,例如能夠舉出使用過濾器之過濾。作為過濾器孔徑,孔尺寸10nm以下為較佳,5nm以下為更佳,3nm以下為進一步較佳。作為過濾器的材質,聚四氟乙烯製、聚乙烯製、尼龍製的過濾器為較佳。過濾器亦可使用預先用有機溶劑進行清洗者。在過濾器過濾步驟中,亦可串聯或並聯連接複數種過濾器來使用。在使用複數種過濾器的情況下,亦可組合孔徑和/或材質不同之過濾器來使用。並且,可以對各種材料進行複數次過濾,進行複數次過濾之步驟亦可為循環過濾步驟。 並且,作為降低上述各種材料所含之金屬等雜質之方法,能夠舉出選擇金屬含量少之原料作為構成各種材料之原料、對構成各種材料之原料進行過濾器過濾、在裝置內利用特氟龍(註冊商標)進行襯覆(lining)等在盡可能抑制污染物之條件下進行蒸餾等方法。對構成各種材料之原料進行之過濾器過濾中的較佳條件與上述條件相同。 除了過濾器過濾之外,可以藉由吸附材料除去雜質,亦可組合過濾器過濾與吸附材料來使用。作為吸附材料,能夠使用公知的吸附材料,例如能夠使用矽膠、沸石等無機系吸附材料、活性炭等有機系吸附材料。Various materials used in the organic pattern embedding composition of the present invention and the pattern forming method of the present invention (for example, a solvent, a resist solvent, a developing solution, a rinsing liquid, or an organic solvent contained in the organic pattern embedding composition) It is preferable that the antireflection film-forming composition, the top coat layer-forming composition, and the like do not contain an impurity such as a metal. The content of the impurities contained in these materials is preferably 1 ppm or less, more preferably 100 ppt or less, still more preferably 10 ppt or less, and substantially no content (below the detection limit of the measuring device) is particularly preferable. As a method of removing impurities such as metals from the above various materials, for example, filtration using a filter can be mentioned. The pore size of the filter is preferably 10 nm or less, more preferably 5 nm or less, and still more preferably 3 nm or less. As a material of the filter, a filter made of polytetrafluoroethylene, polyethylene, or nylon is preferable. The filter can also be used for cleaning with an organic solvent. In the filter filtration step, a plurality of filters may be connected in series or in parallel for use. In the case of using a plurality of filters, a filter having a different aperture and/or material may be combined for use. Moreover, various materials may be subjected to multiple filtrations, and the step of performing multiple filtrations may also be a cyclic filtration step. In addition, as a method of reducing impurities such as metals contained in the above-mentioned various materials, a raw material having a small metal content is selected as a raw material constituting various materials, a filter for filtering various materials is used, and Teflon is used in the apparatus. (registered trademark) A method of performing distillation such as lining under conditions that suppress contaminants as much as possible. The preferred conditions for filter filtration of the raw materials constituting the various materials are the same as those described above. In addition to the filter filtration, impurities may be removed by the adsorbent material, and the filter may be combined with the adsorbent material for use. As the adsorbent, a known adsorbent can be used. For example, an inorganic adsorbent such as tannin or zeolite, or an organic adsorbent such as activated carbon can be used.

並且,本發明亦有關一種包括上述在本發明中使用之平坦化方法或本發明的圖案形成方法之電子元件的製造方法以及藉由該製造方法製造之電子元件。 本發明的電子元件係,較佳地搭載於電氣電子機器(家電、OA(Office Automation)・傳媒相關機器、光學用機器以及通信機器等)者。 【實施例】Further, the present invention relates to a method of manufacturing an electronic component including the above-described planarization method used in the present invention or the pattern formation method of the present invention, and an electronic component manufactured by the method. The electronic component of the present invention is preferably mounted on an electric or electronic device (home appliance, OA (Office Automation), media related device, optical device, communication device, etc.). [Examples]

以下,藉由實施例,對本發明進行進一步詳細的說明,但本發明不限定於該些。Hereinafter, the present invention will be described in further detail by way of examples, but the invention is not limited thereto.

<抗蝕劑組成物的製備> 使下述表1所示之成分以固體成分濃度為6.0wt%的濃度溶解於PGMEA(丙二醇單甲醚乙酸酯)以及PGME(丙二醇單甲醚)(70wt%/30wt%),利用具有0.03μm的孔尺寸之聚乙烯過濾器對獲得之溶液進行過濾,獲得固體成分濃度為6.0wt%的抗蝕劑組成物(有機圖案埋入用組成物)。另外,表1中,樹脂(A)的結構欄中記載的數値表示各重複單元的組成比(莫耳比)。<Preparation of Resist Composition> The components shown in the following Table 1 were dissolved in PGMEA (propylene glycol monomethyl ether acetate) and PGME (propylene glycol monomethyl ether) at a concentration of 6.0 wt% solid content (70 wt%). %/30 wt%) The obtained solution was filtered with a polyethylene filter having a pore size of 0.03 μm to obtain a resist composition (organic pattern embedding composition) having a solid concentration of 6.0 wt%. Further, in Table 1, the number 値 described in the column of the structure of the resin (A) indicates the composition ratio (mol ratio) of each repeating unit.

[表1]<TABLE border="1" borderColor="#000000" width="85%"><TBODY><tr><td> 表1 </td><td> 樹脂(A) </td><td> 酸生成劑 </td><td> 鹼性化合物 </td></tr><tr><td> 結構 </td><td><img wi="129" he="78" file="IMG-2/Draw/02_image034.jpg" img-format="jpg"></img> 40/60 </td><td><img wi="157" he="82" file="IMG-2/Draw/02_image034.jpg" img-format="jpg"></img></td><td><img wi="139" he="81" file="IMG-2/Draw/02_image034.jpg" img-format="jpg"></img></td></tr><tr><td> 總固體成分中濃度 </td><td> 88.5wt% </td><td> 10.0wt% </td><td> 1.5wt% </td></tr></TBODY></TABLE>[Table 1] <TABLE border="1" borderColor="#000000" width="85%"><TBODY><tr><td> Table 1 </td><td> Resin (A) </td> <td> Acid generator</td><td> Basic compound</td></tr><tr><td> Structure</td><td><img wi="129" he="78" File="IMG-2/Draw/02_image034.jpg" img-format="jpg"></img> 40/60 </td><td><img wi="157" he="82" file=" IMG-2/Draw/02_image034.jpg" img-format="jpg"></img></td><td><img wi="139" he="81" file="IMG-2/Draw/ 02_image034.jpg" img-format="jpg"></img></td></tr><tr><td> Concentration in total solids</td><td> 88.5wt% </td>< Td> 10.0wt% </td><td> 1.5wt% </td></tr></TBODY></TABLE>

<樹脂(埋入用樹脂)的合成> 在氮氣流下,將73.9g PGME加入三口燒瓶中,加熱至80℃。在其中經6個小時滴入10.2g BMB(下述結構)、16.0g DiOH(下述結構)、11.1g PME-200(下述結構)以及使聚合起始劑V-601(Wako Pure Chemical Industries, Ltd.製造)相對於單體以4mol%溶解於137.3g PGME而得到之溶液。在80℃下,將滴入後的溶液進一步攪拌2個小時。將攪拌後的溶液冷卻之後,經20分鐘滴入到1217.8g庚烷以及521.9g乙酸乙酯的混合液中。對析出之粉體進行濾取、乾燥,藉此獲得33.7g下述A-1的樹脂(埋入用樹脂)。獲得之樹脂的重複單元的組成比(莫耳比)為30/50/20,重量平均分子量以標準聚苯乙烯換算為26000,分散度(Mw/Mn)為2.03。並且,利用相同的操作,合成了下述A-2~A-10以及B-1~B-6的樹脂(埋入用樹脂)。<Synthesis of Resin (Resin for Buried)> 73.9 g of PGME was placed in a three-necked flask under a nitrogen stream, and heated to 80 °C. 10.2 g of BMB (structure described below), 16.0 g of DiOH (structure described below), 11.1 g of PME-200 (structure described below), and a polymerization initiator V-601 (Wako Pure Chemical Industries) were added dropwise thereto over 6 hours. , manufactured by Ltd., a solution obtained by dissolving 137.3 g of PGME in 4 mol% with respect to the monomer. The solution after the dropwise addition was further stirred at 80 ° C for 2 hours. After the stirred solution was cooled, it was added dropwise to a mixture of 1217.8 g of heptane and 521.9 g of ethyl acetate over 20 minutes. The precipitated powder was collected by filtration and dried to obtain 33.7 g of the resin (embedded resin) of the following A-1. The composition ratio (molar ratio) of the repeating unit of the obtained resin was 30/50/20, the weight average molecular weight was 26,000 in terms of standard polystyrene, and the degree of dispersion (Mw/Mn) was 2.03. Further, the following resins A-2 to A-10 and B-1 to B-6 (resin for embedding) were synthesized by the same operation.

【化學式18】 [Chemical Formula 18]

<有機圖案埋入用組成物的製備(實施例1~21以及比較例1~6)> 將獲得之埋入用樹脂以表2中所示之固體成分濃度(5.0wt%或2.5wt%)溶解於下述表2中所示之溶劑中,利用具有0.03μm孔尺寸之聚乙烯過濾器對此進行過濾,製備出固體成分濃度5.0wt%或2.5wt%的有機圖案埋入用組成物。<Preparation of Organic Pattern Buried Compositions (Examples 1 to 21 and Comparative Examples 1 to 6)> The obtained resin for embedding was obtained as a solid content concentration (5.0 wt% or 2.5 wt%) shown in Table 2. This was dissolved in a solvent shown in the following Table 2, and this was filtered with a polyethylene filter having a pore size of 0.03 μm to prepare an organic pattern embedding composition having a solid concentration of 5.0% by weight or 2.5% by weight.

<評價> (埋入性) 將有機防反射膜形成用組成物ARC29A(Brewer Science, Inc.製造)塗佈於矽晶圓上,形成膜厚為86nm的有機防反射膜。將製備出的抗蝕劑組成物塗佈於有機防反射膜上,在100℃的溫度下,烘烤(Pre Bake;PB)60秒鐘,形成膜厚為200nm的抗蝕劑膜。使用ArF準分子雷射掃描儀(NA(開口數)0.75),經由間距150nm、遮光部寬度75nm的6%半色調遮罩,對獲得之抗蝕劑膜進行圖案曝光。在115℃的溫度下,將圖案曝光後的抗蝕劑膜烘烤(Post Exposure Bake;PEB)60秒鐘之後,藉由利用乙酸丁酯顯影液攪拌30秒鐘來進行顯影,獲得線部90nm、空間部60nm的線與空間圖案。接著,在200℃的溫度下,對獲得之圖案進行60秒鐘烘烤,將所製備之有機圖案埋入用組成物塗佈於該圖案上,形成平坦化膜(平坦化層)。 藉由SEM(掃描電子顯微鏡)對所形成之平坦化膜的截面進行確認,依據以下評價基準評價埋入性。 <評價基準> A(優異):未見空隙(void)。 B(稍微優異):看到空隙,観察圖像中的空隙的面積比例為5%以下。 C(稍微差):看到空隙,観察圖像中的空隙的面積比例大於5%且10%以下。 D(差):看到空隙,観察圖像中的空隙的面積比例大於10%。 將結果示於表2中。<Evaluation> (Embedded property) The organic anti-reflection film-forming composition ARC29A (manufactured by Brewer Science, Inc.) was applied onto a ruthenium wafer to form an organic anti-reflection film having a film thickness of 86 nm. The prepared resist composition was applied onto an organic anti-reflection film, and baked (Pre Bake; PB) at a temperature of 100 ° C for 60 seconds to form a resist film having a film thickness of 200 nm. The obtained resist film was subjected to pattern exposure using an ArF excimer laser scanner (NA (opening number) 0.75) through a 6% halftone mask having a pitch of 150 nm and a light-shielding width of 75 nm. The resist film after the pattern exposure was baked at a temperature of 115 ° C for 60 seconds, and then developed by stirring with a butyl acetate developing solution for 30 seconds to obtain a line portion of 90 nm. A line and space pattern of 60 nm in the space portion. Next, the obtained pattern was baked at a temperature of 200 ° C for 60 seconds, and the prepared organic pattern embedding composition was applied onto the pattern to form a planarizing film (planarizing layer). The cross section of the formed planarizing film was confirmed by SEM (scanning electron microscope), and the embedding property was evaluated based on the following evaluation criteria. <Evaluation Criteria> A (excellent): No void was observed. B (slightly excellent): When the void is observed, the ratio of the area of the void in the image is 5% or less. C (slightly poor): When the void is seen, the proportion of the area of the void in the image is more than 5% and less than 10%. D (poor): When the gap is seen, the proportion of the area of the gap in the image is greater than 10%. The results are shown in Table 2.

(平坦性) 藉由與上述埋入性評價相同的方法,在矽晶圓上形成有機防反射膜,在有機防反射膜上形成抗蝕劑膜。使用ArF準分子雷射掃描儀(NA0.75),經由間距600nm、遮光部300nm的6%半色調遮罩,對所獲得之抗蝕劑膜進行圖案曝光。在150℃的溫度下,將圖案曝光後的抗蝕劑膜烘烤60秒鐘之後,藉由利用乙酸丁酯顯影液攪拌30秒鐘來進行顯影,獲得線部300nm、空間部300nm的線與空間圖案。接著,在200℃的溫度下,將獲得之圖案烘烤60秒鐘,將所製備之有機圖案埋入用組成物塗佈於該圖案上,形成平坦化膜(平坦化層)。 利用原子力顕微鏡對形成平坦化膜之後的線部與空間部的膜厚差進行観察,計算最大膜厚與最小膜厚的差(亦即,線部與空間部的膜厚差),依據以下評價基準評價平坦性。 <評價基準> A(非常優異):線部與空間部的膜厚差小於5nm。 B(優異):線部與空間部的膜厚差為5nm以上且小於10nm。 C(稍微優異):線部與空間部的膜厚差為10nm以上且小於15nm。 D(差):線部與空間部的膜厚差為15nm以上。 將結果示於表2中。(Flatness) An organic anti-reflection film is formed on the germanium wafer by the same method as the above evaluation of embedding, and a resist film is formed on the organic anti-reflection film. The obtained resist film was subjected to pattern exposure using an ArF excimer laser scanner (NA0.75) through a 6% halftone mask having a pitch of 600 nm and a light-shielding portion of 300 nm. The resist film after the pattern exposure was baked at a temperature of 150 ° C for 60 seconds, and then developed by stirring with a butyl acetate developing solution for 30 seconds to obtain a line having a line portion of 300 nm and a space portion of 300 nm. Space pattern. Next, the obtained pattern was baked at a temperature of 200 ° C for 60 seconds, and the prepared organic pattern-embedded composition was applied onto the pattern to form a planarizing film (planarizing layer). The difference in film thickness between the line portion and the space portion after forming the planarizing film was observed by an atomic force micromirror, and the difference between the maximum film thickness and the minimum film thickness (that is, the difference in film thickness between the line portion and the space portion) was calculated, and the evaluation was based on the following evaluation. Benchmark evaluation flatness. <Evaluation Criteria> A (very excellent): The difference in film thickness between the line portion and the space portion is less than 5 nm. B (excellent): The difference in film thickness between the line portion and the space portion is 5 nm or more and less than 10 nm. C (slightly excellent): The difference in film thickness between the line portion and the space portion is 10 nm or more and less than 15 nm. D (difference): The difference in film thickness between the line portion and the space portion is 15 nm or more. The results are shown in Table 2.

(蝕刻速度) 將所製備之有機圖案埋入用組成物塗佈於矽晶圓上,在150℃下烘烤60秒鐘,藉此形成平坦化膜(平坦化層)。利用氧氣對所獲得之膜進行5秒鐘蝕刻處理,根據處理前後的膜厚變化計算蝕刻速度。將結果示於表2中。蝕刻速度越大,蝕刻性越優異。在實用方面,蝕刻速度為100Å(ångström)/sec(秒鐘)以上為較佳。(Etching Rate) The prepared organic pattern embedding composition was applied onto a tantalum wafer, and baked at 150 ° C for 60 seconds to form a planarizing film (planarizing layer). The obtained film was subjected to an etching treatment for 5 seconds with oxygen, and the etching rate was calculated from the change in film thickness before and after the treatment. The results are shown in Table 2. The greater the etching rate, the more excellent the etching property. In practical terms, an etching rate of 100 Å (Ångström) / sec or more is preferable.

<圖案的形成> 藉由與上述埋入性評價相同的方法,形成線與空間圖案(第一圖案),在該線與空間圖案上形成平坦化膜。進一步使用與在第一圖案的形成中使用之抗蝕劑組成物(第一抗蝕劑組成物)相同之抗蝕劑組成物(第二抗蝕劑組成物),藉由與第一圖案的形成相同的方法,在平坦化膜上形成抗蝕劑膜(第二抗蝕劑膜),之後,進行曝光以及顯影,形成線與空間圖案(第二圖案)。<Formation of Pattern> A line and space pattern (first pattern) is formed by the same method as the above evaluation of embedding, and a planarization film is formed on the line and space pattern. Further using the same resist composition (second resist composition) as the resist composition (first resist composition) used in the formation of the first pattern, by the first pattern In the same manner, a resist film (second resist film) is formed on the planarization film, and then exposure and development are performed to form a line and space pattern (second pattern).

[表2] [Table 2]

表2中,所使用之樹脂的結構為如下。另外,同時記載於A-1~A-10、A-13以及B-1~B-5的化學式之數値表示各重複單元的組成比(莫耳比)。並且,A-12以及A-14~A-17中記載的n表示重複單元的個數。 並且,在下述表3中示出關於A-1~A-10的各重複單元的R2 中的碳原子數以及氧原子數以及R2雜原子比。In Table 2, the structure of the resin used was as follows. Further, the number 化学 of the chemical formulas simultaneously described in A-1 to A-10, A-13, and B-1 to B-5 indicates the composition ratio (mol ratio) of each repeating unit. Further, n described in A-12 and A-14 to A-17 indicates the number of repeating units. Further, Table 3 below shows the number of carbon atoms, the number of oxygen atoms, and the R2 hetero atom ratio in R 2 of each repeating unit of A-1 to A-10.

【化學式19】 [Chemical Formula 19]

[表3] [table 3]

表2中的大西參數表示各樹脂(A-1~A-21、B-1~B-6)的大西參數。大西參數的計算方法如上所述。The large West parameter in Table 2 indicates the Great West parameter of each of the resins (A-1 to A-21, B-1 to B-6). The calculation method of the Daxi parameter is as described above.

表2中的Tg表示各樹脂(A-1~A-21、B-1~B-6)的玻璃化轉移溫度Tg。Tg的測定方法如上所述。Tg in Table 2 represents the glass transition temperature Tg of each of the resins (A-1 to A-21, B-1 to B-6). The measurement method of Tg is as described above.

表2中,所使用之溶劑的詳細內容為如下。 ・CyHx:環己酮 ・MEK:甲基乙基酮 ・MeOH:甲醇 ・PGME:丙二醇單甲醚In Table 2, the details of the solvent used are as follows.・CyHx: cyclohexanone ・MEK: methyl ethyl ketone ・MeOH: methanol ・PGME: propylene glycol monomethyl ether

由表2可知,含有大西參數大於5.0之樹脂(特定樹脂)之實施例1~21均顯示出優異之埋入性、平坦性以及蝕刻性。 根據特定樹脂具有用上述式(1-1)表示之重複單元之實施例1~10的對比,具有用上述式(1-1)表示之重複單元(R2 :用式(P)表示之基團)之實施例1~2以及4~7顯示出更加優異之埋入性以及平坦性。其中,用上述式(1-1)表示之重複單元(R2 :用式(P)表示之基團)的比例為15mol%以上之實施例1~2、4以及6~7顯示出更加優異之蝕刻性。其中,具有與用式(1-1)表示之重複單元中的R2 為用式(P)表示之基團之重複單元不同的、用式(1-1)表示之重複單元中的R2 為含有2個以上羥基之基團之重複單元之實施例1~2顯示出進一步優異之蝕刻性。 根據特定樹脂只含有用上述式(1-2)表示之重複單元(X:-O-)之實施例14~17的對比,特定樹脂的重量平均分子量為8000以下之實施例15~17顯示出更加優異之蝕刻性。其中,特定樹脂的重量平均分子量為5,000以下之實施例16~17顯示出進一步優異之蝕刻性。其中,特定樹脂的重量平均分子量為2,000以下之實施例17顯示出更加優異之埋入性、平坦性以及蝕刻性。As is clear from Table 2, Examples 1 to 21 containing a resin (specific resin) having a large West parameter of more than 5.0 exhibited excellent embedding property, flatness, and etching property. According to the comparison of Examples 1 to 10 in which the specific resin has a repeating unit represented by the above formula (1-1), the repeating unit represented by the above formula (1-1) (R 2 : a group represented by the formula (P)) Examples 1 to 2 and 4 to 7 of the group showed more excellent embedding property and flatness. In addition, Examples 1 to 2, 4, and 6 to 7 in which the ratio of the repeating unit (R 2 : group represented by the formula (P)) represented by the above formula (1-1) is 15 mol% or more shows that it is more excellent. Etchability. Wherein the sum of a repeating unit represented by the formula (1-1) R 2 is different from the repeating unit having a group represented by the formula (P), the repeating unit represented by the formula (1-1) R 2 Examples 1 and 2 which are repeating units of a group having two or more hydroxyl groups exhibited further excellent etching properties. According to the comparison of Examples 14 to 17 in which the specific resin contained only the repeating unit (X: -O-) represented by the above formula (1-2), Examples 15 to 17 in which the weight average molecular weight of the specific resin was 8,000 or less showed More excellent etchability. Among them, Examples 16 to 17 in which the weight average molecular weight of the specific resin was 5,000 or less showed further excellent etching properties. Among them, Example 17 in which the weight average molecular weight of the specific resin was 2,000 or less exhibited more excellent embedding property, flatness, and etching property.

另一方面,不含有大西參數大於5.0之樹脂之比較例1~6中,埋入性、平坦性以及蝕刻性的至少任一個不充分。On the other hand, in Comparative Examples 1 to 6 which did not contain a resin having a large West parameter of more than 5.0, at least one of embedding property, flatness, and etching property was insufficient.

51‧‧‧基板
52‧‧‧第一抗蝕劑膜
53‧‧‧完成曝光的第一抗蝕劑膜
54‧‧‧第一圖案
55‧‧‧微細化圖案
56‧‧‧第二抗蝕劑膜
57‧‧‧完成曝光的第二抗蝕劑膜
58‧‧‧第二圖案
61‧‧‧遮罩
71‧‧‧光化射線或放射線
75‧‧‧蝕刻氣體
81‧‧‧平坦化層
51‧‧‧Substrate
52‧‧‧First resist film
53‧‧‧The first resist film to be exposed
54‧‧‧ first pattern
55‧‧‧Micronized pattern
56‧‧‧Second resist film
57‧‧‧Fixed second resist film
58‧‧‧second pattern
61‧‧‧ mask
71‧‧‧Acradiation rays or radiation
75‧‧‧etching gas
81‧‧‧flattening layer

圖1之(a)~圖1之(i)係,用於說明在本發明中使用之平坦化方法以及圖案形成方法的實施形態之概略剖視圖。1(a) to 1(i) are schematic cross-sectional views for explaining an embodiment of a planarization method and a pattern forming method used in the present invention.

51‧‧‧基板 51‧‧‧Substrate

52‧‧‧第一抗蝕劑膜 52‧‧‧First resist film

53‧‧‧完成曝光的第一抗蝕劑膜 53‧‧‧The first resist film to be exposed

54‧‧‧第一圖案 54‧‧‧ first pattern

55‧‧‧微細化圖案 55‧‧‧Micronized pattern

56‧‧‧第二抗蝕劑膜 56‧‧‧Second resist film

57‧‧‧完成曝光的第二抗蝕劑膜 57‧‧‧Fixed second resist film

58‧‧‧第二圖案 58‧‧‧second pattern

61‧‧‧遮罩 61‧‧‧ mask

71‧‧‧光化射線或放射線 71‧‧‧Acradiation rays or radiation

75‧‧‧蝕刻氣體 75‧‧‧etching gas

81‧‧‧平坦化層 81‧‧‧flattening layer

Claims (9)

一種有機圖案埋入用組成物,其含有大西參數大於5.0之樹脂。An organic pattern embedding composition containing a resin having a large West parameter greater than 5.0. 如申請專利範圍第1項所述之有機圖案埋入用組成物,其中,前述樹脂為選自由聚(甲基)丙烯酸酯樹脂、聚酯樹脂以及聚醚樹脂構成之群組中的至少1種樹脂。The organic pattern embedding composition according to claim 1, wherein the resin is at least one selected from the group consisting of poly(meth)acrylate resins, polyester resins, and polyether resins. Resin. 如申請專利範圍第1項所述之有機圖案埋入用組成物,其中,前述樹脂具有用下述式(1-1)表示之重複單元, 【化學式1】式(1-1)中,R1 表示氫原子或有機基;R2 表示具有雜原子之烴基;其中,R2 中的雜原子數相對於R2 中的碳原子數之比例為0.30以上。The organic pattern embedding composition according to the first aspect of the invention, wherein the resin has a repeating unit represented by the following formula (1-1), [Chemical Formula 1] In the formula (1-1), R 1 represents a hydrogen atom or an organic group; R 2 represents a hydrocarbon group having hetero atoms; wherein the number of hetero atoms in R 2 with respect to the ratio of the number of carbon atoms in R 2 is 0.30 or more. 如申請專利範圍第3項所述之有機圖案埋入用組成物,其中,前述式(1-1)中,R2 為含有內酯結構之基團、含有碳酸酯結構之基團、含有乙縮醛結構之基團、含有羥基之基團或用下述式(P)表示之基團, 【化學式2】式(P)中,RA 表示2價烴基;RB 表示1價烴基;n表示1以上的整數;n為2以上的整數時,存在複數個之RA 可以相同,亦可不同;*表示鍵結位置。The organic pattern embedding composition according to the third aspect of the invention, wherein, in the formula (1-1), R 2 is a group containing a lactone structure, a group containing a carbonate structure, and a group B a group having an acetal structure, a group having a hydroxyl group, or a group represented by the following formula (P), [Chemical Formula 2] In the formula (P), R A represents a divalent hydrocarbon group; R B represents a monovalent hydrocarbon group; n represents an integer of 1 or more; and when n is an integer of 2 or more, a plurality of R A may be the same or different; Bonding position. 如申請專利範圍第1項所述之有機圖案埋入用組成物,其中,前述樹脂具有用下述式(1-2)表示之重複單元, 【化學式3】式(1-2)中,L表示2價烴基;X表示-O-、-S-或-CO-O-。The organic pattern embedding composition according to the first aspect of the invention, wherein the resin has a repeating unit represented by the following formula (1-2), [Chemical Formula 3] In the formula (1-2), L represents a divalent hydrocarbon group; and X represents -O-, -S- or -CO-O-. 如申請專利範圍第1~5項中任一項所述之有機圖案埋入用組成物,其中,前述樹脂不含有芳香環。The organic pattern embedding composition according to any one of claims 1 to 5, wherein the resin does not contain an aromatic ring. 一種圖案形成方法,其依次包括如下步驟: 使用第一抗蝕劑組成物,在基板上形成第一抗蝕劑膜之步驟; 對前述第一抗蝕劑膜進行曝光之步驟; 對經曝光的前述第一抗蝕劑膜進行顯影,形成第一圖案之步驟; 使用申請專利範圍第1~6項中任一項所述之有機圖案埋入用組成物,在設置有前述第一圖案之基板上形成平坦化層之步驟; 使用第二抗蝕劑組成物,在前述平坦化層上形成第二抗蝕劑膜之步驟; 對前述第二抗蝕劑膜進行曝光之步驟;以及 對經曝光的前述第二抗蝕劑膜進行顯影,形成第二圖案之步驟。A pattern forming method, comprising the steps of: forming a first resist film on a substrate using a first resist composition; exposing the first resist film; and exposing The first resist film is developed to form a first pattern, and the organic pattern embedding composition according to any one of claims 1 to 6 is used in a substrate provided with the first pattern. a step of forming a planarization layer; a step of forming a second resist film on the planarization layer using a second resist composition; a step of exposing the second resist film; and exposing The foregoing second resist film is developed to form a second pattern. 如申請專利範圍第7項所述之圖案形成方法,其中,前述第一圖案和/或前述第二圖案為藉由使用含有有機溶劑之顯影液進行顯影所形成之圖案。The pattern forming method according to claim 7, wherein the first pattern and/or the second pattern are patterns formed by development using a developing solution containing an organic solvent. 一種電子元件的製造方法,其包括申請專利範圍第7或8項所述之圖案形成方法。A method of manufacturing an electronic component, comprising the pattern forming method of claim 7 or 8.
TW105103511A 2015-02-20 2016-02-03 Composition for organic pattern embedding, pattern forming method and method for manufacturing electronic device TW201631413A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015032113 2015-02-20

Publications (1)

Publication Number Publication Date
TW201631413A true TW201631413A (en) 2016-09-01

Family

ID=56689125

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105103511A TW201631413A (en) 2015-02-20 2016-02-03 Composition for organic pattern embedding, pattern forming method and method for manufacturing electronic device

Country Status (3)

Country Link
JP (1) JP6467033B2 (en)
TW (1) TW201631413A (en)
WO (1) WO2016132803A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI721406B (en) * 2019-04-22 2021-03-11 大陸商業成科技(成都)有限公司 Photoresist and photoetching method using same
TWI742200B (en) * 2016-11-24 2021-10-11 日商信越化學工業股份有限公司 Chemically amplified positive resist film laminate and pattern forming method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4880652B2 (en) * 2007-10-12 2012-02-22 信越化学工業株式会社 Pattern formation method
JP2010039035A (en) * 2008-08-01 2010-02-18 Fujifilm Corp Surface treatment agent for forming resist pattern, resist composition, and surface treatment process for resist pattern and formation process for resist pattern by use of them
JP2010072473A (en) * 2008-09-19 2010-04-02 Fujifilm Corp Surface treatment agent for pattern formation, and pattern forming method using the same
US20100159392A1 (en) * 2008-12-22 2010-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
JP2011215315A (en) * 2010-03-31 2011-10-27 Sony Corp Method for forming multi-resist pattern, method for processing functional material layer and multi-resist pattern structure
JP2014108120A (en) * 2012-11-30 2014-06-12 Panasonic Corp Heating and blowing apparatus
JP6127989B2 (en) * 2013-02-14 2017-05-17 信越化学工業株式会社 Pattern formation method
JP5794243B2 (en) * 2013-02-18 2015-10-14 信越化学工業株式会社 Pattern formation method
JP6097652B2 (en) * 2013-07-31 2017-03-15 富士フイルム株式会社 PATTERN FORMING METHOD, PATTERN, ETCHING METHOD USING THEM, AND ELECTRONIC DEVICE MANUFACTURING METHOD
JP6459759B2 (en) * 2014-05-26 2019-01-30 信越化学工業株式会社 Pattern forming method and shrink agent
WO2016017346A1 (en) * 2014-08-01 2016-02-04 富士フイルム株式会社 Pattern formation method and production method for electronic device using same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742200B (en) * 2016-11-24 2021-10-11 日商信越化學工業股份有限公司 Chemically amplified positive resist film laminate and pattern forming method
TWI721406B (en) * 2019-04-22 2021-03-11 大陸商業成科技(成都)有限公司 Photoresist and photoetching method using same

Also Published As

Publication number Publication date
JPWO2016132803A1 (en) 2017-12-07
JP6467033B2 (en) 2019-02-06
WO2016132803A1 (en) 2016-08-25

Similar Documents

Publication Publication Date Title
JP5865725B2 (en) Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition and resist film, and method for producing electronic device using them
KR102044227B1 (en) Pattern forming method, active light sensitive or radiation sensitive resin composition, active light sensitive or radiation sensitive film, method for manufacturing electronic device, and electronic device
KR101882716B1 (en) Active light-sensitive or radiation-sensitive resin composition, active light-sensitive or radiation-sensitive film using same, pattern formation method, production method for electronic device, and electronic device
TWI528109B (en) Pattern forming method, chemical amplification resist composition and resist film
TWI587362B (en) Pattern forming method, method of manufacturing electronic device by using the same
TWI514077B (en) Method of forming pattern, resin composition, film and process for manufacturing semiconductor device
KR101914964B1 (en) Active ray-sensitive or radiation-sensitive resin composition, method for forming pattern, method for producing electronic device, and electronic device
TWI713514B (en) Pattern forming method, manufacturing method of electronic device, and sensitized radiation or radiation sensitive resin composition
WO2015080048A1 (en) Active light sensitive or radiation sensitive resin composition and pattern forming method
TW201610570A (en) Active light sensitive or radiation sensitive resin composition, pattern forming method, and method for manufacturing electronic device
WO2017110352A1 (en) Active light-sensitive or radiation-sensitive resin composition, active light-sensitive or radiation-sensitive film, pattern forming method, and electronic device production method
TWI667535B (en) Active light sensitive or radiation sensitive resin composition, pattern forming method, and method for manufacturing electronic device
TW201610574A (en) Actinic-ray-sensitive or radiation-sensitive resin composition, method for forming pattern and method for producing electronic device
WO2016190368A1 (en) Substrate processing method, resin composition, and method for producing electronic device
TW201837018A (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for producing electronic device
KR20160106687A (en) Actinic-ray-sensitive or radiation-sensitive resin composition, pattern formation method, electronic device manufacturing method, and electronic device
TW201610571A (en) Pattern forming method and electronic device manufacturing method using the same
KR101747772B1 (en) Active light sensitive or radiation sensitive resin composition, pattern forming method, method for manufacturing electronic device, and electronic device
TW201435507A (en) Actinic ray-sensitive or radiation-sensitive resin composition, resist film using the same, pattern forming method, manufacturing method of electronic device, and electronic device
TW201631413A (en) Composition for organic pattern embedding, pattern forming method and method for manufacturing electronic device
WO2016027592A1 (en) Pattern formation method, and method for manufacturing electronic device employing same
KR101820759B1 (en) Active light sensitive or radiation sensitive resin composition, active light sensitive or radiation sensitive film, pattern forming method, method for manufacturing electronic device, and electronic device
TW201443570A (en) Pattern formation method, actinic ray-sensitive or radiation-sensitive resin composition used in same, electronic device using same, and production method thereof
KR101842887B1 (en) Actinic-ray-sensitive or radiation-sensitive resin composition, pattern formation method, electronic device manufacturing method, and electronic device
JPWO2018037763A1 (en) Actinic light sensitive or radiation sensitive resin composition, active light sensitive or radiation sensitive film, pattern forming method, method of manufacturing electronic device, compound, and resin