TW201631122A - Etching solution, etching method and preparation method for semiconductor substrate manufacture - Google Patents

Etching solution, etching method and preparation method for semiconductor substrate manufacture Download PDF

Info

Publication number
TW201631122A
TW201631122A TW105102767A TW105102767A TW201631122A TW 201631122 A TW201631122 A TW 201631122A TW 105102767 A TW105102767 A TW 105102767A TW 105102767 A TW105102767 A TW 105102767A TW 201631122 A TW201631122 A TW 201631122A
Authority
TW
Taiwan
Prior art keywords
etching
layer
mass
less
etching solution
Prior art date
Application number
TW105102767A
Other languages
Chinese (zh)
Other versions
TWI725010B (en
Inventor
Satomi Takahashi
Atsushi Mizutani
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of TW201631122A publication Critical patent/TW201631122A/en
Application granted granted Critical
Publication of TWI725010B publication Critical patent/TWI725010B/en

Links

Landscapes

  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

The present invention provides an etching solution capable of removing the titanium on the substrate, and an etching method using the same and a preparation method for semiconductor substrate manufacture. Further, the present invention also provides an etching solution that can optionally inhibit the damage on the silicon or germanium containing layer on the substrate, in particular on the silicide layer, and also selectively remove the titanium containing layer, an etching method using the same and the method for producing semiconductor substrate manufacture.

Description

蝕刻液、蝕刻方法及半導體基板製品的製造方法Etching liquid, etching method, and method of manufacturing semiconductor substrate product

本發明是有關於一種蝕刻液、蝕刻方法及半導體基板製品的製造方法。The present invention relates to an etching solution, an etching method, and a method of manufacturing a semiconductor substrate product.

積體電路的製造包含多階段的各種加工步驟。於其製造過程中,反覆進行數次各種材料的堆積、微影及蝕刻等。其中,蝕刻成為重要的製程。必須選擇性地對特定的材料進行蝕刻,並使其他材料不腐蝕而殘存。有時要求以使包含類似金屬種類的該類層的一者、或包含腐蝕性更高的材料的層殘留的形態僅去除既定的層。近年來,半導體基板內的配線或積體電路的尺寸變得越來越小,並不腐蝕應殘留的構件而準確地進行蝕刻的重要性正提高。 若舉場效電晶體為例來看,伴隨其急速的微細化,而強烈要求形成於源極區域及/或汲極區域的上表面的矽化物層的薄膜化、或新型材料的開發。於形成該矽化物層的自對準矽化製程(Salicide:Self-Aligned Silicide)中,對形成於半導體基板上的包含矽等的源極區域及汲極區域的一部分、與附加於其上表面的金屬層進行退火。藉此,可於源極電極及/或汲極電極等的上側形成低電阻的矽化物層。 於自對準矽化步驟後,藉由蝕刻來去除殘留於其中的金屬層。該蝕刻通常藉由濕式蝕刻來進行,作為其藥液,應用鹽酸與硝酸的混合液(王水)。專利文獻1揭示有使用除硝酸及鹽酸以外,添加有甲苯磺酸的藥液作為去除Ni及Pt者的例子。 [現有技術文獻] [專利文獻]The fabrication of integrated circuits involves multiple stages of processing steps. In the manufacturing process, stacking, lithography, etching, etc. of various materials are repeated several times. Among them, etching becomes an important process. It is necessary to selectively etch a specific material and leave other materials without corrosion. It is sometimes required to remove only a predetermined layer in a form in which one of such a layer containing a similar metal species or a layer containing a more corrosive material remains. In recent years, the size of wiring or integrated circuits in a semiconductor substrate has become smaller and smaller, and the importance of accurately etching without causing corrosion of a member to be left is increased. In the case of a field effect transistor, as a result of rapid miniaturization, thin film formation of a germanide layer formed on the upper surface of the source region and/or the drain region or development of a novel material is strongly demanded. In a self-aligned silicide process (Salicide: Self-Aligned Silicide) for forming the germanide layer, a part of the source region and the drain region including germanium formed on the semiconductor substrate, and a portion attached to the upper surface thereof The metal layer is annealed. Thereby, a low-resistance telluride layer can be formed on the upper side of the source electrode and/or the drain electrode. After the self-aligned deuteration step, the metal layer remaining therein is removed by etching. This etching is usually performed by wet etching, and as a chemical liquid, a mixture of hydrochloric acid and nitric acid (Aqua regia) is applied. Patent Document 1 discloses an example in which a chemical solution containing toluenesulfonic acid other than nitric acid and hydrochloric acid is used as a method of removing Ni and Pt. [Prior Art Document] [Patent Literature]

[專利文獻1]:國際公開第2012/125401號手冊[Patent Document 1]: International Publication No. 2012/125401

[發明所欲解決之課題]     本發明的課題在於提供一種可去除基板上的鈦的蝕刻液,使用其的蝕刻方法及半導體基板製品的製造方法。進而,本發明的課題在於提供一種視需要可抑制基板上的含有矽或鍺的層、尤其是其矽化物層的損傷,並選擇性地去除含有鈦的層的蝕刻液,使用其的蝕刻方法及半導體基板製品的製造方法。 [解決課題之手段][Problem to be Solved by the Invention] An object of the present invention is to provide an etching solution capable of removing titanium on a substrate, an etching method using the same, and a method of manufacturing a semiconductor substrate product. Further, an object of the present invention is to provide an etching method capable of suppressing damage of a layer containing ruthenium or ruthenium on a substrate, particularly a ruthenium layer thereof, and selectively removing a layer containing titanium. And a method of manufacturing a semiconductor substrate product. [Means for solving the problem]

所述課題可藉由以下的手段來解決。 [1]一種蝕刻液,其為半導體製程用的蝕刻液,且含有氟離子與取代對苯二酚化合物。 [2]如[1]所述的蝕刻液,其含有有機溶媒。 [3]如[1]或[2]所述的蝕刻液,其含有水。 [4]如[1]至[3]中任一項所述的蝕刻液,其中所述氟離子的濃度為0.1質量%以上、20質量%以下。 [5]如[1]至[4]中任一項所述的蝕刻液,其中所述取代對苯二酚化合物的濃度為0.1質量%以上、10質量%以下。 [6]如[2]所述的蝕刻液,其中所述有機溶媒的濃度為50質量%以上、98質量%以下。 [7]如[3]所述的蝕刻液,其中所述水的濃度為0.1質量%以上、50質量%以下。 [8]如[1]至[7]中任一項所述的蝕刻液,其中所述取代對苯二酚化合物由下述式(H1)所表示。 [化1]RH1 表示取代基。m1表示1~4的整數。 [9]如[8]所述的蝕刻液,其中RH1 為烴基。 [10]如[9]所述的蝕刻液,其中RH1 為烷基。 [11]如[1]至[10]中任一項所述的蝕刻液,其中所述取代對苯二酚化合物的ClogP值為1以上、10以下。 [12]如[1]至[11]中任一項所述的蝕刻液,其中所述取代對苯二酚化合物為2,5-二-第三丁基對苯二酚、2-第三丁基對苯二酚、2,5-二甲基對苯二酚、或2-甲基對苯二酚。 [13]如[1]至[12]中任一項所述的蝕刻液,其中所述取代對苯二酚化合物相對於矽基板的腐蝕電位為-0.25以上、0.5以下。 [14]如[2]所述的蝕刻液,其中所述有機溶媒包含醇化合物或醚化合物。 [15]如[2]所述的蝕刻液,其中所述有機溶媒包含由下述式(O-1)所表示的化合物。   RO1 -(-O-RO2 -)n -ORO3 ···(O-1)   RO1 及RO3 分別獨立地為氫原子或碳數1~12的烷基、碳數6~14的芳基、或者碳數7~15的芳烷基。 RO2 為直鏈狀或分支狀的碳數1以上、12以下的伸烷基鏈。當存在多個RO2 時,各RO2 可不同。 n為0以上、12以下的整數。其中,當n為0時,RO1 及RO3 不同時為氫原子。 [16]如[1]至[15]中任一項所述的蝕刻液,其中所述半導體製程用的基板具有含有鈦的層與含有矽化鈦的層。 [17]如[16]所述的蝕刻液,其中所述含有鈦的層的蝕刻速度除以所述含有矽化鈦的層的蝕刻速度所得的值為4以上、15以下。 [18]一種蝕刻方法,其應用如[1]至[17]中任一項所述的蝕刻液來進行含有鈦的層的蝕刻。 [19]如[18]所述的蝕刻方法,其中一邊抑制矽化鈦的蝕刻,一邊進行所述含有鈦的層的蝕刻。 [20]一種半導體基板製品的製造方法,其經由如[18]或[19]所述的蝕刻方法來製造半導體基板製品。 [發明的效果]The problem can be solved by the following means. [1] An etching liquid which is an etching liquid for a semiconductor process and which contains a fluorine ion and a substituted hydroquinone compound. [2] The etching solution according to [1], which contains an organic solvent. [3] The etching solution according to [1] or [2] which contains water. [4] The etching liquid according to any one of [1] to [3] wherein the concentration of the fluorine ions is 0.1% by mass or more and 20% by mass or less. [5] The etching solution according to any one of [1] to [4] wherein the concentration of the substituted hydroquinone compound is 0.1% by mass or more and 10% by mass or less. [6] The etching solution according to [2], wherein the concentration of the organic solvent is 50% by mass or more and 98% by mass or less. [7] The etching solution according to [3], wherein the concentration of the water is 0.1% by mass or more and 50% by mass or less. [8] The etching solution according to any one of [1] to [7] wherein the substituted hydroquinone compound is represented by the following formula (H1). [Chemical 1] R H1 represents a substituent. M1 represents an integer of 1 to 4. [9] The etching solution according to [8], wherein R H1 is a hydrocarbon group. [10] The etching solution according to [9], wherein R H1 is an alkyl group. [11] The etching solution according to any one of [1] to [10] wherein the substituted hydroquinone compound has a ClogP value of 1 or more and 10 or less. [12] The etching solution according to any one of [1] to [11] wherein the substituted hydroquinone compound is 2,5-di-t-butyl hydroquinone, 2-third Butyl hydroquinone, 2,5-dimethyl hydroquinone, or 2-methyl hydroquinone. [13] The etching solution according to any one of [1] to [12] wherein the corrosion potential of the substituted hydroquinone compound with respect to the ruthenium substrate is -0.25 or more and 0.5 or less. [14] The etching solution according to [2], wherein the organic solvent contains an alcohol compound or an ether compound. [15] The etching solution according to [2], wherein the organic solvent contains a compound represented by the following formula (O-1). R O1 -(-OR O2 -) n -OR O3 ····(O-1) R O1 and R O3 are each independently a hydrogen atom, an alkyl group having 1 to 12 carbon atoms, or an aryl group having 6 to 14 carbon atoms. Or an aralkyl group having 7 to 15 carbon atoms. R O2 is a linear or branched alkyl chain having 1 or more and 12 or less carbon atoms. When there are a plurality of R O 2 , each R O 2 may be different. n is an integer of 0 or more and 12 or less. Wherein, when n is 0, R O1 and R O3 are not hydrogen atoms at the same time. [16] The etching solution according to any one of [1] to [15] wherein the substrate for semiconductor processing has a layer containing titanium and a layer containing titanium telluride. [17] The etching solution according to [16], wherein a value obtained by dividing an etching rate of the titanium-containing layer by an etching rate of the titanium telluride-containing layer is 4 or more and 15 or less. [18] An etching method using the etching liquid according to any one of [1] to [17] to perform etching of a layer containing titanium. [19] The etching method according to [18], wherein the etching of the titanium-containing layer is performed while suppressing etching of titanium oxide. [20] A method of producing a semiconductor substrate article, which is produced by the etching method according to [18] or [19]. [Effects of the Invention]

根據本發明的蝕刻液、使用其的蝕刻方法及半導體基板製品的製造方法,可去除基板上的鈦。進而,視需要可抑制基板上的含有矽或鍺的層、尤其是其矽化物層的損傷,並選擇性地去除含有鈦的層。 本發明的以上所述及其他特徵以及優點可參照適宜隨附的圖式並根據下述記載而更明瞭。According to the etching liquid of the present invention, the etching method using the same, and the method of manufacturing a semiconductor substrate product, titanium on the substrate can be removed. Further, it is possible to suppress damage of the layer containing ruthenium or ruthenium on the substrate, particularly the ruthenide layer thereof, and selectively remove the layer containing titanium. The above and other features and advantages of the present invention will become apparent from the accompanying drawings.

首先,根據圖1(a)、圖1(b)、圖1(c)、圖2(A)、圖2(B)、圖2(C)、圖2(D)及圖2(E)對與本發明的蝕刻液的應用相關的蝕刻步驟的較佳的實施形態進行說明。First, according to Fig. 1 (a), Fig. 1 (b), Fig. 1 (c), Fig. 2 (A), Fig. 2 (B), Fig. 2 (C), Fig. 2 (D) and Fig. 2 (E) A preferred embodiment of the etching step related to the application of the etching solution of the present invention will be described.

[蝕刻步驟] 圖1(a)、圖1(b)、圖1(c)是表示蝕刻前後的半導體基板的圖。於本實施形態的製造例中,在含有矽(silicon)或鍺的層(第一層)2的上表面配置有金屬層(第二層)1。作為含有矽或鍺的層(第一層),應用構成源極電極、汲極電極的Si磊晶層。第一層可包含Si,亦可為SiGe磊晶層或Ge磊晶層。[Etching Step] FIGS. 1(a), 1(b), and 1(c) are views showing a semiconductor substrate before and after etching. In the production example of the present embodiment, a metal layer (second layer) 1 is disposed on the upper surface of the layer (first layer) 2 containing silicon or germanium. As a layer (first layer) containing ruthenium or osmium, a Si epitaxial layer constituting a source electrode and a drain electrode is applied. The first layer may comprise Si, or may be a SiGe epitaxial layer or a Ge epitaxial layer.

作為金屬層(第二層)1的構成材料,可設為鈦(Ti)。金屬層的形成通常可使用應用於此種金屬膜的形成的方法,具體而言,可列舉利用化學氣相沈積(Chemical Vapor Deposition,CVD)的成膜。此時的金屬層的厚度並無特別限定,可列舉5 nm以上、50 nm以下的膜的例子。於本發明中,當金屬層為Ti層時,蝕刻液的去除性能得以充分地發揮,故較佳。 除所述列舉的金屬原子以外,金屬層亦可含有其他元素。例如,亦可存在不可避免地混入的氧或氮。不可避免的雜質的量較佳為抑制成例如1 ppt~10 ppm(質量基準)左右。 另外,於半導體基板中,除所述材料以外,有時存在不期望被蝕刻的材料。於本發明的較佳的實施形態的蝕刻液中,可進而抑制此種材料的腐蝕等而較佳。As a constituent material of the metal layer (second layer) 1, titanium (Ti) can be used. For the formation of the metal layer, a method of forming such a metal film can be generally used. Specifically, a film formation by chemical vapor deposition (CVD) can be mentioned. The thickness of the metal layer at this time is not particularly limited, and examples of the film of 5 nm or more and 50 nm or less are mentioned. In the present invention, when the metal layer is a Ti layer, the removal performance of the etching liquid is sufficiently exhibited, which is preferable. In addition to the listed metal atoms, the metal layer may contain other elements. For example, there may be oxygen or nitrogen that is inevitably mixed. The amount of unavoidable impurities is preferably suppressed to, for example, about 1 ppt to 10 ppm (mass basis). Further, in the semiconductor substrate, in addition to the above materials, there are cases in which materials which are not desired to be etched are present. In the etching liquid of the preferred embodiment of the present invention, it is preferable to further suppress corrosion or the like of such a material.

於圖1的步驟(a)中,在含有矽或鍺的層2的上側形成金屬層1後,進行退火(燒結),而於其界面上形成金屬-Si反應膜(第三層:矽化物層)3(圖1的步驟(b))。退火只要利用通常應用於此種元件的製造的條件即可,例如可列舉於200℃~1000℃下進行處理。此時的矽化物層3的厚度並無特別限定,可列舉成為50 nm以下的層的例子,進而可列舉成為10 nm以下的層的例子。並不特別存在下限值,但較實際的是1 nm以上。該矽化物層可用作低電阻膜,作為將位於其下部的源極電極及/或汲極電極與配置於其上部的配線電性連接的導電部而發揮功能。因此,若於矽化物層中產生缺損或腐蝕,則該導通受到阻礙,有時會帶來元件誤操作等品質下降。尤其,最近基板內部的積體電路結構正不斷微細化,即便是微小的損傷,亦可能對元件的性能造成大的影響。因此,理想的是儘可能地防止此種缺損及腐蝕。In the step (a) of FIG. 1, after the metal layer 1 is formed on the upper side of the layer 2 containing ruthenium or osmium, annealing (sintering) is performed, and a metal-Si reaction film is formed on the interface (third layer: telluride) Layer) 3 (step (b) of Figure 1). The annealing may be carried out by a condition generally applied to the production of such a device, and for example, it may be treated at 200 ° C to 1000 ° C. The thickness of the vaporized layer 3 at this time is not particularly limited, and examples thereof include a layer of 50 nm or less, and examples of the layer of 10 nm or less are also exemplified. The lower limit is not particularly present, but it is more than 1 nm. The telluride layer can be used as a low-resistance film, and functions as a conductive portion that electrically connects a source electrode and/or a drain electrode located at a lower portion thereof to a wiring disposed on an upper portion thereof. Therefore, if defects or corrosion occur in the telluride layer, the conduction is hindered, and the quality such as erroneous operation of components may be degraded. In particular, recently, the integrated circuit structure inside the substrate is being miniaturized, and even a slight damage may have a large influence on the performance of the element. Therefore, it is desirable to prevent such defects and corrosion as much as possible.

於本說明書中,廣義上而言,矽化物層是包含於第一層的含有矽或鍺的層中的概念。因此,當相對於第一層而選擇性地去除第二層時,表示不僅是相對於未矽化的含有矽或鍺的層而優先去除第二層(金屬層)的形態,而且包含相對於矽化物層而優先去除第二層(金屬層)的形態。狹義上,當將第一層的含有矽或鍺的層(矽化物層除外)與第三層的矽化物層區別稱呼時,分別稱為第一層及第三層。再者,所述矽的層可為單晶矽、多晶矽或非晶矽的任一者。另外,當僅稱為矽化物層時,表示包含對各金屬進行退火所形成的複合金屬層。因此,當稱為矽化鈦時,表示不僅包括鈦與矽的矽化物,而且包括包含鈦與矽及鍺的矽化物、或包含鈦與鍺的矽化物。於本發明中,就其效果變得顯著的觀點而言,較佳為應用於包含鈦及矽的矽化物層(TiSi)、或包含鈦、矽、及鍺的矽化物層(TiSiGe)的保護,更佳為應用於包含鈦及矽的矽化物層(TiSi)的保護。In the present specification, in a broad sense, a telluride layer is a concept included in a layer containing ruthenium or osmium of the first layer. Therefore, when the second layer is selectively removed with respect to the first layer, it means that the morphology of the second layer (metal layer) is preferentially removed not only with respect to the undeuterated layer containing ruthenium or osmium, but also includes The morphology of the second layer (metal layer) is preferentially removed. In a narrow sense, when the first layer of germanium or germanium containing layers (excluding the germanide layer) is distinguished from the third layer of germanide layer, it is referred to as the first layer and the third layer, respectively. Furthermore, the layer of germanium may be any of single crystal germanium, polycrystalline germanium or amorphous germanium. Further, when it is simply referred to as a vaporized layer, it means a composite metal layer formed by annealing each metal. Thus, when referred to as titanium telluride, it is meant to include not only tellurides of titanium and tantalum, but also tellurides comprising titanium and tantalum and niobium, or tellurides comprising titanium and niobium. In the present invention, it is preferably applied to a telluride layer (TiSi) containing titanium and tantalum or a telluride layer (TiSiGe) containing titanium, hafnium, and tantalum from the viewpoint that the effect becomes remarkable. More preferably, it is applied to the protection of a telluride layer (TiSi) containing titanium and tantalum.

繼而,進行殘存的金屬層1的蝕刻(圖1的步驟(b)->步驟(c))。於本實施形態中,此時應用蝕刻液,自金屬層1的上側賦予蝕刻液來進行接觸,藉此去除金屬層1。蝕刻液的賦予的形態將後述。 含有矽或鍺的層2可包含Si磊晶層或SiGe磊晶層,並藉由化學氣相沈積(CVD)法而於具有特定的結晶性的矽基板上結晶成長來形成。或者,亦可藉由分子束磊晶(Molecular Beam Epitaxy:MBE)法等,製成以所期望的結晶性而形成的磊晶層。 為了將含有矽或鍺的層設為P型層,較佳為摻雜濃度為1×1014 cm-3 ~1×1021 cm-3 左右的硼(B)。為了設為N型層,較佳為以1×1014 cm-3 ~1×1021 cm-3 的濃度摻雜磷(P)或砷(As)。Then, etching of the remaining metal layer 1 is performed (step (b) -> step (c) of Fig. 1). In the present embodiment, at this time, the etching liquid is applied, and the etching liquid is applied from the upper side of the metal layer 1 to make contact, thereby removing the metal layer 1. The form of application of the etching liquid will be described later. The layer 2 containing ruthenium or osmium may include a Si epitaxial layer or a SiGe epitaxial layer, and is formed by crystal growth of a ruthenium substrate having a specific crystallinity by a chemical vapor deposition (CVD) method. Alternatively, an epitaxial layer formed with desired crystallinity may be formed by a molecular beam epitaxy (MBE) method or the like. In order to make the layer containing ruthenium or osmium a P-type layer, boron (B) having a doping concentration of about 1 × 10 14 cm -3 to 1 × 10 21 cm -3 is preferable. In order to form an N-type layer, phosphorus (P) or arsenic (As) is preferably doped at a concentration of 1 × 10 14 cm -3 to 1 × 10 21 cm -3 .

當第一層為SiGe磊晶層時,其Ge濃度較佳為20質量%以上,更佳為40質量%以上。作為上限,較佳為100質量%以下,更佳為90質量%以下。再者,當鍺為100質量%時,藉由其退火而伴隨第二層的合金所形成的層包含鍺與第二層的特定金屬元素,並不含矽,但如上所述,於本說明書中為便於說明,包含其在內而稱為鍺「矽化物」層。 於本說明書中,將鍺的濃度設為藉由以下的測定方法所測定的值。利用蝕刻化學分析電子能譜儀(Electron Spectroscopy for Chemical Analysis,ESCA)(日本真空(ULVAC-PHI)製造 商品名:克安太拉(Quantera)),對含有鍺(Ge)的層的基板的自0 nm至30 nm為止的深度方向進行分析,並將3 nm~15 nm的分析結果中的Ge濃度的平均值設為Ge濃度(質量%)。When the first layer is a SiGe epitaxial layer, the Ge concentration thereof is preferably 20% by mass or more, more preferably 40% by mass or more. The upper limit is preferably 100% by mass or less, and more preferably 90% by mass or less. Further, when the ruthenium is 100% by mass, the layer formed by the alloy accompanying the second layer by annealing thereof contains bismuth and a specific metal element of the second layer, and does not contain ruthenium, but as described above, in the present specification For convenience of explanation, it is referred to as the "deuterated" layer. In the present specification, the concentration of ruthenium is a value measured by the following measurement method. Electrode Spectroscopy for Chemical Analysis (ESCA) (product name: Quantera, manufactured by ULVAC-PHI, Japan), for the substrate of a layer containing germanium (Ge) The depth direction from 0 nm to 30 nm was analyzed, and the average value of the Ge concentration in the analysis results of 3 nm to 15 nm was defined as the Ge concentration (% by mass).

經過自對準矽化步驟,在所述含有矽或鍺的層(第一層)與金屬層(第二層)之間,形成矽化物層作為含有矽(Si)或鍺(Ge)及第二層的成分(所述特定金屬種)的層。該矽化物層廣義上包含於所述第一層中,但當狹義上與所述第一層區別稱呼時稱為「第三層」。其組成若以式Six Gey Mz (M:金屬元素)而言,則較佳為設為x+y+z=1、且0.2≦x+y≦0.8,更佳為0.3≦x+y≦0.7。關於z,較佳為0.2≦z≦0.8,更佳為0.3≦z≦0.7。x與y的比率的較佳的範圍較佳為y=0(不含Ge),當含有Ge時,如所述規定般。其中,於第三層中亦可含有其他元素。此方面與所述金屬層(第二層)中所述者相同。After the self-aligned deuteration step, between the layer containing the germanium or antimony (first layer) and the metal layer (the second layer), a vaporized layer is formed as containing germanium (Si) or germanium (Ge) and second A layer of the composition of the layer (the particular metal species). The telluride layer is broadly included in the first layer, but is referred to as a "third layer" when it is distinguished from the first layer in a narrow sense. If the composition is Si x Ge y M z (M: metal element), it is preferably set to x + y + z = 1, and 0.2 ≦ x + y ≦ 0.8, more preferably 0.3 ≦ x + Y≦0.7. With respect to z, it is preferably 0.2 ≦ z ≦ 0.8, more preferably 0.3 ≦ z ≦ 0.7. A preferred range of the ratio of x to y is preferably y = 0 (without Ge), and when Ge is contained, as specified. Among them, other elements may also be contained in the third layer. This aspect is the same as described in the metal layer (second layer).

(MOS電晶體的加工) 圖2(A)、圖2(B)、圖2(C)、圖2(D)及圖2(E)是表示MOS電晶體的製造例的步驟圖。圖2(A)為MOS電晶體結構的形成步驟,圖2(B)為金屬膜的濺鍍步驟,圖2(C)為第1次的退火步驟,圖2(D)為金屬膜的選擇去除步驟,圖2(E)為第2次的退火步驟。 如圖所示,經由形成於矽基板21的表面的閘極絕緣膜22而形成閘極電極23。於矽基板21的閘極電極23的兩側可另外形成外延(extension)區域。於閘極電極23的上側可形成防止與Ti層的接觸的保護層(未圖示)。進而,形成包含氧化矽膜或氮化矽膜的側壁25,藉由離子注入而形成源極區域26及汲極區域27。 繼而,如圖所示,形成Ti膜28,實施急速退火處理。藉此,使Ti膜28中的元素擴散至矽基板中而使其矽化(於本說明書中,亦包含鍺為100質量%時,為便於說明,將利用退火的合金化稱為矽化)。其結果,源極電極26及汲極電極27的上部被矽化,形成TiSi(Ge)源極電極部26A及TiSi(Ge)汲極電極部27A。此時,視需要如圖2(E)所示般進行第2次的退火,藉此使電極構件變化成所期望的狀態(經退火的矽化物源極電極26B、經退火的矽化物汲極電極27B)。所述第1次與第2次的退火溫度並無特別限定,例如可於400℃~1100℃下進行。(Processing of MOS transistor) FIGS. 2(A), 2(B), 2(C), 2(D), and 2(E) are process diagrams showing a manufacturing example of the MOS transistor. 2(A) shows the formation steps of the MOS transistor structure, FIG. 2(B) shows the sputtering process of the metal film, FIG. 2(C) shows the first annealing step, and FIG. 2(D) shows the selection of the metal film. The removal step, FIG. 2(E), is the second annealing step. As shown in the figure, the gate electrode 23 is formed via the gate insulating film 22 formed on the surface of the germanium substrate 21. An extension region may be additionally formed on both sides of the gate electrode 23 of the germanium substrate 21. A protective layer (not shown) that prevents contact with the Ti layer can be formed on the upper side of the gate electrode 23. Further, a sidewall 25 including a hafnium oxide film or a tantalum nitride film is formed, and a source region 26 and a drain region 27 are formed by ion implantation. Then, as shown in the figure, the Ti film 28 is formed and subjected to rapid annealing treatment. Thereby, the element in the Ti film 28 is diffused into the ruthenium substrate to be deuterated (in the present specification, when ruthenium is 100% by mass, for convenience of explanation, the alloying by annealing is referred to as deuteration). As a result, the upper portions of the source electrode 26 and the drain electrode 27 are deuterated to form a TiSi (Ge) source electrode portion 26A and a TiSi (Ge) drain electrode portion 27A. At this time, the second annealing is performed as shown in FIG. 2(E), whereby the electrode member is changed to a desired state (annealed telluride source electrode 26B, annealed telluride bungee Electrode 27B). The first and second annealing temperatures are not particularly limited, and can be, for example, 400 to 1100 °C.

無助於矽化而殘留的Ti膜28可藉由使用本發明的蝕刻液來去除(圖2(C)、圖2(D))。此時,將所圖示者大幅度地模式化而進行表示,堆積於經矽化的層(26A、27A)的上部而殘留的Ti膜可有可無。半導體基板或其製品的結構亦簡化地進行圖示,視需要只要作為具有必要的構件者進行解釋即可。The Ti film 28 remaining without contributing to deuteration can be removed by using the etching liquid of the present invention (Fig. 2(C), Fig. 2(D)). At this time, the person shown in the figure is largely patterned, and the Ti film remaining on the upper portion of the deuterated layers (26A, 27A) is optional. The structure of the semiconductor substrate or its product is also simplified, and may be explained as necessary as necessary.

若列舉構成材料的較佳例,則可例示如下所述的形態。 21 矽基板:Si、SiGe、Ge 22 閘極絕緣膜:HfO2 (高介電常數(High-k)) 23 閘極電極:Al、W 25 側壁:SiOCN、SiN、SiO2 (低介電常數(low-k)) 26 源極電極:Si、SiGe、Ge 27 汲極電極:Si、SiGe、Ge 28 金屬層:Ti 未圖示 蓋:TiN 以上敍述了應用本發明的蝕刻液的半導體基板的例子,但並不限於該具體例,亦可應用於其他半導體基板。例如可列舉:於源極區域及/或汲極區域上包含具有矽化物圖案的高介電膜/金屬閘極鰭式場效電晶體(Fin Field Effect Transistor,FinFET)的半導體基板。As a preferable example of a constituent material, the following aspects are exemplified. 21 矽 Substrate: Si, SiGe, Ge 22 Gate insulating film: HfO 2 (high dielectric constant (High-k)) 23 Gate electrode: Al, W 25 Sidewall: SiOCN, SiN, SiO 2 (low dielectric constant (low-k) 26 source electrode: Si, SiGe, Ge 27 汲 electrode: Si, SiGe, Ge 28 metal layer: Ti not shown cover: TiN The semiconductor substrate to which the etching liquid of the present invention is applied is described above. Examples, but not limited to this specific example, can also be applied to other semiconductor substrates. For example, a semiconductor substrate including a high dielectric film/Fin Field Effect Transistor (FinFET) having a germanide pattern on a source region and/or a drain region can be cited.

此處,若談到殘留矽化物層(第三層)或矽等的層(第一層),僅去除其上層的金屬層(第二層)的困難性,則可列舉矽化物層包含應去除的金屬而構成這一方面。即,若為了提高金屬層的去除性而採用其溶解性高的溶液成分,則包含該金屬的矽化物層亦會容易溶解。另外,若應用形成矽化物的金屬(Ti、Pt及Ni等)的溶解性高的成分,則通常變成對於矽(Si)及鍺(Ge)亦顯示出溶解性的方向。因此,提高金屬層的溶解性,另一方面,抑制其矽化物層等的損傷變成相反的方向。根據本發明的較佳的實施形態,可實現此種難以並存的特性,保護作為半導體基板製品所欲殘留的矽化物層等,並有效地去除位於其上側等的金屬層。Here, when referring to the residual telluride layer (third layer) or the layer (first layer) of ruthenium or the like, and only the difficulty of removing the metal layer (second layer) of the upper layer, it is exemplified that the bismuth layer includes The removed metal constitutes this aspect. That is, when a solution component having high solubility is used in order to improve the removability of the metal layer, the telluride layer containing the metal is also easily dissolved. Further, when a component having a high solubility of a metal (such as Ti, Pt, or Ni) which forms a telluride is applied, it is usually a direction in which solubility is also exhibited for bismuth (Si) and germanium (Ge). Therefore, the solubility of the metal layer is improved, and on the other hand, the damage of the telluride layer or the like is suppressed from becoming the opposite direction. According to a preferred embodiment of the present invention, such a difficult-to-existing property can be achieved, and a bismuth layer or the like remaining as a semiconductor substrate product can be protected, and a metal layer located on the upper side or the like can be effectively removed.

[蝕刻液] 繼而,對本發明的蝕刻液的較佳的實施形態進行說明。本實施形態的蝕刻液含有氟離子與取代對苯二酚化合物。此處,所謂取代對苯二酚化合物,是去除對苯二酚化合物中未經取代者的含義。於蝕刻液中,進而視需要亦可含有有機溶媒或水。其中,較佳為較佳為所述蝕刻液實質上僅包含氟離子、其抗衡離子、取代對苯二酚化合物、水及有機溶媒。此處,「實質上」是指於起到本發明的效果的範圍內,可包含不可避免的雜質或微量添加成分。以下,對各成分進行說明。[Etching Solution] Next, a preferred embodiment of the etching liquid of the present invention will be described. The etching solution of this embodiment contains a fluorine ion and a substituted hydroquinone compound. Here, the substitution of the hydroquinone compound means the removal of the unsubstituted compound in the hydroquinone compound. In the etching solution, an organic solvent or water may be contained as needed. Preferably, it is preferable that the etching liquid contains substantially only fluorine ions, counter ions, substituted hydroquinone compounds, water, and an organic solvent. Here, "substantially" means that unavoidable impurities or trace addition components may be contained within the range in which the effects of the present invention are exerted. Hereinafter, each component will be described.

(氟離子) 於本發明的蝕刻液中含有氟離子。可理解為於蝕刻液中,氟離子變成第二層的金屬(Ti等)的配位體(錯合劑)並發揮促進溶解的作用。 於蝕刻液中,氟離子的濃度較佳為0.1質量%以上,更佳為0.5質量%以上,特佳為含有1質量%以上。作為上限,較佳為20質量%以下,更佳為10質量%以下,進而更佳為5質量%以下,特佳為2質量%以下。藉由以所述濃度應用氟離子,可實現良好的金屬層的蝕刻,並實現矽或鍺的層、或者其矽化物層的有效的保護,故較佳。 再者,於確認調配量時,可藉由對製造時的氟化合物(鹽)的量進行定量,而特定氟離子的量。含氟化合物可僅使用一種,亦可併用兩種以上來使用。作為氟離子的供給源,可列舉下述列表中者。於本發明中,其中較佳為氫氟酸(HF)。(Fluoride) The fluorine ion is contained in the etching liquid of the present invention. It can be understood that in the etching liquid, the fluorine ions become a ligand (a complexing agent) of the metal (Ti or the like) of the second layer and function to promote dissolution. The concentration of the fluorine ion in the etching liquid is preferably 0.1% by mass or more, more preferably 0.5% by mass or more, and particularly preferably 1% by mass or more. The upper limit is preferably 20% by mass or less, more preferably 10% by mass or less, still more preferably 5% by mass or less, and particularly preferably 2% by mass or less. It is preferred to apply a fluoride ion at the concentration to achieve good etching of the metal layer and to achieve effective protection of the layer of tantalum or niobium or the vaporized layer thereof. Further, when the amount of the compound is confirmed, the amount of the fluorine compound (salt) at the time of production can be quantified to specify the amount of the fluoride ion. The fluorine-containing compound may be used singly or in combination of two or more. As a supply source of a fluorine ion, the following list is mentioned. In the present invention, among them, hydrofluoric acid (HF) is preferred.

[表A] [Table A]

(取代對苯二酚化合物) 於本發明的蝕刻液中,含有取代對苯二酚化合物(較佳為烷基取代對苯二酚)。所述取代對苯二酚化合物廣泛包含具有對苯二酚骨架的化合物,所述對苯二酚骨架具有取代基。於本發明的蝕刻液中,可理解為取代對苯二酚化合物能夠與所述氟離子協同作用來達成適當的金屬的去除性、及含有矽或鍺的層(較佳為其矽化物層)的保護性。若包含推斷來說,可理解為於含有氟離子的系中Ti與Si等的親水性的不同發揮作用(後者為疏水性),於疏水性高的化合物中具有特定的對苯二酚骨架的化合物於其矽化物層等的吸附性(保護性)方面優異。其結果,可認為取代對苯二酚化合物相對於所述矽化物層等形成特有的吸附層,並顯示出氟離子抑制過度損傷(溶解)的作用。另一方面,可理解為不阻礙對氟離子所期待的作用(金屬的溶解作用等),且其結果同時發揮高的金屬層的去除性與對於矽化物層等的良好的保護性。(Substituted hydroquinone compound) The etchant of the present invention contains a substituted hydroquinone compound (preferably an alkyl-substituted hydroquinone). The substituted hydroquinone compound broadly comprises a compound having a hydroquinone skeleton having a substituent. In the etching solution of the present invention, it is understood that the substituted hydroquinone compound can synergize with the fluoride ion to achieve appropriate metal removal and a layer containing ruthenium or osmium (preferably a ruthenium layer). Protective. In addition, it is understood that in the system containing a fluoride ion, the difference in hydrophilicity between Ti and Si (the latter is hydrophobic), and the specific hydroquinone skeleton in the highly hydrophobic compound. The compound is excellent in the adsorptivity (protective property) of the telluride layer or the like. As a result, it is considered that the substituted hydroquinone compound forms a specific adsorption layer with respect to the telluride layer or the like, and exhibits an effect of suppressing excessive damage (dissolution) of the fluorine ions. On the other hand, it can be understood that the action (the dissolution of the metal, etc.) expected for the fluorine ion is not inhibited, and as a result, the removal property of the metal layer and the excellent protection against the vapor layer and the like are exhibited at the same time.

所述取代對苯二酚化合物較佳為由下述式(H1)所表示。 [化2] The substituted hydroquinone compound is preferably represented by the following formula (H1). [Chemical 2]

RH1 表示取代基。作為取代基,較佳為烷基(碳數較佳為1~12,更佳為2~6,特佳為2~4)、烯基(碳數較佳為2~12,更佳為2~6)、芳基(碳數較佳為6~22,更佳為6~14,特佳為6~10)、芳烷基(碳數較佳為6~22,更佳為6~14,特佳為6~10)、含有羥基的基、含有羧基的基、含有硫醇基(硫基)的基、含有胺基的基(胺基的碳數較佳為0~6,更佳為0~3)。含有羥基的基、含有羧基的基、含有硫醇基的基、含有胺基的基的連結基較佳為無連結基的形態(單鍵)。當含有連結基時,該連結基較佳為伸烷基(碳數較佳為1~12,更佳為1~6,特佳為1~3)、伸烯基(碳數較佳為2~12,更佳為2~6)、O、CO、NRN 、S、或與其組合相關的基。除氫原子以外,構成連結基的原子的數量較佳為1~12,更佳為1~6,特佳為1~3。連結基的連結原子數較佳為10以下,更佳為8以下,特佳為3以下。下限為1以上。所述連結原子數是指位於將既定的結構部間連結的路徑上並參與連結的最少的原子數。例如,於-CH2 -C(=O)-O-的情況下,構成連結基的原子的數量變成6,但連結原子數變成3。R H1 represents a substituent. The substituent is preferably an alkyl group (the number of carbon atoms is preferably from 1 to 12, more preferably from 2 to 6, particularly preferably from 2 to 4), and the alkenyl group (the number of carbon atoms is preferably from 2 to 12, more preferably 2). ~6), aryl (carbon number is preferably 6 to 22, more preferably 6 to 14, particularly preferably 6 to 10), aralkyl (carbon number is preferably 6 to 22, more preferably 6 to 14) , particularly preferably 6 to 10), a hydroxyl group-containing group, a carboxyl group-containing group, a thiol group (thio group) group, and an amine group-containing group (the carbon number of the amine group is preferably 0 to 6, more preferably It is 0 to 3). The linking group containing a hydroxyl group, a carboxyl group-containing group, a thiol group-containing group, or an amine group-containing group is preferably a form having no linking group (single bond). When the linking group is contained, the linking group is preferably an alkyl group (having preferably 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), and an alkenyl group (preferably having 2 carbon atoms). ~12, more preferably 2 to 6), O, CO, NR N , S, or a group related thereto. The number of atoms constituting the linking group is preferably from 1 to 12, more preferably from 1 to 6, particularly preferably from 1 to 3, in addition to a hydrogen atom. The number of linking atoms of the linking group is preferably 10 or less, more preferably 8 or less, and particularly preferably 3 or less. The lower limit is 1 or more. The number of linked atoms refers to the minimum number of atoms located on a path connecting the predetermined structural portions and participating in the joining. For example, in the case of -CH 2 -C(=O)-O-, the number of atoms constituting the linking group becomes 6, but the number of linking atoms becomes 3.

其中,RH1 較佳為烴基,分別較佳為所述各碳數的烷基、烯基、芳基、芳烷基,更佳為烷基。於所述取代對苯二酚化合物中,藉由選擇烴基作為取代基RH1 ,可對本化合物賦予疏水性。藉此,可獲得所期望的蝕刻選擇性,故特佳。Among them, R H1 is preferably a hydrocarbon group, and is preferably an alkyl group, an alkenyl group, an aryl group or an aralkyl group each having the above carbon number, and more preferably an alkyl group. In the substituted hydroquinone compound, hydrophobicity can be imparted to the present compound by selecting a hydrocarbon group as the substituent R H1 . Thereby, the desired etching selectivity can be obtained, which is particularly preferable.

m1表示1~4的整數,較佳為1~3的整數,較佳為1或2。 當m1為2以上時,多個RH1 可形成環。此處,作為所形成的環,可列舉3員環至7員環,較佳為5員環或6員環。作為環結構的例子,可為芳香族環,亦可為脂肪族環。另外,可為烴環,亦可為雜環。其中,於本發明中,較佳為脂肪族環,更佳為烴的脂肪族環。具體而言,將對苯二酚骨架的C=C鍵視為雙鍵,可列舉:環己烯環、環戊烯環及環丁烯環等。M1 represents an integer of 1 to 4, preferably an integer of 1 to 3, preferably 1 or 2. When m1 is 2 or more, a plurality of R H1 may form a ring. Here, as the ring to be formed, a 3-membered ring to a 7-membered ring is preferable, and a 5-membered ring or a 6-membered ring is preferable. As an example of the ring structure, it may be an aromatic ring or an aliphatic ring. Further, it may be a hydrocarbon ring or a heterocyclic ring. Among them, in the present invention, an aliphatic ring is preferred, and an aliphatic ring of a hydrocarbon is more preferred. Specifically, the C=C bond of the hydroquinone skeleton is regarded as a double bond, and examples thereof include a cyclohexene ring, a cyclopentene ring, and a cyclobutene ring.

作為所述取代對苯二酚化合物的具體例,可列舉:2,5-二-第三丁基對苯二酚、2-第三丁基對苯二酚、2,5-二甲基對苯二酚、2-甲基對苯二酚等。Specific examples of the substituted hydroquinone compound include 2,5-di-t-butyl hydroquinone, 2-t-butyl hydroquinone, and 2,5-dimethyl pair. Hydroquinone, 2-methylhydroquinone, and the like.

取代對苯二酚化合物的ClogP值較佳為1以上,更佳為2以上,特佳為3.5以上。並不特別存在上限,但較實際的是10以下。所謂ClogP值,是藉由計算於1-辛醇與水中的分配係數P的常用對數logP而求出的值。關於用於計算ClogP值的方法或軟體,可使用通常的方法或軟體,只要事先無特別說明,則於本發明中使用劍橋軟體(Cambridge soft)公司的ChemBioDraw Ultra 12.0中所編入的ClogP程式。藉由將取代對苯二酚化合物的ClogP值設為所述範圍,可使本化合物為疏水性,且獲得良好的蝕刻選擇性,故較佳。The ClogP value of the substituted hydroquinone compound is preferably 1 or more, more preferably 2 or more, and particularly preferably 3.5 or more. There is no particular upper limit, but it is actually 10 or less. The ClogP value is a value obtained by calculating a common logarithm logP of the partition coefficient P of 1-octanol and water. Regarding the method or software for calculating the ClogP value, a usual method or software can be used, and the ClogP program incorporated in ChemSoftDraw Ultra 12.0 of Cambridge Soft Co., Ltd. is used in the present invention unless otherwise specified. By setting the ClogP value of the substituted hydroquinone compound to the above range, the present compound can be made hydrophobic and obtains good etching selectivity, which is preferable.

於本發明中,於蝕刻液中,取代對苯二酚化合物的濃度較佳為10質量%以下,更佳為7質量%以下,進而更佳為5質量%以下,特佳為3質量%以下。作為下限,較佳為0.01質量%以上,更佳為0.05質量%以上,進而更佳為0.1質量%以上,特佳為0.5質量%以上。 所述取代對苯二酚化合物可僅使用一種,亦可倂用兩種以上。當併用兩種以上時,其併用比例並無特別限定,但合計使用量較佳為兩種以上的總和為所述濃度範圍。In the present invention, the concentration of the substituted hydroquinone compound in the etching solution is preferably 10% by mass or less, more preferably 7% by mass or less, still more preferably 5% by mass or less, and particularly preferably 3% by mass or less. . The lower limit is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, still more preferably 0.1% by mass or more, and particularly preferably 0.5% by mass or more. The substituted hydroquinone compound may be used alone or in combination of two or more. When two or more types are used in combination, the ratio of the combined use is not particularly limited, but the total amount used is preferably a total of two or more of the above concentration ranges.

(有機溶媒) 於本發明的蝕刻液中可含有有機溶媒。其中,有機溶媒較佳為質子性極性有機溶媒(其中,當使用質子性極性有機溶媒時,並不妨礙與非質子性極性有機溶媒的組合使用)。作為質子性極性有機溶媒,可列舉醇化合物溶媒。其中,較佳為碳數1~36,更佳為2~24,進而更佳為4~18,特佳為4~12。有機溶媒較佳為醇化合物或醚化合物,其中更佳為醇化合物。作為具體例,可列舉下述者。 ·醇化合物 可列舉:甲醇、乙醇、1-丙醇、2-丙醇、2-丁醇、乙二醇、丙二醇、甘油、1,6-己二醇、環己二醇、山梨糖醇、木糖醇、聚乙二醇(二乙二醇及三乙二醇等)、聚丙二醇(二丙二醇及三丙二醇等)、2-甲基-2,4-戊二醇、1,3-丁二醇及1,4-丁二醇等。或者,作為伸烷基二醇單烷基醚,可列舉:乙二醇單甲基醚、乙二醇單乙基醚、乙二醇單丁基醚、丙二醇單甲基醚、二乙二醇單甲基醚、三乙二醇單甲基醚、四乙二醇單甲基醚、二丙二醇單甲基醚、三丙二醇單甲基醚、二乙二醇單丁基醚及二丙二醇單丁基醚等。(Organic solvent) An organic solvent may be contained in the etching liquid of the present invention. Among them, the organic solvent is preferably a protic polar organic solvent (wherein, when a protic polar organic solvent is used, it is not inhibited from being used in combination with an aprotic polar organic solvent). As the protic polar organic solvent, an alcohol compound solvent can be mentioned. Among them, the carbon number is preferably from 1 to 36, more preferably from 2 to 24, still more preferably from 4 to 18, particularly preferably from 4 to 12. The organic solvent is preferably an alcohol compound or an ether compound, and more preferably an alcohol compound. As a specific example, the following are mentioned. The alcohol compound may, for example, be methanol, ethanol, 1-propanol, 2-propanol, 2-butanol, ethylene glycol, propylene glycol, glycerin, 1,6-hexanediol, cyclohexanediol, sorbitol, Xylitol, polyethylene glycol (diethylene glycol and triethylene glycol, etc.), polypropylene glycol (dipropylene glycol and tripropylene glycol, etc.), 2-methyl-2,4-pentanediol, 1,3-butyl Glycol and 1,4-butanediol. Alternatively, examples of the alkylene glycol monoalkyl ether include ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, propylene glycol monomethyl ether, and diethylene glycol. Monomethyl ether, triethylene glycol monomethyl ether, tetraethylene glycol monomethyl ether, dipropylene glycol monomethyl ether, tripropylene glycol monomethyl ether, diethylene glycol monobutyl ether and dipropylene glycol monobutyl Ether and the like.

其中,有機溶媒較佳為由下述式(O-1)所表示的化合物。   RO1 -(-O-RO2 -)n -ORO3 ···(O-1)   ·RO1 RO1 及RO3 分別獨立地為氫原子、碳數1~12(較佳為1~6,更佳為1~3)的烷基、碳數6~14(較佳為6~10)的芳基、或碳數7~15(較佳為7~11)的芳烷基。其中,RO3 較佳為氫原子。 ·RO2 RO2 為碳數1以上、12以下的伸烷基。當存在多個RO2 時,各RO2 可不同。RO2 較佳為碳數2~10,更佳為碳數2~6,特佳為碳數2~4。RO2 可為直鏈狀,亦可為分支狀,還可採取環結構。 ·n n為0以上、12以下的整數,較佳為0以上、6以下。當n為2以上時,多個RO2 可相互不同。再者,當n=0時,RO1 及RO3 不同時為氫原子。 RO1 ~RO3 可相互連結而形成環。此處,作為所形成的環,可列舉3員環至7員環,較佳為5員環或6員環。例如,可列舉RO1 及RO2 連結而形成四氫呋喃結構或四氫吡喃結構,並於其中取代有-ORO3 的化合物等。Among them, the organic solvent is preferably a compound represented by the following formula (O-1). R O1 -(-OR O2 -) n -OR O3 ···(O-1) ·R O1 R O1 and R O3 are each independently a hydrogen atom and have a carbon number of 1 to 12 (preferably 1 to 6, more preferably It is preferably an alkyl group of 1 to 3), an aryl group having 6 to 14 carbon atoms (preferably 6 to 10) or an aralkyl group having 7 to 15 carbon atoms (preferably 7 to 11). Among them, R O3 is preferably a hydrogen atom. R O2 R O2 is an alkylene group having 1 or more carbon atoms and 12 or less carbon atoms. When there are a plurality of R O 2 , each R O 2 may be different. R O2 is preferably a carbon number of 2 to 10, more preferably a carbon number of 2 to 6, and particularly preferably a carbon number of 2 to 4. R O2 may be linear or branched, and may also have a ring structure. Nn is an integer of 0 or more and 12 or less, preferably 0 or more and 6 or less. When n is 2 or more, a plurality of R O2s may be different from each other. Further, when n = 0, R O1 and R O3 are not hydrogen atoms at the same time. R O1 to R O3 may be bonded to each other to form a ring. Here, as the ring to be formed, a 3-membered ring to a 7-membered ring is preferable, and a 5-membered ring or a 6-membered ring is preferable. For example, a compound in which R O1 and R O2 are bonded to each other to form a tetrahydrofuran structure or a tetrahydropyran structure, and in which -OR O3 is substituted may be mentioned.

於蝕刻液中,有機溶媒的濃度較佳為99質量%以下,更佳為98質量%以下,進而更佳為97質量%以下,特佳為96質量%以下。作為下限,較佳為50質量%以上,更佳為60質量%以上,進而佳為70質量%以上,進而更佳為80質量%以上,特佳為90質量%以上。藉由將有機溶媒設為所述範圍,可降低水的濃度。另一方面,可適當促進所述氟離子或取代對苯二酚化合物的作用並可實現使金屬層(第二層)的良好的蝕刻性與第一層或第三層的保護性並存,故較佳。 再者,於本發明中,所述有機溶媒可僅使用一種,亦可併用兩種以上。當併用兩種以上時,其併用比例並無特別限定,但合計使用量較佳為兩種以上的總和為所述濃度範圍。The concentration of the organic solvent in the etching solution is preferably 99% by mass or less, more preferably 98% by mass or less, still more preferably 97% by mass or less, and particularly preferably 96% by mass or less. The lower limit is preferably 50% by mass or more, more preferably 60% by mass or more, still more preferably 70% by mass or more, still more preferably 80% by mass or more, and particularly preferably 90% by mass or more. By setting the organic solvent to the above range, the concentration of water can be lowered. On the other hand, the action of the fluoride ion or the substituted hydroquinone compound can be appropriately promoted, and the good etching property of the metal layer (second layer) and the protective property of the first layer or the third layer can be achieved, so that Preferably. Furthermore, in the present invention, the organic solvent may be used alone or in combination of two or more. When two or more types are used in combination, the ratio of the combined use is not particularly limited, but the total amount used is preferably a total of two or more of the above concentration ranges.

於本說明書中,當化合物、取代基、連結基等含有烷基、伸烷基、烯基、伸烯基、炔基及/或伸炔基時,該些可為環狀,亦可為鏈狀,另外,可為直鏈,亦可進行分支,可由任意的基取代,亦可未經取代。此時,烷基、伸烷基、烯基、伸烯基、炔基及伸炔基可夾雜含有雜原子的基(例如O、S、CO及NRN 等),亦可伴隨於此而形成環結構。另外,當含有芳基、雜環基等時,該些可為單環,亦可為縮環,同樣地可經取代,亦可未經取代。 所述RN 為氫原子或取代基。作為取代基,較佳為烷基(碳數較佳為1~24,更佳為1~12,進而更佳為1~6,特佳為1~3)、烯基(碳數較佳為2~24,更佳為2~12,進而更佳為2~6,特佳為2~3)、炔基(碳數較佳為2~24,更佳為2~12,進而更佳為2~6,特佳為2~3)、碳數6~10的芳基及碳數7~11的芳烷基。 於本說明書中,至於以化合物的取代基及連結基的選擇項為首的溫度、厚度等各技術事項,可於其列表中分別獨立地記載,亦可相互組合。 於本說明書中,當於末尾標註化合物或酸等來特定化合物時,於起到本發明的效果的範圍內,表示除所述化合物以外,亦包含其離子及鹽。另外,同樣地表示包含其衍生物。In the present specification, when a compound, a substituent, a linking group or the like contains an alkyl group, an alkylene group, an alkenyl group, an alkenyl group, an alkynyl group and/or an alkynyl group, these may be cyclic or chain. Further, it may be a straight chain, may be branched, may be substituted by any base, or may be unsubstituted. In this case, an alkyl group, an alkylene group, an alkenyl group, an alkenyl group, an alkynyl group, and an alkynyl group may be substituted with a hetero atom-containing group (for example, O, S, CO, and NR N ), or may be formed therewith. Ring structure. Further, when an aryl group, a heterocyclic group or the like is contained, the one may be a monocyclic ring or a condensed ring, and may be substituted or unsubstituted. The R N is a hydrogen atom or a substituent. The substituent is preferably an alkyl group (the number of carbon atoms is preferably from 1 to 24, more preferably from 1 to 12, still more preferably from 1 to 6, particularly preferably from 1 to 3), and an alkenyl group (preferably, the carbon number is preferably 2 to 24, more preferably 2 to 12, still more preferably 2 to 6, particularly preferably 2 to 3), an alkynyl group (the carbon number is preferably 2 to 24, more preferably 2 to 12, and still more preferably 2 to 6, particularly preferably 2 to 3), an aryl group having 6 to 10 carbon atoms, and an aralkyl group having 7 to 11 carbon atoms. In the present specification, each of technical matters such as temperature and thickness, which are based on the substituents of the compound and the selection of the linking group, may be independently described in the list or may be combined with each other. In the present specification, when a specific compound such as a compound or an acid is labeled at the end, it is also included in the range of the effect of the present invention, in addition to the compound, an ion and a salt thereof. In addition, the derivative is also included in the same manner.

(水) 於本發明的蝕刻液中較佳為含有水(水介質)。作為水(水介質),可為於無損本發明的效果的範圍內含有溶解成分的水性介質、或者亦可含有不可避免的微量混合成分。其中,較佳為蒸餾水或離子交換水、或超純水等實施了淨化處理的水,特佳為使用半導體製造中所使用的超純水。水的濃度並無特別限定,但於蝕刻液中,較佳為0.01質量%以上,較佳為0.1質量%以上,特佳為1質量%以上。作為上限,較佳為50質量%以下,更佳為35質量%以下,進而佳為15質量%以下,進而更佳為10質量%以下,特佳為5質量%以下。 於本發明中,較佳為將蝕刻液的水的濃度規定成既定的範圍。於不存在水的狀態下,有時不會充分地顯示出金屬層的蝕刻作用。就該觀點而言,較佳為應用水,藉由將其量抑制成適量,可抑制矽或鍺的層,進而其矽化物層及其他應保護的金屬層的損傷,故較佳。(Water) The etching liquid of the present invention preferably contains water (aqueous medium). The water (aqueous medium) may be an aqueous medium containing a dissolved component in the range which does not impair the effects of the present invention, or may contain an unavoidable trace mixed component. Among them, water subjected to purification treatment such as distilled water, ion-exchanged water, or ultrapure water is preferable, and ultrapure water used in semiconductor production is particularly preferably used. The concentration of the water is not particularly limited, but is preferably 0.01% by mass or more, preferably 0.1% by mass or more, and particularly preferably 1% by mass or more in the etching solution. The upper limit is preferably 50% by mass or less, more preferably 35% by mass or less, still more preferably 15% by mass or less, still more preferably 10% by mass or less, and particularly preferably 5% by mass or less. In the present invention, it is preferred to set the concentration of water in the etching solution to a predetermined range. In the absence of water, the etching action of the metal layer may not be sufficiently exhibited. From this point of view, it is preferred to apply water, and it is preferable to suppress the amount of ruthenium or osmium, and further damage the ruthenium layer and other metal layers to be protected by suppressing the amount thereof to an appropriate amount.

(界面活性劑) 於本發明的蝕刻液中可含有界面活性劑。界面活性劑並無特別限定,可適宜應用陰離子性界面活性劑、陽離子性界面活性劑、非離子性界面活性劑、包含高分子化合物的界面活性劑、氟系界面活性劑或聚氧伸烷基系界面活性劑等。 相對於蝕刻液的總量,界面活性劑的濃度較佳為含有20質量%以下,更佳為10質量%以下,進而更佳為於1質量%以下的範圍內含有。作為下限值,較佳為含有0.001質量以上%,更佳為含有0.005質量%以上。界面活性劑可單獨使用一種,亦可將兩種以上組合使用。(Surfactant) A surfactant may be contained in the etching solution of the present invention. The surfactant is not particularly limited, and an anionic surfactant, a cationic surfactant, a nonionic surfactant, a surfactant containing a polymer compound, a fluorine-based surfactant, or a polyoxyalkylene group can be suitably used. It is a surfactant and the like. The concentration of the surfactant is preferably 20% by mass or less, more preferably 10% by mass or less, and still more preferably 1% by mass or less based on the total amount of the etching liquid. The lower limit is preferably 0.001% by mass or more, and more preferably 0.005% by mass or more. The surfactants may be used alone or in combination of two or more.

(pH調整劑) 於本發明的蝕刻液中,亦可使用pH調整劑。作為pH調整劑,為了提昇pH,可使用:四甲基銨及膽鹼等四級銨鹽、氫氧化鉀等氫氧化鹼或鹼土鹽、2-胺基乙醇及胍等胺基化合物。為了降低pH,可列舉:碳酸、鹽酸、硝酸、硫酸、磷酸等無機酸,或甲酸、乙酸、丙酸、丁酸、戊酸、2-甲基丁酸、正己酸、3,3-二甲基丁酸、2-乙基丁酸、4-甲基戊酸、正庚酸、2-甲基己酸、正辛酸、2-乙基己酸、苯甲酸、乙醇酸、水楊酸、甘油酸、草酸、丙二酸、丁二酸、戊二酸、己二酸、庚二酸、順丁烯二酸、鄰苯二甲酸、蘋果酸、酒石酸、檸檬酸及乳酸等。 pH調整劑的使用量並無特別限定,只要以用於將pH調整成所述範圍所需的量使用即可。pH調整劑可單獨使用一種,亦可將兩種以上組合使用。(pH Adjusting Agent) A pH adjusting agent can also be used in the etching liquid of the present invention. As the pH adjuster, in order to raise the pH, a quaternary ammonium salt such as tetramethylammonium or choline, an alkali hydroxide or alkaline earth salt such as potassium hydroxide, an amine compound such as 2-aminoethanol or hydrazine can be used. In order to lower the pH, a mineral acid such as carbonic acid, hydrochloric acid, nitric acid, sulfuric acid or phosphoric acid, or formic acid, acetic acid, propionic acid, butyric acid, valeric acid, 2-methylbutyric acid, n-hexanoic acid or 3,3-dimethylidene may be mentioned. Butyric acid, 2-ethylbutyric acid, 4-methylpentanoic acid, n-heptanoic acid, 2-methylhexanoic acid, n-octanoic acid, 2-ethylhexanoic acid, benzoic acid, glycolic acid, salicylic acid, glycerol Acid, oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, pimelic acid, maleic acid, phthalic acid, malic acid, tartaric acid, citric acid and lactic acid. The amount of the pH adjuster to be used is not particularly limited as long as it is used in an amount required to adjust the pH to the above range. The pH adjusters may be used alone or in combination of two or more.

(腐蝕電位) 本發明的蝕刻液較佳為其腐蝕電位得到調節。具體而言,於藉由後述實施例中提示的測定方法所定義的腐蝕電位中,較佳為-0.25以上,更佳為-0.2以上,進而佳為-0.1以上,進而更佳為0以上,特佳為0.1以上。作為上限,較實際的是0.5以下。(Corrosion Potential) The etching liquid of the present invention is preferably adjusted in such a corrosion potential. Specifically, the corrosion potential defined by the measurement method proposed in the examples described later is preferably -0.25 or more, more preferably -0.2 or more, further preferably -0.1 or more, and still more preferably 0 or more. Very good is 0.1 or more. As the upper limit, it is practically 0.5 or less.

(套組) 本發明中的蝕刻液可設為將其原料分割為多份而成的套組。例如,可列舉準備於水介質中含有所述氟離子的液組成物作為第1液,且準備於水介質中含有所述取代對苯二酚化合物的液組成物作為第2液的形態。作為其使用例,較佳為將兩液混合而對蝕刻液進行調製液體,然後適時地應用於所述蝕刻處理的形態。有機溶媒等可含有任一種。藉由此種方式,可不會因取代對苯二酚化合物的分解導致液性能的劣化,且可有效地發揮所期望的蝕刻作用。第1液中的氟離子的濃度或第2液中的取代對苯二酚化合物的濃度可以事先敍述的1液的調配量為基礎,以混合後的濃度的形式進行適宜設定。(Set) The etching liquid in the present invention can be a set in which the raw material is divided into a plurality of parts. For example, a liquid composition containing the fluorine ion in an aqueous medium is used as the first liquid, and a liquid composition containing the substituted hydroquinone compound in the aqueous medium is prepared as the second liquid. As an example of use, it is preferred to mix the two liquids to prepare a liquid for the etching liquid, and then apply it to the etching treatment in a timely manner. The organic solvent or the like may contain either one. In this manner, the deterioration of the liquid property is not caused by the decomposition of the substituted hydroquinone compound, and the desired etching action can be effectively exerted. The concentration of the fluoride ion in the first liquid or the concentration of the substituted hydroquinone compound in the second liquid can be appropriately set in the form of the concentration after mixing based on the amount of the one liquid described above.

(濃縮液) 本發明的蝕刻液可作為濃縮液而準備。該情況下,當使用時,可利用水進行稀釋來使用。(Concentrate) The etching solution of the present invention can be prepared as a concentrate. In this case, when used, it can be used by dilution with water.

(容器) 本發明的蝕刻液(不論是否為套組)只要腐蝕性等不成為問題,則可填充至任意的容器中來保管、搬運,然後使用。另外,面向半導體用途,較佳為容器的清潔度高、雜質的溶出少者。作為可使用的容器,可列舉愛塞璐化學(Aicello Chemical)(股份)製造的「清潔瓶(Clean Bottle)」系列、兒玉樹脂工業(Kodama Plastics)(股份)製造的「潔淨瓶(Pure Bottle)」(均為商品名)等,但並不限定於該些容器。(Container) The etching liquid of the present invention (whether or not it is a set) can be stored in a container, stored, transported, and used as long as it does not cause problems such as corrosiveness. Further, for semiconductor applications, it is preferred that the container has a high degree of cleanliness and a small amount of impurities are eluted. As a usable container, a "Clean Bottle" series manufactured by Aicello Chemical Co., Ltd., and a Pure Bottle manufactured by Kodama Plastics Co., Ltd. can be cited. (all are product names), etc., but are not limited to these containers.

(雜質、顆粒) 本發明的蝕刻液鑒於其使用用途,較佳為液中的雜質,例如金屬成分等少。尤其,液中的Na、K及Ca離子濃度較佳為分別處於1 ppt~1 ppm(質量基準)的範圍內、或其以下。為了達成此種離子濃度,當準備本申請案的蝕刻液的構成成分(原材料)時,較佳為選擇金屬成分少的原材料,尤其,關於所述有機溶媒,可推薦使用Na、K及Ca離子濃度分別為1 ppt~1 ppm(質量基準)的有機溶劑。後述的實施例的有機溶劑為該Na、K及Ca離子濃度處於範圍內者。 另外,於蝕刻液中,平均粒徑為0.5 μm以上的粗大粒子(顆粒)數較佳為處於100個/cm3 以下的範圍內,較佳為處於50個/cm3 以下的範圍內。(Impurities, Particles) The etching liquid of the present invention is preferably an impurity in a liquid, for example, a metal component, etc., in view of its use. In particular, the Na, K, and Ca ion concentrations in the liquid are preferably in the range of 1 ppt to 1 ppm (mass basis) or less. In order to achieve such an ion concentration, when preparing the constituent component (raw material) of the etching liquid of the present application, it is preferable to select a material having a small metal component, and in particular, Na, K, and Ca ions can be recommended for the organic solvent. An organic solvent having a concentration of 1 ppt to 1 ppm (mass basis). The organic solvent of the examples described later is such that the Na, K, and Ca ion concentrations are within the range. Further, in the etching liquid, the number of coarse particles (particles) having an average particle diameter of 0.5 μm or more is preferably in the range of 100/cm 3 or less, and preferably in the range of 50 / cm 3 or less.

[蝕刻條件] 作為蝕刻液的應用例,較佳為調製蝕刻液,其後適時地應用於所述蝕刻處理的形態。藉此,不會招致由各成分的分解所引起的液體性能的劣化,可使所期望的蝕刻作用有效地發揮。此處,所謂混合後「適時」,是指混合後至失去所期望的作用為止的時期,具體而言,較佳為60分鐘以內,更佳為30分鐘以內,進而更佳為10分鐘以內,特佳為1分鐘以內。並不特別存在下限,但較實際的是1秒以上。[Etching Condition] As an application example of the etching liquid, it is preferable to prepare an etching liquid, and thereafter apply it to the form of the etching processing as appropriate. Thereby, the deterioration of the liquid property caused by the decomposition of each component is not caused, and the desired etching action can be effectively exhibited. Here, the term "in time" after mixing means a period from the time of mixing to the loss of a desired effect, and specifically, it is preferably within 60 minutes, more preferably within 30 minutes, and even more preferably within 10 minutes. Very good for less than 1 minute. There is no particular lower limit, but it is more than 1 second.

若使用圖3進行說明,則自噴出口13噴射所製備的蝕刻液,並應用於處理容器(處理槽)11內的半導體基板S的上表面。於該圖所示的實施形態中,自A供給藥液,並經由分岔點14及流路fc而轉移至噴出口13。流路fd表示用以再利用藥液的返回路徑。半導體基板S較佳為位於旋轉台12上,並藉由旋轉驅動部M而與旋轉台一同旋轉。再者,分岔點14可列舉作為切換閥的例子,可切換藥液的供給與返回來進行處理。或者,亦可應用同時進行藥液的供給與返回的可調節流通方向的閥。As will be described with reference to Fig. 3, the prepared etching liquid is ejected from the ejection port 13 and applied to the upper surface of the semiconductor substrate S in the processing container (processing tank) 11. In the embodiment shown in the figure, the chemical liquid is supplied from A, and is transferred to the discharge port 13 via the branching point 14 and the flow path fc. The flow path fd represents a return path for recycling the medical liquid. The semiconductor substrate S is preferably located on the turntable 12 and rotated together with the turntable by the rotary drive unit M. Further, the branching point 14 can be exemplified as a switching valve, and the supply and return of the chemical liquid can be switched to perform processing. Alternatively, a valve that adjusts the flow direction of the supply and return of the chemical solution at the same time may be applied.

再者,本發明的蝕刻液鑒於其使用用途,較佳為液中的雜質,例如金屬成分等少。尤其,液中的Na、K及Ca各個的離子濃度較佳為處於1 ppt~1 ppm(質量基準)的範圍內。另外,於蝕刻液中,平均粒徑為0.5 μm以上的粗大粒子數較佳為處於100個/cm3 以下的範圍內,較佳為處於50個/cm3 以下的範圍內。Further, the etching liquid of the present invention is preferably an impurity in a liquid, for example, a metal component, etc., in view of its use. In particular, the ion concentration of each of Na, K, and Ca in the liquid is preferably in the range of 1 ppt to 1 ppm (mass basis). Further, in the etching liquid, the number of coarse particles having an average particle diameter of 0.5 μm or more is preferably in the range of 100 pieces/cm 3 or less, and preferably in the range of 50 pieces/cm 3 or less.

於本發明中,較佳為使用單晶圓處理(single wafer processing)裝置。具體而言,單晶圓處理裝置較佳為具有處理槽,利用該處理槽搬送所述半導體基板或使其旋轉,向該處理槽內賦予(噴出、噴射、流下或滴加等)所述蝕刻液,而使所述蝕刻液接觸半導體基板者。 作為單晶圓處理裝置的優點,可列舉(i)始終供給新鮮的蝕刻液,因此再現性良好及(ii)面內均勻性高。進行線的溫度調節時的管理溫度較佳為設為與後述處理溫度相同的範圍。 單晶圓處理裝置較佳為於其處理槽中具備噴嘴,較佳為使該噴嘴於半導體基板的面方向搖擺來對半導體基板噴出蝕刻液的方法。藉此,可防止液體的劣化而較佳。另外,藉由設為套組而分為兩種液體以上,可使氣體等難以產生而較佳。In the present invention, it is preferred to use a single wafer processing device. Specifically, the single wafer processing apparatus preferably includes a processing tank, and the semiconductor substrate is transported or rotated by the processing tank, and the etching is performed (discharged, ejected, flowed, dropped, etc.) into the processing tank. Liquid, which causes the etching liquid to contact the semiconductor substrate. As an advantage of the single-wafer processing apparatus, (i) a fresh etching liquid is always supplied, so that reproducibility is good and (ii) in-plane uniformity is high. The management temperature at the time of temperature adjustment of the wire is preferably set to the same range as the processing temperature to be described later. The single wafer processing apparatus preferably includes a nozzle in the processing tank, and preferably a method in which the nozzle swings in the surface direction of the semiconductor substrate to eject an etching liquid onto the semiconductor substrate. Thereby, deterioration of the liquid can be prevented, and it is preferable. Moreover, it is preferable to divide into two types of liquids by setting it as a kit, and it is preferable to make a gas etc. difficult, and it is preferable.

進行蝕刻的處理溫度較佳為10℃以上,更佳為20℃以上。作為上限,較佳為80℃以下,更佳為70℃以下,進而佳為60℃以下,進而更佳為50℃以下,特佳為40℃以下。藉由設為所述下限值以上,可確保對於第二層的充分的蝕刻速度而較佳。藉由設為所述上限值以下,可維持蝕刻處理速度的經時穩定性而較佳。另外,可於室溫附近進行處理,藉此帶來能量消耗的削減。 再者,蝕刻的處理溫度將後述實施例中所示的溫度測定方法中應用於基板的溫度作為基礎,但亦能夠以保存溫度來設定,或於利用批次處理進行管理的情況下以其槽內的溫度來設定,於利用循環系統進行管理的情況下以循環流路內的溫度來設定。The treatment temperature for etching is preferably 10 ° C or higher, more preferably 20 ° C or higher. The upper limit is preferably 80 ° C or lower, more preferably 70 ° C or lower, further preferably 60 ° C or lower, more preferably 50 ° C or lower, and particularly preferably 40 ° C or lower. By setting it as the said lower limit or more, it is preferable to ensure sufficient etching rate of a 2nd layer. By setting it as the said upper limit or less, it is preferable to maintain the time-lapse stability of the etching process speed. In addition, the treatment can be performed at around room temperature, thereby reducing energy consumption. Further, the processing temperature of the etching is based on the temperature applied to the substrate in the temperature measuring method described in the examples below, but it can also be set at the storage temperature or in the case of management by batch processing. The internal temperature is set, and when it is managed by the circulation system, it is set by the temperature in the circulation flow path.

蝕刻液的供給速度並無特別限定,但較佳為設為0.05 L/min~5 L/min,更佳為設為0.1 L/min~3 L/min。藉由設為所述下限值以上,可更良好地確保蝕刻的面內的均勻性而較佳。藉由設為所述上限值以下,可於連續處理時確保穩定的性能而較佳。當使半導體基板旋轉時,雖然取決於其大小等,但就與所述相同的觀點而言,較佳為以50 rpm~1000 rpm進行旋轉。The supply rate of the etching liquid is not particularly limited, but is preferably 0.05 L/min to 5 L/min, and more preferably 0.1 L/min to 3 L/min. By setting it as the said lower limit or more, the uniformity of the in-plane of etching is more favorable, and it is preferable. By setting it as the said upper limit or less, it is preferable to ensure stable performance at the time of continuous process. When the semiconductor substrate is rotated, depending on the size and the like, it is preferable to rotate at 50 rpm to 1000 rpm from the same viewpoint as described above.

於本發明的較佳的實施形態的單晶圓處理的蝕刻中,較佳為於既定的方向上搬送半導體基板或使其旋轉,於其空間內噴射蝕刻液來使所述蝕刻液接觸所述半導體基板。蝕刻液的供給速度或基板的旋轉速度與所述相同。 於本發明的較佳的實施形態的單晶圓處理的裝置構成中,較佳為如圖4所示一面使噴出口(噴嘴)移動,一面賦予蝕刻液。具體而言,於本實施形態中,當針對半導體基板S應用蝕刻液時,使基板於r方向旋轉。另一方面,使噴出口沿著自半導體基板的中心部延伸至端部的移動軌跡線t移動。如上所述而於本實施形態中,將基板的旋轉方向與噴出口的移動方向設定成不同的方向,藉此兩者相互進行相對運動。其結果,可無遺漏地將蝕刻液賦予至半導體基板的整個面上,而變成適宜地確保蝕刻的均勻性的構成。 噴出口(噴嘴)的移動速度並無特別限定,但較佳為0.1 cm/s以上,更佳為1 cm/s以上。另一方面,作為其上限,較佳為30 cm/s以下,更佳為15 cm/s以下。移動軌跡線可為直線,亦可為曲線(例如圓弧狀)。於任一種情況下,移動速度均可根據實際的軌跡線的距離與其移動所耗費的時間來算出。1片基板的蝕刻所需要的時間較佳為10秒~300秒的範圍。In the etching of the single wafer process according to the preferred embodiment of the present invention, it is preferable that the semiconductor substrate is transferred or rotated in a predetermined direction, and an etching liquid is sprayed in the space to bring the etching liquid into contact with the etching liquid. Semiconductor substrate. The supply speed of the etching liquid or the rotation speed of the substrate is the same as described above. In the apparatus configuration of the single wafer processing according to the preferred embodiment of the present invention, it is preferable to apply an etching liquid while moving the discharge port (nozzle) as shown in Fig. 4 . Specifically, in the present embodiment, when the etching liquid is applied to the semiconductor substrate S, the substrate is rotated in the r direction. On the other hand, the discharge port is moved along a movement trajectory t extending from the central portion of the semiconductor substrate to the end portion. As described above, in the present embodiment, the rotation direction of the substrate and the moving direction of the discharge port are set to be different directions, whereby the two are relatively moved to each other. As a result, the etching liquid can be applied to the entire surface of the semiconductor substrate without fail, and a configuration in which the uniformity of etching is appropriately ensured can be achieved. The moving speed of the discharge port (nozzle) is not particularly limited, but is preferably 0.1 cm/s or more, and more preferably 1 cm/s or more. On the other hand, as the upper limit thereof, it is preferably 30 cm/s or less, more preferably 15 cm/s or less. The moving trajectory can be a straight line or a curve (for example, an arc shape). In either case, the speed of movement can be calculated from the distance of the actual trajectory line and the time it takes to move. The time required for etching one substrate is preferably in the range of 10 seconds to 300 seconds.

所述金屬層較佳為以高蝕刻速率來進行蝕刻。第二層(金屬層)的蝕刻速率[R2]並無特別限定,但考慮到生產效率,較佳為10 Å/min以上,更佳為50 Å/min以上,特佳為100 Å/min以上。並不特別存在上限,但較實際的是1000 Å/min以下。The metal layer is preferably etched at a high etch rate. The etching rate [R2] of the second layer (metal layer) is not particularly limited, but in view of production efficiency, it is preferably 10 Å/min or more, more preferably 50 Å/min or more, and particularly preferably 100 Å/min or more. . There is no special upper limit, but it is more than 1000 Å/min.

金屬層的露出範圍並無特別限定,但就本發明的優點變得更顯著的觀點而言,較佳為2 nm以上,更佳為4 nm以上。同樣地就效果的顯著性的觀點而言,上限值較實際的是1000 nm以下,較佳為100 nm以下,更佳為20 nm以下。The range in which the metal layer is exposed is not particularly limited. However, from the viewpoint that the advantages of the present invention become more remarkable, it is preferably 2 nm or more, and more preferably 4 nm or more. Similarly, from the viewpoint of the significance of the effect, the upper limit value is actually 1000 nm or less, preferably 100 nm or less, more preferably 20 nm or less.

第一層(矽層或鍺層)或第三層(矽化物層)的蝕刻速率[R1]並無特別限定,但較佳為不過度地去除,進而佳為100 Å/min以下,進而更佳為60 Å/min以下,特佳為40 Å/min以下。並不特別存在下限,但若考慮測定極限,則較實際的是0.1 Å/min以上。The etching rate [R1] of the first layer (tantal layer or tantalum layer) or the third layer (halide layer) is not particularly limited, but is preferably not excessively removed, and is preferably 100 Å/min or less, and further It is preferably 60 Å/min or less, and particularly preferably 40 Å/min or less. There is no particular lower limit, but if the measurement limit is taken into consideration, it is more practically 0.1 Å/min or more.

於第二層與第三層或第一層的選擇性蝕刻中,其蝕刻速率比([R2]/[R1])較佳為4以上,更佳為4.5以上,進而更佳為5以上,特佳為5.5以上。上限並無特別規定,越高越佳,但較實際的是100以下,更實際的是50以下,特實際的是15以下。In the selective etching of the second layer and the third layer or the first layer, the etching rate ratio ([R2]/[R1]) is preferably 4 or more, more preferably 4.5 or more, and still more preferably 5 or more. Very good is 5.5 or more. The upper limit is not particularly specified, and the higher the better, but the actual one is 100 or less, and the more practical is 50 or less, and the practical one is 15 or less.

進而,於本發明的較佳的實施形態的蝕刻液中,亦可適宜地抑制Al或W等的金屬電極層,HfO、HfSiO、WO、AlOx 、SiO2 、SiOC、SiON、SiOCN、TiN、SiN或TiAlC等的絕緣膜層(有時將該些總稱為第四層)的損傷,因此應用於包含該些的半導體基板亦較佳。再者,於本說明書中,當藉由其元素的組合來表述金屬化合物的組成時,表示廣泛包含任意的組成者。例如,所謂SiOC(SiON),表示Si與O及C(N)共存,並不表示其量的比率為1:1:1。此表示法於本說明書中通用,其他金屬化合物亦同樣如此。Further, in the etching solution of the preferred embodiment of the present invention, a metal electrode layer such as Al or W may be suitably suppressed, and HfO, HfSiO, WO, AlO x , SiO 2 , SiOC, SiON, SiOCN, TiN, or the like. The insulating film layers such as SiN or TiAlC (which may be collectively referred to as the fourth layer in some cases) are preferably used for the semiconductor substrate including the semiconductor substrates. In addition, in the present specification, when the composition of the metal compound is expressed by a combination of its elements, it means that it contains a wide range of constituents. For example, the term "SiOC" (SiON) means that Si coexists with O and C(N), and does not mean that the ratio of the amount is 1:1:1. This notation is common to this specification and the same is true for other metal compounds.

一片基板的蝕刻所需要的時間較佳為10秒以上,更佳為50秒以上。作為上限,較佳為300秒以下,更佳為200秒以下。The time required for etching one of the substrates is preferably 10 seconds or more, more preferably 50 seconds or more. The upper limit is preferably 300 seconds or shorter, more preferably 200 seconds or shorter.

[半導體基板製品的製造] 於本實施形態中,較佳為經由如下的步驟來製造具有所期望的結構的半導體基板製品:於矽晶圓上形成所述矽層與金屬層來製成半導體基板的步驟;對所述半導體基板進行退火的步驟;將蝕刻液賦予至半導體基板上,使蝕刻液與金屬層接觸,並選擇性地去除所述金屬層的步驟。此時,於蝕刻中使用所述特定的蝕刻液。所述步驟的順序並不限制地進行解釋,亦可於各個步驟間進而包含其他步驟。 晶圓尺寸並無特別限定,可適宜地使用直徑為8吋、直徑為12吋、或直徑為14吋者(1吋=25.4 mm)。 再者,當於本說明書中談及「準備」時,表示除對特定的材料進行合成或調配等來準備以外,亦包含藉由購入等來籌措既定的物質。另外,於本說明書中,將以對半導體基板的各材料進行蝕刻的方式使用蝕刻液稱為「應用」,但其實施形態並無特別限定。例如,廣泛包含使蝕刻液與基板接觸,具體而言,可利用批次式裝置進行浸漬來進行蝕刻,亦可利用單晶圓處理裝置藉由噴出來進行蝕刻。 於本說明書中,所謂半導體基板,是以不僅包含晶圓,而且包含在其中施加有電路結構的基板結構體整體的含義來使用。所謂半導體基板構件,是指構成所述定義的半導體基板的構件,可包含一種材料,亦可包含多種材料。再者,有時將加工完的半導體基板作為半導體基板製品來區別稱呼,視需要進一步進行區別,將對其進行加工並切割後取出的晶片及其加工製品稱為半導體元件。即,半導體元件或組裝有半導體元件的半導體製品廣義上屬於半導體基板製品。 [實施例][Production of Semiconductor Substrate Product] In the present embodiment, it is preferable to manufacture a semiconductor substrate product having a desired structure by forming the germanium layer and the metal layer on a germanium wafer to form a semiconductor substrate. a step of annealing the semiconductor substrate; a step of applying an etchant to the semiconductor substrate, contacting the etchant with the metal layer, and selectively removing the metal layer. At this time, the specific etching liquid is used in etching. The order of the steps is not limited, and other steps may be included between the steps. The wafer size is not particularly limited, and a diameter of 8 Å, a diameter of 12 Å, or a diameter of 14 Å (1 吋 = 25.4 mm) can be suitably used. In addition, when "preparation" is referred to in the present specification, it means that, in addition to preparation for synthesis or blending of a specific material, it is also included to purchase a predetermined substance by purchase or the like. In addition, in the present specification, the use of an etching liquid for etching each material of a semiconductor substrate is referred to as "application", but the embodiment thereof is not particularly limited. For example, it is widely used to bring the etching liquid into contact with the substrate. Specifically, the etching may be performed by immersion in a batch type apparatus, or may be performed by spraying by a single wafer processing apparatus. In the present specification, the semiconductor substrate is used in the meaning of not only the wafer but also the entire substrate structure in which the circuit structure is applied. The semiconductor substrate member refers to a member constituting the defined semiconductor substrate, and may include one material or a plurality of materials. Further, the processed semiconductor substrate may be referred to as a semiconductor substrate product in a different manner, and may be further distinguished as necessary, and the wafer and the processed product which are processed and cut out are referred to as a semiconductor element. That is, a semiconductor element or a semiconductor article in which a semiconductor element is incorporated is broadly classified as a semiconductor substrate product. [Examples]

以下,列舉實施例來更詳細地說明本發明,但本發明並不限定於以下的實施例。再者,只要事先無特別說明,實施例中作為配方或調配量所示的%及份為質量基準。Hereinafter, the present invention will be described in more detail by way of examples, but the present invention is not limited to the following examples. In addition, unless otherwise indicated, the % and the part shown as a formulation or a compounding quantity in an Example are a mass basis.

[實施例1·比較例1] (試驗基板的製作) 使Si膜於市售的矽基板(直徑:12吋)上磊晶成長,並以厚度為500 Å(50 nm)的膜厚形成。進而,藉由CVD(chemical vapor deposition)而於所述Si磊晶層上形成Ti的層。於800℃下對其進行10秒退火,形成矽化物層來作為試驗基板。退火後的矽化物層的厚度為15 nm,金屬層的厚度為5 nm。 準備其他膜亦以相同方式藉由CVD等來製成的毯覆晶圓(blanket wafer)。於下表的試驗中,使用該些各試驗晶圓測定各層的蝕刻速度。[Example 1 and Comparative Example 1] (Preparation of test substrate) The Si film was epitaxially grown on a commercially available ruthenium substrate (diameter: 12 Å), and was formed to have a thickness of 500 Å (50 nm). Further, a layer of Ti is formed on the Si epitaxial layer by CVD (chemical vapor deposition). This was annealed at 800 ° C for 10 seconds to form a telluride layer as a test substrate. The annealed telluride layer has a thickness of 15 nm and the metal layer has a thickness of 5 nm. A blanket wafer made of CVD or the like in the same manner as other films is prepared. In the tests in the table below, the etch rates of the layers were determined using each of the test wafers.

(蝕刻試驗) 利用單晶圓處理裝置(SPS-歐洲(SPS-Europe)B. V.公司製造的保羅斯(POLOS)(商品名)),於下述的條件下對所述空白晶圓及試驗用基板進行蝕刻,並實施評價試驗。 ·處理溫度:24℃ 室溫 ·供給速度:1 L/min. ·晶圓轉速:500 rpm ·噴嘴移動速度:7 cm/S 再者,蝕刻液的供給以一種液體來進行(使用圖3的A線)。各處理試驗於調製液體後立即進行。(Etching test) The blank wafer and the test substrate were subjected to the following conditions using a single wafer processing apparatus (PSS-Europe (BOS-Europe) BV company, POLOS (trade name)). Etching was performed and an evaluation test was carried out. ·Processing temperature: 24 ° C Room temperature · Supply speed: 1 L/min. · Wafer rotation speed: 500 rpm · Nozzle movement speed: 7 cm / S Further, the supply of the etching liquid is performed as a liquid (using the Line A). Each treatment test was carried out immediately after the liquid was prepared.

(處理溫度的測定方法) 將堀場製作所股份有限公司製造的放射溫度計IT-550F(商品名)固定於所述單晶圓處理裝置內的晶圓上30 cm的高度處。使溫度計朝向自晶圓中心起2 cm外側的晶圓表面上,一面流入藥液一面測量溫度。自放射溫度計進行數位輸出,並利用個人電腦連續地記錄溫度。其中,將對溫度穩定的10秒的溫度進行平均所得的值設為晶圓上的溫度。(Measurement Method of Processing Temperature) A radiation thermometer IT-550F (trade name) manufactured by Horiba, Ltd. was fixed at a height of 30 cm on the wafer in the single wafer processing apparatus. The thermometer was placed on the surface of the wafer 2 cm outside the center of the wafer, and the temperature was measured while flowing into the liquid. The self-radiation thermometer performs digital output and continuously records the temperature using a personal computer. Here, the value obtained by averaging the temperature-stable temperature for 10 seconds is set as the temperature on the wafer.

(蝕刻速度[ER]) 關於蝕刻速度(ER),藉由利用橢圓偏光法(使用分光橢圓儀,J.A.伍拉姆日本(J.A. Woollam JAPAN)股份有限公司的瓦賽(Vase)商品名))測定蝕刻處理前後的膜厚來算出。採用5點的平均值(測定條件 測定範圍:1.2 eV-2.5 eV,測定角:70度、75度)。將各層的蝕刻速度的測定結果示於下表中。(etching speed [ER]) The etching rate (ER) is determined by ellipsometry (using a spectroscopic ellipsometer, JA Woollam JAPAN Co., Ltd., Vase) The film thickness before and after the etching treatment was calculated. The average value of 5 points was used (measurement conditions: measurement range: 1.2 eV-2.5 eV, measurement angle: 70 degrees, 75 degrees). The measurement results of the etching rates of the respective layers are shown in the following table.

[表1] [Table 1]

<表的註釋> DGMBE:二乙二醇單丁基醚 EGMBE:乙二醇單丁基醚 EGMEE:乙二醇單乙基醚 TiSi:矽化鈦矽 調配量:質量份 成分(A):氟離子源 成分(B):水 成分(C):有機溶媒(質子性極性有機溶媒) 成分(D):取代對苯二酚化合物等<Notes on the table> DGMBE: Diethylene glycol monobutyl ether EGMBE: Ethylene glycol monobutyl ether EGMEE: Ethylene glycol monoethyl ether TiSi: Titanium telluride Formulation amount: Parts by mass (A): Fluoride ion Source component (B): water component (C): organic solvent (proton polar organic solvent) component (D): substituted hydroquinone compound, etc.

<腐蝕電位的測定方法> 裝置:普林斯頓應用研究(Princeton Applied Research)公司 型號為263A(商品名) 基板:利用5%HF進行30 sec處理,並去除了表面的自然氧化膜的Si基板(P型,1 Ω·cm~35 Ω·cm) 利用H2 O 5質量%+溶劑(二乙二醇單丁基醚)+NaClO4 0.1質量%+氧化劑 0.08 mol/kg的藥液測定腐蝕電位。 具體而言,利用將H2 O 5質量%、溶劑(二乙二醇單丁基醚)殘部、NaClO4 0.1質量%、及氧化劑 0.08 mol/kg混合而成的藥液測定腐蝕電位。此處,過氯酸鈉作為利用溶劑系的測定中的支持電解質而添加。測定程序如下所述。 1.夾持實施了特定前處理的基板(測定材料)作為作用電極(Working electrode)。 2.夾持填充有飽和KCl/AgCl溶液的Ag/AgCl參照電極作為參照電極(Reference electrode)。 3.夾持鉑相對電極:普林斯頓應用研究公司製造的TCE-1作為相對電極(Counter electrode)。 4.將測定溶液加入至單元中。 5.開始測定。 (1)以線性掃描(linear sweep)模式選擇塔伏曲線(Tafel plot)。 (2)以於開路電位(Open circuit potential)±0.5 V下進行掃描的方式設定。 6.根據V-I圖表讀取腐蝕電位。<Method for Measuring Corrosion Potential> Device: Princeton Applied Research, Model 263A (trade name) Substrate: Si substrate treated with 5% HF for 30 sec and with a natural oxide film removed on the surface (P type) 1 Ω·cm - 35 Ω·cm) The corrosion potential was measured by a chemical solution of H 2 O 5 mass% + solvent (diethylene glycol monobutyl ether) + NaClO 4 0.1 mass% + oxidizing agent 0.08 mol/kg. Specifically, the corrosion potential was measured by a chemical solution obtained by mixing H 2 O 5 % by mass, a solvent (diethylene glycol monobutyl ether) residue, NaClO 4 0.1% by mass, and an oxidizing agent 0.08 mol/kg. Here, sodium perchlorate is added as a supporting electrolyte in the measurement by a solvent system. The measurement procedure is as follows. 1. A substrate (measurement material) on which a specific pretreatment is performed is sandwiched as a working electrode. 2. The Ag/AgCl reference electrode filled with a saturated KCl/AgCl solution was sandwiched as a reference electrode. 3. Clamping platinum counter electrode: TCE-1 manufactured by Princeton Applied Research was used as a counter electrode. 4. Add the assay solution to the unit. 5. Start the measurement. (1) A Tafel plot is selected in a linear sweep mode. (2) Set by scanning at an open circuit potential of ±0.5 V. 6. Read the corrosion potential according to the VI chart.

如根據所述表的結果而可知般,可知根據本發明的蝕刻液,Ti的蝕刻速率高,並可將矽化物層(TiSi)的蝕刻速率抑制得低。另外,可確認對於應用於半導體基板的其他各金屬化合物材料亦可實現適宜的保護性。As is apparent from the results of the above table, it is understood that the etching rate of Ti according to the present invention is high, and the etching rate of the telluride layer (TiSi) can be suppressed low. Further, it was confirmed that suitable protective properties can be achieved for other metal compound materials applied to the semiconductor substrate.

對本發明及該實施方式一倂加以說明,但我們認為只要無特別指定,則在說明的任何細節部分中都不對我們的發明作出限定,應並不違背隨附的申請專利範圍中所示的發明的精神與範圍地廣泛地進行解釋。The invention and the embodiments are described in detail, but we do not limit our invention in any detail of the description unless otherwise specified, and should not contradict the invention shown in the accompanying claims. The spirit and scope are widely explained.

本申請案主張基於2015年2月23日於日本提出專利申請的日本專利特願2015-032597的優先權,該些內容於此進行參照而將其內容作為本說明書的記載的一部分而併入於本申請案中。The priority of Japanese Patent Application No. 2015-032597, filed on Jan. 23,,,,,,,,,,,,,,, In the present application.

1‧‧‧金屬層(第二層)
2‧‧‧含有矽(silicon)或鍺的層(第一層)
3‧‧‧矽化物層(第三層)
11‧‧‧處理容器(處理槽)
12‧‧‧旋轉台
13‧‧‧噴出口
14‧‧‧分岔點
21‧‧‧矽基板
22‧‧‧閘極絕緣膜
23‧‧‧閘極電極
25‧‧‧側壁
26‧‧‧源極電極
26A‧‧‧TiSi(Ge)源極電極部
26B‧‧‧經退火的矽化物源極電極
27‧‧‧汲極電極
27A‧‧‧TiSi(Ge)汲極電極部
27B‧‧‧經退火的矽化物汲極電極
28‧‧‧Ti膜
fc、fd‧‧‧流路
M‧‧‧旋轉驅動部
r‧‧‧方向
S‧‧‧半導體基板
t‧‧‧移動軌跡線
1‧‧‧metal layer (second layer)
2‧‧‧layer containing silicon or germanium (first layer)
3‧‧‧ Telluride layer (third layer)
11‧‧‧Processing container (treatment tank)
12‧‧‧Rotating table
13‧‧‧Spray outlet
14‧‧‧ points
21‧‧‧矽 substrate
22‧‧‧gate insulating film
23‧‧‧gate electrode
25‧‧‧ side wall
26‧‧‧Source electrode
26A‧‧‧TiSi (Ge) source electrode part
26B‧‧‧Annealed Telluride Source Electrode
27‧‧‧汲electrode
27A‧‧‧TiSi (Ge) Bipolar Electrode
27B‧‧‧Annealed Telluride Bipolar Electrode
28‧‧‧Ti film
Fc, fd‧‧‧ flow path
M‧‧‧Rotary Drive Department
R‧‧‧ direction
S‧‧‧Semiconductor substrate
t‧‧‧Mobile track

圖1(a)、圖1(b)、圖1(c)是示意性地表示本發明的一實施形態中的半導體基板的製作步驟例的剖面圖。 圖2(A)、圖2(B)、圖2(C)、圖2(D)及圖2(E)是表示本發明的一實施形態中的金屬氧化物半導體(Metal Oxide Semiconductor,MOS)電晶體的製造例的步驟圖。 圖3是表示本發明的較佳的實施形態的濕式蝕刻裝置的一部分的裝置構成圖。 圖4是示意性地表示本發明的一實施形態中的相對於半導體基板的噴嘴的移動軌跡線的平面圖。1(a), 1(b), and 1(c) are cross-sectional views schematically showing an example of a manufacturing process of a semiconductor substrate according to an embodiment of the present invention. 2(A), 2(B), 2(C), 2(D), and 2(E) show a metal oxide semiconductor (MOS) according to an embodiment of the present invention. A step diagram of a manufacturing example of a transistor. Fig. 3 is a view showing a configuration of a part of a wet etching apparatus according to a preferred embodiment of the present invention. 4 is a plan view schematically showing a movement trajectory of a nozzle with respect to a semiconductor substrate in an embodiment of the present invention.

no

Claims (20)

一種蝕刻液,其為半導體製程用的蝕刻液,且含有氟離子與取代對苯二酚化合物。An etching solution which is an etching solution for a semiconductor process and contains a fluorine ion and a substituted hydroquinone compound. 如申請專利範圍第1項所述的蝕刻液,其含有有機溶媒。The etching solution according to claim 1, which contains an organic solvent. 如申請專利範圍第1項或第2項所述的蝕刻液,其含有水。The etching solution according to claim 1 or 2, which contains water. 如申請專利範圍第1項或第2項所述的蝕刻液,其中所述氟離子的濃度為0.1質量%以上、20質量%以下。The etching liquid according to the first or second aspect of the invention, wherein the concentration of the fluorine ions is 0.1% by mass or more and 20% by mass or less. 如申請專利範圍第1項或第2項所述的蝕刻液,其中所述取代對苯二酚化合物的濃度為0.1質量%以上、10質量%以下。The etching liquid according to the first or second aspect of the invention, wherein the concentration of the substituted hydroquinone compound is 0.1% by mass or more and 10% by mass or less. 如申請專利範圍第2項所述的蝕刻液,其中所述有機溶媒的濃度為50質量%以上、98質量%以下。The etching solution according to claim 2, wherein the concentration of the organic solvent is 50% by mass or more and 98% by mass or less. 如申請專利範圍第3項所述的蝕刻液,其中所述水的濃度為0.1質量%以上、50質量%以下。The etching liquid according to claim 3, wherein the concentration of the water is 0.1% by mass or more and 50% by mass or less. 如申請專利範圍第1項或第2項所述的蝕刻液,其中所述取代對苯二酚化合物由下述式(H1)所表示, [化1]RH1 表示取代基;m1表示1~4的整數。The etching solution according to claim 1 or 2, wherein the substituted hydroquinone compound is represented by the following formula (H1), [Chemical Formula 1] R H1 represents a substituent; m1 represents an integer of 1 to 4. 如申請專利範圍第8項所述的蝕刻液,其中RH1 為烴基。The etching solution according to claim 8, wherein R H1 is a hydrocarbon group. 如申請專利範圍第9項所述的蝕刻液,其中RH1 為烷基。The etching solution according to claim 9, wherein R H1 is an alkyl group. 如申請專利範圍第1項或第2項所述的蝕刻液,其中所述取代對苯二酚化合物的ClogP值為1以上、10以下。The etching liquid according to claim 1 or 2, wherein the substituted hydroquinone compound has a ClogP value of 1 or more and 10 or less. 如申請專利範圍第1項或第2項所述的蝕刻液,其中所述取代對苯二酚化合物為2,5-二-第三丁基對苯二酚、2-第三丁基對苯二酚、2,5-二甲基對苯二酚、或2-甲基對苯二酚。The etching solution according to claim 1 or 2, wherein the substituted hydroquinone compound is 2,5-di-tert-butyl hydroquinone or 2-tert-butyl-p-benzene. Diphenol, 2,5-dimethyl hydroquinone, or 2-methyl hydroquinone. 如申請專利範圍第1項或第2項所述的蝕刻液,其中所述取代對苯二酚化合物相對於矽基板的腐蝕電位為-0.25以上、0.5以下。The etching solution according to the first or second aspect of the invention, wherein the corrosion potential of the substituted hydroquinone compound with respect to the ruthenium substrate is -0.25 or more and 0.5 or less. 如申請專利範圍第2項所述的蝕刻液,其中所述有機溶媒包含醇化合物或醚化合物。The etching solution according to claim 2, wherein the organic solvent comprises an alcohol compound or an ether compound. 如申請專利範圍第2項所述的蝕刻液,其中所述有機溶媒包含由下述式(O-1)所表示的化合物,   RO1 -(-O-RO2 -)n -ORO3 ···(O-1)   RO1 及RO3 分別獨立地為氫原子或碳數1~12的烷基、碳數6~14的芳基、或者碳數7~15的芳烷基; RO2 為直鏈狀或分支狀的碳數1以上、12以下的伸烷基鏈;當存在多個RO2 時,各RO2 可不同; n為0以上、12以下的整數;其中,當n為0時,RO1 及RO3 不同時為氫原子。The etching solution according to claim 2, wherein the organic solvent comprises a compound represented by the following formula (O-1), R O1 -(-OR O2 -) n -OR O3 ··· O-1) R O1 and R O3 are each independently a hydrogen atom or an alkyl group having 1 to 12 carbon atoms, an aryl group having 6 to 14 carbon atoms, or an aralkyl group having 7 to 15 carbon atoms; R 2 is a linear chain a chain or branched carbon chain having 1 or more and 12 or less carbon atoms; when a plurality of R O2 are present, each R 2 may be different; n is an integer of 0 or more and 12 or less; wherein, when n is 0, R O1 and R O3 are not hydrogen atoms at the same time. 如申請專利範圍第1項或第2項所述的蝕刻液,其中所述半導體製程用的基板具有含有鈦的層與含有矽化鈦的層。The etching solution according to claim 1 or 2, wherein the substrate for the semiconductor process has a layer containing titanium and a layer containing titanium telluride. 如申請專利範圍第16項所述的蝕刻液,其中所述含有鈦的層的蝕刻速度除以所述含有矽化鈦的層的蝕刻速度所得的值為4以上、15以下。The etching liquid according to claim 16, wherein the etching rate of the titanium-containing layer is divided by 4 or more and 15 or less by an etching rate of the titanium telluride-containing layer. 一種蝕刻方法,其應用如申請專利範圍第1項至第17項中任一項所述的蝕刻液來進行含有鈦的層的蝕刻。An etching method for etching a layer containing titanium by using the etching solution according to any one of claims 1 to 17. 如申請專利範圍第18項所述的蝕刻方法,其中一邊抑制矽化鈦的蝕刻,一邊進行所述含有鈦的層的蝕刻。The etching method according to claim 18, wherein the etching of the titanium-containing layer is performed while suppressing etching of titanium telluride. 一種半導體基板製品的製造方法,其經由如申請專利範圍第18項或第19項所述的蝕刻方法來製造半導體基板製品。A method of producing a semiconductor substrate article, which is manufactured by the etching method according to claim 18 or claim 19.
TW105102767A 2015-02-23 2016-01-29 Etching solution, etching method and manufacturing method of semiconductor substrate product TWI725010B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-032597 2015-02-23
JP2015032597A JP6369989B2 (en) 2015-02-23 2015-02-23 Etching solution, etching method, and method for manufacturing semiconductor substrate product

Publications (2)

Publication Number Publication Date
TW201631122A true TW201631122A (en) 2016-09-01
TWI725010B TWI725010B (en) 2021-04-21

Family

ID=56826501

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105102767A TWI725010B (en) 2015-02-23 2016-01-29 Etching solution, etching method and manufacturing method of semiconductor substrate product

Country Status (2)

Country Link
JP (1) JP6369989B2 (en)
TW (1) TWI725010B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6861566B2 (en) * 2017-04-07 2021-04-21 東京エレクトロン株式会社 Board processing method and board processing equipment
WO2024062877A1 (en) * 2022-09-21 2024-03-28 富士フイルム株式会社 Chemical liquid and processing method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006114872A (en) * 2004-09-15 2006-04-27 Daikin Ind Ltd Removing liquid and removing method of copper deteriorated layer containing copper oxide
EP1894230A2 (en) * 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
JP2009074142A (en) * 2007-09-21 2009-04-09 Mitsubishi Chemicals Corp Etching solution for titanium-containing layer and etching method for titanium-containing layer
US8288857B2 (en) * 2010-09-17 2012-10-16 Endicott Interconnect Technologies, Inc. Anti-tamper microchip package based on thermal nanofluids or fluids
CN102838994B (en) * 2011-06-22 2014-04-23 苏州瑞红电子化学品有限公司 Etching glue composition used for manufacturing mono-crystalline silicon solar cell selective emitter
TWI558850B (en) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 The processing liquid for electronic components and the production method of electronic components

Also Published As

Publication number Publication date
TWI725010B (en) 2021-04-21
JP2016157714A (en) 2016-09-01
JP6369989B2 (en) 2018-08-08

Similar Documents

Publication Publication Date Title
TWI674337B (en) Etching liquid, etching method using the same, and method for manufacturing semiconductor substrate product
US10957547B2 (en) Formulations to selectively etch silicon germanium relative to germanium
TWI639900B (en) Method for stripping modified resist, stripping solution of modified resist used therein, and method for manufacturing semiconductor substrate product using them
US20100176082A1 (en) Compositions and methods for the selective removal of silicon nitride
TWI621693B (en) Etchant and etchant kit, etching method using the same, and manufacturing method of semiconductor substrate product
TWI625382B (en) Method of producing semiconductor substrate product, use of etching liquid and method of producing semiconductor device
TWI654340B (en) Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
TW201523170A (en) Modified resist stripper, stripping method using the same, and method for manufacturing semiconductor substrate product
TW201631122A (en) Etching solution, etching method and preparation method for semiconductor substrate manufacture
JP5992150B2 (en) Semiconductor substrate product manufacturing method, thin film removing solution and kit used therefor
TWI594315B (en) Etching method, and method of producing semiconductor substrate product and semiconductor device using the same
TWI602952B (en) Etching solution, etching method using thereof, etching solution kit, and manufacturing method of semiconductor substrate product
KR20160110519A (en) Etchant, etching method using same, and method for manufacturing semiconductor substrate product
TWI682990B (en) Etching composition, etching method thereof and producing method of semiconductor substrate product
JP6063404B2 (en) Etching solution, etching method using the same, and method for manufacturing semiconductor substrate product
TW202132541A (en) Ruthenium-etching solution, method for manufacturing ruthenium-etching solution, method for processing object to be processed, and method for manufacturing ruthenium-containing wiring