TW201531795A - Photomask blank and photomask for suppressing heat absorption - Google Patents

Photomask blank and photomask for suppressing heat absorption Download PDF

Info

Publication number
TW201531795A
TW201531795A TW104100474A TW104100474A TW201531795A TW 201531795 A TW201531795 A TW 201531795A TW 104100474 A TW104100474 A TW 104100474A TW 104100474 A TW104100474 A TW 104100474A TW 201531795 A TW201531795 A TW 201531795A
Authority
TW
Taiwan
Prior art keywords
light shielding
layer pattern
light
shielding layer
pattern
Prior art date
Application number
TW104100474A
Other languages
Chinese (zh)
Other versions
TWI681251B (en
Inventor
Tae-Joong Ha
Original Assignee
Sk Hynix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sk Hynix Inc filed Critical Sk Hynix Inc
Publication of TW201531795A publication Critical patent/TW201531795A/en
Application granted granted Critical
Publication of TWI681251B publication Critical patent/TWI681251B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

A photomask blank and/or photomask includes a light transmitting substrate, a highly reflective material layer disposed on the light transmitting substrate, and a transfer pattern layer disposed on the highly reflective material layer. The highly reflective material layer reflects light to be transmitted through the light transmitting substrate, with a predetermined reflectivity.

Description

用於抑制熱吸收的空白光罩及光罩 Blank reticle and reticle for suppressing heat absorption

本揭露內容的實施例是有關於一種光罩,並且更具體而言是有關於一種能夠在一微影製程期間抑制熱吸收的空白光罩(photomask blank)及/或光罩。 Embodiments of the present disclosure are directed to a reticle, and more particularly to a photomask blank and/or reticle that is capable of suppressing heat absorption during a lithographic process.

相關申請案的交互參照 Cross-references to related applications

本申請案是根據第35號美國法典第119條(a)項主張分別在2014年2月10日以及2014年6月16日在韓國智慧財產局申請的韓國專利申請案號10-2014-0014898以及10-2014-0073127的優先權,所述專利申請案是以其整體被納入在此作為參考。 This application is based on the Korean Patent Application No. 10-2014-0014898 filed by the Korea Intellectual Property Office on February 10, 2014 and June 16, 2014, respectively, in accordance with Article 119(a) of the US Code No. 35. And the priority of 10-2014-0073, the entire disclosure of which is incorporated herein by reference.

一般而言,半導體裝置具有一種其中圖案是被設置在一半導體基板上的結構。在半導體基板上被設置為主動或被動元件的圖案是透過一微影製程以及一蝕刻製程來加以形成。利用一微影製程以形成光阻層圖案是牽涉到在一待被形成所述圖案的目標層上形成一光阻層,並且接著執行一利用光罩的曝光製程以及一利用顯影劑的顯影製程。所述光阻層圖案可被使用作為一用於圖案化所述目標層的蝕刻遮罩層。所述用於轉移圖案至一晶圓的光罩大致具有一種其中待被轉移的轉移圖案是被設置在一透光 基板上的結構。 In general, a semiconductor device has a structure in which a pattern is provided on a semiconductor substrate. A pattern disposed on the semiconductor substrate as an active or passive component is formed through a lithography process and an etching process. Forming a photoresist layer pattern by using a lithography process involves forming a photoresist layer on a target layer on which the pattern is to be formed, and then performing an exposure process using a photomask and a development process using a developer . The photoresist layer pattern can be used as an etch mask layer for patterning the target layer. The reticle for transferring a pattern to a wafer has substantially a transfer pattern in which a transfer pattern to be transferred is disposed in a light transmission The structure on the substrate.

各種的實施例是針對於一種用於抑制熱吸收的空白光罩(在此亦被稱為"空白遮罩(mask)")以及光罩。 Various embodiments are directed to a blank reticle (also referred to herein as a "blank mask") for suppressing heat absorption and a reticle.

在一實施例中,一種空白遮罩可包含:一透光基板;一設置在所述透光基板上的高反射的材料層;以及一設置在所述高反射的材料層上的光屏蔽(shielding)層。 In an embodiment, a blank mask may include: a light transmissive substrate; a highly reflective material layer disposed on the light transmissive substrate; and a light shield disposed on the highly reflective material layer ( Shielding layer.

在一實施例中,一種空白遮罩可包含:一透光基板;以及一光屏蔽層,其被設置在所述透光基板上,並且由一種反射20%到90%的照射的光的材料所形成。 In an embodiment, a blank mask may include: a light transmissive substrate; and a light shielding layer disposed on the light transmissive substrate and composed of a material that reflects 20% to 90% of the irradiated light Formed.

在一實施例中,一種光罩可包含:一透光基板;一高反射的材料層圖案,其被設置在所述透光基板上以露出所述透光基板的一透光區域;以及一光屏蔽層圖案,其被設置在所述高反射的材料層圖案上。 In an embodiment, a reticle may include: a transparent substrate; a highly reflective material layer pattern disposed on the transparent substrate to expose a light transmissive region of the transparent substrate; A light shielding layer pattern is disposed on the highly reflective material layer pattern.

在一實施例中,一種光罩可包含:一透光基板;以及一光屏蔽層圖案,其被設置在所述透光基板上以藉由一微影製程而被轉移到一晶圓,並且由一種反射20%到90%的透過所述透光基板照射的光量的材料所形成。 In an embodiment, a reticle may include: a transparent substrate; and a light shielding layer pattern disposed on the transparent substrate to be transferred to a wafer by a lithography process, and It is formed of a material that reflects 20% to 90% of the amount of light that is transmitted through the light-transmitting substrate.

在一實施例中,一種光罩可包含:一透光基板;以及一光屏蔽層圖案,其被設置在所述透光基板上,並且包含一相對厚的第一光屏蔽層圖案以及一相對薄的第二光屏蔽層圖案,其中所述第一光屏蔽層圖案以及所述第二光屏蔽層圖案都藉由一微影製程而被轉移到一晶圓。 In an embodiment, a reticle may include: a light transmissive substrate; and a light shielding layer pattern disposed on the light transmissive substrate and including a relatively thick first light shielding layer pattern and a relative a thin second light shielding layer pattern, wherein the first light shielding layer pattern and the second light shielding layer pattern are all transferred to a wafer by a lithography process.

在一實施例中,一種光罩可包含:一透光基板;以及一光屏 蔽層圖案,其被設置在所述透光基板上,並且其中具有露出所述透光基板的溝槽區段。 In an embodiment, a reticle may include: a transparent substrate; and a light screen A mask pattern disposed on the light transmissive substrate and having a trench segment exposing the light transmissive substrate therein.

110‧‧‧空白遮罩 110‧‧‧ Blank mask

111‧‧‧透光基板 111‧‧‧Transparent substrate

112‧‧‧高反射的材料層 112‧‧‧Highly reflective material layer

113‧‧‧光屏蔽層 113‧‧‧Light shield

114‧‧‧光阻層 114‧‧‧Photoresist layer

120‧‧‧空白遮罩 120‧‧‧ Blank mask

121‧‧‧透光基板 121‧‧‧Transparent substrate

122‧‧‧高反射的材料層 122‧‧‧Highly reflective material layer

122a‧‧‧第一層 122a‧‧‧ first floor

122b‧‧‧第二層 122b‧‧‧ second floor

123‧‧‧光屏蔽層 123‧‧‧Light shield

124‧‧‧光阻層 124‧‧‧ photoresist layer

130‧‧‧空白遮罩 130‧‧‧Blank mask

131‧‧‧透光基板 131‧‧‧Transparent substrate

132‧‧‧高反射的材料層 132‧‧‧Highly reflective material layer

133-1‧‧‧相移層 133-1‧‧‧ phase shift layer

133-2‧‧‧光屏蔽層 133-2‧‧‧Light shield

134‧‧‧光阻層 134‧‧‧ photoresist layer

140‧‧‧空白遮罩 140‧‧‧Blank mask

141‧‧‧透光基板 141‧‧‧Transparent substrate

142‧‧‧高反射的材料層 142‧‧‧Highly reflective material layer

142a‧‧‧第一層 142a‧‧‧ first floor

142b‧‧‧第二層 142b‧‧‧ second floor

143-1‧‧‧相移層 143-1‧‧‧ phase shift layer

143-2‧‧‧光屏蔽層 143-2‧‧‧Light shield

144‧‧‧光阻層 144‧‧‧ photoresist layer

150‧‧‧空白遮罩 150‧‧‧ Blank mask

151‧‧‧透光基板 151‧‧‧Transparent substrate

153‧‧‧光屏蔽層 153‧‧‧Light shield

154‧‧‧光阻層 154‧‧‧ photoresist layer

210‧‧‧光罩 210‧‧‧Photomask

211‧‧‧透光基板 211‧‧‧Transparent substrate

212‧‧‧高反射的材料層圖案 212‧‧‧Highly reflective material layer pattern

213‧‧‧光屏蔽層圖案 213‧‧‧Light shielding pattern

215‧‧‧透光區域 215‧‧‧Lighting area

216‧‧‧光屏蔽區域 216‧‧‧Light shielding area

218‧‧‧入射光 218‧‧‧ incident light

220‧‧‧光罩 220‧‧‧Photomask

221‧‧‧透光基板 221‧‧‧Transparent substrate

222‧‧‧高反射的材料層圖案 222‧‧‧Highly reflective material layer pattern

222a‧‧‧第一層圖案 222a‧‧‧ first layer pattern

222b‧‧‧第二層圖案 222b‧‧‧Second layer pattern

223‧‧‧光屏蔽層圖案 223‧‧‧Light shielding pattern

225‧‧‧透光區域 225‧‧‧Lighting area

226‧‧‧光屏蔽區域 226‧‧‧Light shielding area

230‧‧‧光罩 230‧‧‧Photomask

230M‧‧‧主要圖案區域 230M‧‧‧Main pattern area

230F‧‧‧框區域 230F‧‧‧Box area

231‧‧‧透光基板 231‧‧‧Transparent substrate

232‧‧‧高反射的材料層圖案 232‧‧‧Highly reflective material layer pattern

233-1‧‧‧相移層圖案 233-1‧‧‧ phase shift layer pattern

233-2‧‧‧光屏蔽層圖案 233-2‧‧‧Light shielding pattern

235‧‧‧透光區域 235‧‧‧Lighting area

236‧‧‧相移區域 236‧‧• Phase shifting area

238‧‧‧入射光 238‧‧‧ incident light

240‧‧‧光罩 240‧‧‧Photomask

240M‧‧‧主要圖案區域 240M‧‧‧Main pattern area

240F‧‧‧框區域 240F‧‧‧Box area

241‧‧‧透光基板 241‧‧‧Transparent substrate

242‧‧‧高反射的材料層圖案 242‧‧‧Highly reflective material layer pattern

242a‧‧‧第一層圖案 242a‧‧‧ first layer pattern

242b‧‧‧第二層圖案 242b‧‧‧Second layer pattern

243-1‧‧‧相移層圖案 243-1‧‧‧ phase shift layer pattern

243-2‧‧‧光屏蔽層圖案 243-2‧‧‧Light shielding pattern

245‧‧‧透光區域 245‧‧‧Lighting area

246‧‧‧相移區域 246‧‧‧ Phase shifting area

248‧‧‧入射光 248‧‧‧ incident light

250‧‧‧光罩 250‧‧‧Photomask

251‧‧‧透光基板 251‧‧‧Transparent substrate

253‧‧‧光屏蔽層圖案 253‧‧‧Light shielding pattern

255‧‧‧透光區域 255‧‧‧Lighting area

256‧‧‧光屏蔽區域 256‧‧‧Light shielding area

258‧‧‧入射光 258‧‧‧ incident light

260‧‧‧光罩 260‧‧‧Photomask

261‧‧‧透光基板 261‧‧‧Transparent substrate

263‧‧‧光屏蔽層圖案 263‧‧‧Light shielding pattern

263a‧‧‧第一光屏蔽層圖案 263a‧‧‧First light shielding layer pattern

263b‧‧‧第二光屏蔽層圖案 263b‧‧‧second light shielding layer pattern

265‧‧‧透光區域 265‧‧‧Lighting area

266‧‧‧光屏蔽區域 266‧‧‧Light shielding area

268‧‧‧光 268‧‧‧Light

269‧‧‧光 269‧‧‧Light

270‧‧‧光罩 270‧‧‧Photomask

271‧‧‧透光基板 271‧‧‧Transparent substrate

273‧‧‧光屏蔽層圖案 273‧‧‧Light shielding pattern

273a‧‧‧第一光屏蔽層圖案 273a‧‧‧First light shielding layer pattern

273b‧‧‧第二光屏蔽層圖案 273b‧‧‧second light shielding layer pattern

275‧‧‧透光區域 275‧‧‧Lighting area

276‧‧‧光屏蔽區域 276‧‧‧Light shielding area

278‧‧‧光 278‧‧‧Light

279‧‧‧光 279‧‧‧Light

280‧‧‧光罩 280‧‧‧Photomask

281‧‧‧透光基板 281‧‧‧Transparent substrate

283‧‧‧光屏蔽層圖案 283‧‧‧Light shielding pattern

283a‧‧‧溝槽區段 283a‧‧‧groove section

285‧‧‧透光區域 285‧‧‧Lighting area

286‧‧‧光屏蔽區域 286‧‧‧Light shielding area

381‧‧‧基板 381‧‧‧Substrate

382‧‧‧目標圖案化層 382‧‧‧Target patterned layer

383‧‧‧光阻層圖案 383‧‧‧ photoresist layer pattern

391‧‧‧區域 391‧‧‧Area

392‧‧‧區域 392‧‧‧Area

t1‧‧‧第一厚度 T1‧‧‧first thickness

t2‧‧‧第二厚度 T2‧‧‧second thickness

t3‧‧‧第一厚度 T3‧‧‧first thickness

t4‧‧‧第二厚度 T4‧‧‧second thickness

W1‧‧‧寬度 W1‧‧‧Width

圖1是描繪根據一實施例的一種空白遮罩的橫截面圖。 1 is a cross-sectional view depicting a blank mask in accordance with an embodiment.

圖2是描繪根據一實施例的一種空白遮罩的橫截面圖。 2 is a cross-sectional view depicting a blank mask in accordance with an embodiment.

圖3是描繪根據一實施例的一種空白遮罩的橫截面圖。 3 is a cross-sectional view depicting a blank mask in accordance with an embodiment.

圖4是描繪根據一實施例的一種空白遮罩的橫截面圖。 4 is a cross-sectional view depicting a blank mask in accordance with an embodiment.

圖5是描繪根據一實施例的一種空白遮罩的橫截面圖。 FIG. 5 is a cross-sectional view depicting a blank mask in accordance with an embodiment.

圖6是描繪根據一實施例的一種光罩的橫截面圖。 FIG. 6 is a cross-sectional view depicting a reticle in accordance with an embodiment.

圖7是描繪根據一實施例的一種光罩的橫截面圖。 FIG. 7 is a cross-sectional view depicting a reticle in accordance with an embodiment.

圖8是描繪根據一實施例的一種光罩的橫截面圖。 FIG. 8 is a cross-sectional view depicting a reticle in accordance with an embodiment.

圖9是描繪根據一實施例的一種光罩的橫截面圖。 9 is a cross-sectional view depicting a reticle in accordance with an embodiment.

圖10是描繪根據一實施例的一種光罩的橫截面圖。 Figure 10 is a cross-sectional view depicting a reticle in accordance with an embodiment.

圖11是描繪根據一實施例的一種光罩的平面圖。 Figure 11 is a plan view depicting a reticle in accordance with an embodiment.

圖12是沿著圖11的線I-I'所取的橫截面圖。 Figure 12 is a cross-sectional view taken along line II' of Figure 11 .

圖13是解釋在其中曝光是利用圖11及12的光罩加以執行的狀況中的光吸收量的視圖。 Fig. 13 is a view for explaining the amount of light absorption in a state in which exposure is performed using the reticle of Figs. 11 and 12.

圖14是描繪藉由利用圖11及12的光罩以執行一微影製程所形成的光阻層圖案的平面圖。 Figure 14 is a plan view depicting a photoresist layer pattern formed by performing a lithography process using the reticle of Figures 11 and 12.

圖15是沿著圖14的線II-II'所取的橫截面圖。 Figure 15 is a cross-sectional view taken along line II-II' of Figure 14.

圖16是描繪根據一實施例的一種光罩的平面圖。 Figure 16 is a plan view depicting a reticle in accordance with an embodiment.

圖17是沿著圖16的線III-III'所取的橫截面圖。 Figure 17 is a cross-sectional view taken along line III-III' of Figure 16 .

圖18是解釋在其中曝光是利用圖16及17的光罩加以執行的狀況中的光吸收量的視圖。 Fig. 18 is a view for explaining the amount of light absorption in a state in which exposure is performed using the mask of Figs. 16 and 17.

圖19是描繪根據一實施例的一種光罩的平面圖。 19 is a plan view depicting a reticle in accordance with an embodiment.

圖20是沿著圖19的線IV-IV'所取的橫截面圖。 Figure 20 is a cross-sectional view taken along line IV-IV' of Figure 19.

用於抑制熱吸收的一種空白光罩(在此亦被稱為"空白遮罩")以及一種光罩在此是透過各種的實施例,參考所附的圖式來加以描述。 A blank reticle (also referred to herein as a "blank mask") for suppressing heat absorption and a reticle are hereby described in various embodiments with reference to the accompanying drawings.

在一微影製程的過程中,一指定波長的光是透過一光罩而被照射到一晶圓上的一光阻層。對於晶圓的光照射是藉由光罩的一光屏蔽區域(例如是一其中一光屏蔽圖案被設置的區域)來實質加以屏蔽,並且只有透射一透光區域的光被選擇性地照射到晶圓。因此,相當大量的照射的光能是被光屏蔽圖案所吸收,此可能會使得熱產生在所述光屏蔽圖案之處或是之內。所產生的熱被轉移到一透光基板,因而所述透光基板會由於溫度的上升而膨脹。由於所述透光基板的膨脹,誤差可能會發生在所述光罩的圖案的定位精確度上,並且因此在晶圓及光罩之間的覆蓋可能不會被精確地確保。在此揭露的各種實施例是提供空白遮罩及光罩,其能夠抑制一種在一微影製程期間照射的光被吸收到一轉移圖案中的現象發生。由於吸收到所述轉移圖案的光能被抑制,因此所述轉移圖案以及一透光基板在所述微影製程期間的溫度上升可被抑制。 In a lithography process, a specified wavelength of light is transmitted through a mask to a photoresist layer on a wafer. The light illumination of the wafer is substantially shielded by a light shielding region of the reticle (for example, a region in which one of the light shielding patterns is disposed), and only light transmitted through a light transmitting region is selectively illuminated. Wafer. Thus, a significant amount of the irradiated light energy is absorbed by the light-shielding pattern, which may cause heat to be generated at or within the light-shielding pattern. The generated heat is transferred to a light-transmissive substrate, and thus the light-transmitting substrate expands due to an increase in temperature. Due to the expansion of the light-transmitting substrate, an error may occur in the positioning accuracy of the pattern of the reticle, and thus the coverage between the wafer and the reticle may not be accurately ensured. Various embodiments disclosed herein provide blank masks and reticle that are capable of inhibiting the absorption of light that is illuminated during a lithography process into a transfer pattern. Since the light energy absorbed into the transfer pattern is suppressed, the temperature rise of the transfer pattern and a light-transmitting substrate during the lithography process can be suppressed.

在本說明書中,例如是"第一"及"第二"的術語是被用來彼此區別構件,因而並非限制所述構件或是指出一特定的順序。再者,當一構 件被稱為是在另一構件"上"、或是在另一構件的"頂端"、"底部"或是"側邊"時,其指出介於兩者之間的一相對的位置關係,而且並非指明其中所述構件直接接觸另一構件、或是又一構件進一步插置在介於兩者之間的介面的情形。再者,當一構件被稱為"耦接"或"連接"至另一構件時,其指出所述構件可以直接耦接或連接至另一構件、或是額外的構件可被插設於其之間以形成一耦接關係或是一連接關係。 In the present specification, terms such as "first" and "second" are used to distinguish members from each other, and thus do not limit the members or indicate a particular order. Furthermore, when A piece is said to be "on" another component, or "top", "bottom" or "side" of another component, which indicates a relative positional relationship between the two, Moreover, it is not intended to indicate that the member is in direct contact with another member, or that another member is further interposed between the interfaces therebetween. Furthermore, when a member is referred to as being "coupled" or "connected" to another member, it is indicated that the member can be directly coupled or connected to another member, or an additional member can be inserted Form a coupling relationship or a connection relationship.

圖1是描繪根據一實施例的一種空白遮罩的橫截面圖。參照圖1,根據本實施例的一種空白遮罩110具有一種其中一高反射的材料層112、一光屏蔽層113以及一光阻層114被堆疊及/或設置在一透光基板111上的結構。在另一實施例中,所述光阻層114可被省略。所述透光基板111可以是由一種例如是石英的透射光的材料所形成的。所述高反射的材料層112可以是由一種包含矽(Si)、鉬(Mo)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈦(Ti)、鉑(Pt)、釕(Ru)、鉻(Cr)以及錫(Sn)中的至少任一個的材料所形成的。再者,所述高反射的材料層112可以額外包含氧(O)及氮(N)的任一成分。此種高反射的材料層112可以具有一相對光的20%到90%的反射度。所述高反射的材料層112的反射度可以藉由調節形成所述高反射的材料層112的材料的組成比來適當地加以控制。在一實施例中,所述高反射的材料層112可以具有一厚度是小於所述光屏蔽層113的厚度。在另一實施例中,所述高反射的材料層112可以具有一厚度是等於或大於所述光屏蔽層113。所述光屏蔽層113可以是由一例如是一鉻(Cr)層的光屏蔽材料層所形成的。 1 is a cross-sectional view depicting a blank mask in accordance with an embodiment. Referring to FIG. 1, a blank mask 110 according to the present embodiment has a highly reflective material layer 112, a light shielding layer 113, and a photoresist layer 114 stacked and/or disposed on a transparent substrate 111. structure. In another embodiment, the photoresist layer 114 can be omitted. The light transmissive substrate 111 may be formed of a material that transmits light, such as quartz. The highly reflective material layer 112 may be composed of one comprising bismuth (Si), molybdenum (Mo), tantalum (Ta), zirconium (Zr), aluminum (Al), titanium (Ti), platinum (Pt), tantalum ( A material formed of at least one of Ru), chromium (Cr), and tin (Sn). Furthermore, the highly reflective material layer 112 may additionally comprise any of oxygen (O) and nitrogen (N) components. Such a highly reflective material layer 112 can have a reflectance of from 20% to 90% relative to light. The reflectance of the highly reflective material layer 112 can be suitably controlled by adjusting the composition ratio of the material forming the highly reflective material layer 112. In an embodiment, the highly reflective material layer 112 may have a thickness that is less than the thickness of the light shielding layer 113. In another embodiment, the highly reflective material layer 112 may have a thickness equal to or greater than the light shielding layer 113. The light shielding layer 113 may be formed of a layer of a light shielding material such as a chromium (Cr) layer.

圖2是描繪根據一實施例的一種空白遮罩的橫截面圖。參照圖2,根據本實施例的一種空白遮罩120具有一種其中一高反射的材料層 122、一光屏蔽層123以及一光阻層124被堆疊及/或設置在一透光基板121上的結構。在另一實施例中,所述光阻層124可被省略。 2 is a cross-sectional view depicting a blank mask in accordance with an embodiment. Referring to FIG. 2, a blank mask 120 according to the present embodiment has a highly reflective material layer therein. 122. A light shielding layer 123 and a photoresist layer 124 are stacked and/or disposed on a transparent substrate 121. In another embodiment, the photoresist layer 124 can be omitted.

所述透光基板121可以是由一種例如是石英的透射光的材料所形成的。所述高反射的材料層122可以具有一種多層的結構。在本實施例中,所述高反射的材料層122可以具有一種其中一第一層122a以及一第二層122b被交替地設置的結構。在一實施例中,所述第一層122a以及第二層122b分別可以是一鉬(Mo)層以及一矽(Si)層。在另一實施例中,所述第一層122a以及第二層122b分別可以是一釕(Ru)層以及一矽(Si)層、一鉬(Mo)層以及一鈹(Be)層、或是一矽(Si)層以及一鈮(Nb)層。 The light transmissive substrate 121 may be formed of a material that transmits light, such as quartz. The highly reflective material layer 122 can have a multi-layered structure. In the present embodiment, the highly reflective material layer 122 may have a structure in which a first layer 122a and a second layer 122b are alternately disposed. In an embodiment, the first layer 122a and the second layer 122b may be a molybdenum (Mo) layer and a germanium (Si) layer, respectively. In another embodiment, the first layer 122a and the second layer 122b may be a ruthenium (Ru) layer and a bismuth (Si) layer, a molybdenum (Mo) layer, and a beryllium (Be) layer, respectively. It is a layer of (Si) and a layer of (Nb).

所述高反射的材料層122可以具有一相對光的20%到90%的反射度。所述高反射的材料層122的反射度可以藉由調節包含所述第一層122a及第二層122b的堆疊的高反射的材料層122的厚度及數量來適當地加以控制。在一實施例中,所述高反射的材料層122可以具有一厚度是小於所述光屏蔽層123的厚度。在另一實施例中,所述高反射的材料層122可以具有一厚度是等於或大於所述光屏蔽層123。所述光屏蔽層123可以是由一例如是一鉻(Cr)層的光屏蔽材料層所形成的。 The highly reflective material layer 122 can have a reflectance of from 20% to 90% relative to light. The reflectivity of the highly reflective material layer 122 can be suitably controlled by adjusting the thickness and number of highly reflective material layers 122 comprising the stack of the first layer 122a and the second layer 122b. In an embodiment, the highly reflective material layer 122 may have a thickness that is less than the thickness of the light shielding layer 123. In another embodiment, the highly reflective material layer 122 may have a thickness equal to or greater than the light shielding layer 123. The light shielding layer 123 may be formed of a layer of a light shielding material such as a chromium (Cr) layer.

圖3是描繪根據一實施例的一種空白遮罩的橫截面圖。參照圖3,根據本實施例的一種空白遮罩130具有一種其中一高反射的材料層132、一相移層133-1、一光屏蔽層133-2以及一光阻層134被堆疊及/或設置在一透光基板131上的結構。在另一實施例中,所述光阻層134可被省略。 3 is a cross-sectional view depicting a blank mask in accordance with an embodiment. Referring to FIG. 3, a blank mask 130 according to the present embodiment has a material layer 132, a phase shift layer 133-1, a light shielding layer 133-2, and a photoresist layer 134 stacked thereon and/or Or a structure disposed on a light-transmitting substrate 131. In another embodiment, the photoresist layer 134 may be omitted.

所述透光基板131可以是由一種例如是石英的透射光的材料所形成的。所述高反射的材料層132可以是由一種包含矽(Si)、鉬(Mo)、 鉭(Ta)、鋯(Zr)、鋁(Al)、鈦(Ti)、鉑(Pt)、釕(Ru)、鉻(Cr)以及錫(Sn)中的至少任一個的材料所形成的。再者,所述高反射的材料層132可以額外包含氧(O)以及氮(N)的任一成分。 The light transmissive substrate 131 may be formed of a material that transmits light, such as quartz. The highly reflective material layer 132 may be composed of one containing bismuth (Si), molybdenum (Mo), A material formed of at least one of tantalum (Ta), zirconium (Zr), aluminum (Al), titanium (Ti), platinum (Pt), ruthenium (Ru), chromium (Cr), and tin (Sn). Furthermore, the highly reflective material layer 132 may additionally comprise any component of oxygen (O) and nitrogen (N).

此種高反射的材料層132可以具有一相對光的20%到90%的反射度。所述高反射的材料層132的反射度可以藉由調節形成所述高反射的材料層132的材料的組成比來適當地加以控制。在一實施例中,所述高反射的材料層132可以具有一厚度是小於所述相移層133-1的厚度。在另一實施例中,所述高反射的材料層132可以具有一厚度是等於或大於所述相移層133-1。在一實施例中,所述相移層133-1可以是由一種例如是鉬矽(MoSi)的相移材料所形成的。在另一實施例中,所述相移層133-1可以是由一種鉬矽氮化物(MoSiN)或是一種矽氧化物(SiO2)所形成的。 Such a highly reflective material layer 132 can have a reflectance of from 20% to 90% relative to light. The reflectance of the highly reflective material layer 132 can be suitably controlled by adjusting the composition ratio of the material forming the highly reflective material layer 132. In an embodiment, the highly reflective material layer 132 may have a thickness that is less than the thickness of the phase shift layer 133-1. In another embodiment, the highly reflective material layer 132 can have a thickness equal to or greater than the phase shift layer 133-1. In an embodiment, the phase shifting layer 133-1 may be formed of a phase shifting material such as molybdenum tantalum (MoSi). In another embodiment, the phase shift layer 133-1 may be formed of a molybdenum tantalum nitride (MoSiN) or a tantalum oxide (SiO2).

所述高反射的材料層132以及相移層133-1的堆疊結構可以具有等於或小於50%(例如是約6%)的透射率、以及一150°到250°的相移度。所述光屏蔽層133-2可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。 The highly reflective material layer 132 and the stacked structure of the phase shift layer 133-1 may have a transmittance equal to or less than 50% (for example, about 6%), and a phase shift of 150 to 250 degrees. The light shielding layer 133-2 may be formed of a light shielding material such as chromium (Cr).

圖4是描繪根據一實施例的一種空白遮罩的橫截面圖。參照圖4,根據本實施例的一種空白遮罩140具有一種其中一高反射的材料層142、一相移層143-1、一光屏蔽層143-2以及一光阻層144被堆疊及/或設置在一透光基板141上的結構。在另一實施例中,所述光阻層144可被省略。所述透光基板141可以是由一種例如是石英的透射光的材料所形成的。 4 is a cross-sectional view depicting a blank mask in accordance with an embodiment. Referring to FIG. 4, a blank mask 140 according to the present embodiment has a highly reflective material layer 142, a phase shift layer 143-1, a light shielding layer 143-2, and a photoresist layer 144 stacked and/or Or a structure disposed on a light-transmitting substrate 141. In another embodiment, the photoresist layer 144 may be omitted. The light transmissive substrate 141 may be formed of a material that transmits light, such as quartz.

所述高反射的材料層142可以具有一種多層的結構。在本實施例中,所述高反射的材料層142可以具有一種其中一第一層142a以及一第二層142b被交替地設置的結構。在一實施例中,所述第一層142a以及第 二層142b分別可以是一鉬(Mo)層以及一矽(Si)層。在另一實施例中,所述第一層142a以及第二層142b分別可以是一釕(Ru)層以及一矽(Si)層、一鉬(Mo)層以及一鈹(Be)層、或是一矽(Si)層以及一鈮(Nb)層。 The highly reflective material layer 142 can have a multi-layered structure. In the present embodiment, the highly reflective material layer 142 may have a structure in which a first layer 142a and a second layer 142b are alternately disposed. In an embodiment, the first layer 142a and the first The second layer 142b may be a molybdenum (Mo) layer and a germanium (Si) layer, respectively. In another embodiment, the first layer 142a and the second layer 142b may be a ruthenium (Ru) layer and a bismuth (Si) layer, a molybdenum (Mo) layer, and a beryllium (Be) layer, respectively. It is a layer of (Si) and a layer of (Nb).

此種高反射的材料層142可以具有一相對光的20%到90%的反射度。所述高反射的材料層142的反射度可以藉由調節包含形成所述高反射的材料層142的第一層142a及第二層142b的堆疊的高反射的材料層142的厚度及數量來適當地加以控制。在一實施例中,所述高反射的材料層142可以具有一厚度是小於所述相移層143-1的厚度。在另一實施例中,所述高反射的材料層142可以具有一厚度是等於或大於所述相移層143-1。 Such a highly reflective material layer 142 can have a reflectance of from 20% to 90% relative to light. The reflectivity of the highly reflective material layer 142 can be suitably adjusted by adjusting the thickness and number of highly reflective material layers 142 comprising the first layer 142a and the second layer 142b forming the highly reflective material layer 142. Ground control. In an embodiment, the highly reflective material layer 142 may have a thickness that is less than the thickness of the phase shift layer 143-1. In another embodiment, the highly reflective material layer 142 may have a thickness equal to or greater than the phase shift layer 143-1.

在一實施例中,所述相移層143-1可以是由一種例如是鉬矽(MoSi)的相移材料所形成的。在另一實施例中,所述相移層143-1可以是由一種鉬矽氮化物(MoSiN)或是一種矽氧化物(SiO2)所形成的。所述高反射的材料層142以及相移層143-1的堆疊結構可以具有等於或小於50%(例如是約6%)的透射率、以及一150°到250°的相移度。所述光屏蔽層143-2可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。 In an embodiment, the phase shifting layer 143-1 may be formed of a phase shifting material such as molybdenum tantalum (MoSi). In another embodiment, the phase shift layer 143-1 may be formed of a molybdenum tantalum nitride (MoSiN) or a tantalum oxide (SiO2). The stacked structure of the highly reflective material layer 142 and the phase shift layer 143-1 may have a transmittance equal to or less than 50% (for example, about 6%), and a phase shift of 150 to 250 degrees. The light shielding layer 143-2 may be formed of a light shielding material such as chromium (Cr).

圖5是描繪根據一實施例的一種空白遮罩的橫截面圖。參照圖5,根據本實施例的一種空白遮罩150具有一種其中一光屏蔽層153以及一光阻層154被堆疊及/或設置在一透光基板151上的結構。在另一實施例中,所述光阻層154可被省略。所述透光基板151可以是由一種例如是石英的透射光的材料所形成的。所述光屏蔽層153可以是由一種屏蔽光並且具有一相對光的20%到90%的反射度的材料所形成的。 FIG. 5 is a cross-sectional view depicting a blank mask in accordance with an embodiment. Referring to FIG. 5, a blank mask 150 according to the present embodiment has a structure in which a light shielding layer 153 and a photoresist layer 154 are stacked and/or disposed on a light-transmitting substrate 151. In another embodiment, the photoresist layer 154 may be omitted. The light transmissive substrate 151 may be formed of a material that transmits light, such as quartz. The light shielding layer 153 may be formed of a material that shields light and has a reflectance of 20% to 90% relative to the light.

在一實施例中,所述光屏蔽層153可以是一例如為一鉻(Cr) 層的光屏蔽材料層,其被添加一例如是氧(O)及氮(N)的調節反射度的成分。儘管未展示在圖式中,但是在一實施例中,一相移層可以額外被設置在所述光屏蔽層153以及透光基板151之間。在所述實施例中,相移層可以藉由適當地添加一例如是氧(O)及氮(N)的調節反射度的成分至一種例如是鉬矽(MoSi)的相移材料,而具有相對光的20%到90%的反射度。 In an embodiment, the light shielding layer 153 may be, for example, a chromium (Cr). The layer of light-shielding material of the layer is added with a component that adjusts the reflectance, such as oxygen (O) and nitrogen (N). Although not shown in the drawings, in an embodiment, a phase shift layer may be additionally disposed between the light shielding layer 153 and the light transmissive substrate 151. In the embodiment, the phase shifting layer may have a phase shifting material such as molybdenum ruthenium (MoSi) by appropriately adding a composition of, for example, oxygen (O) and nitrogen (N) adjusting reflectance. Reflectance of 20% to 90% relative to light.

圖6是描繪根據一實施例的一種光罩的橫截面圖。參照圖6,根據本實施例的一種光罩210包含高反射的材料層圖案212以及光屏蔽層圖案213,其被設置在一透光基板211上。此種光罩210可以藉由利用以上參考圖1所述的空白遮罩110作為一原始的處理目標,透過一適當的圖案化製程來加以形成。 FIG. 6 is a cross-sectional view depicting a reticle in accordance with an embodiment. Referring to FIG. 6, a reticle 210 according to the present embodiment includes a highly reflective material layer pattern 212 and a light shielding layer pattern 213 disposed on a light transmissive substrate 211. Such a reticle 210 can be formed by a suitable patterning process by using the blank mask 110 described above with reference to FIG. 1 as an original processing target.

所述光罩210具有透光區域215以及光屏蔽區域216。所述透光基板211的表面是在透光區域215中被露出,並且所述高反射的材料層圖案212以及光屏蔽層圖案213是被設置在所述光屏蔽區域216中的透光基板211上。所述透光基板211可以是由一種例如是石英的透光材料所形成的。 The reticle 210 has a light transmitting region 215 and a light shielding region 216. The surface of the transparent substrate 211 is exposed in the light transmitting region 215, and the highly reflective material layer pattern 212 and the light shielding layer pattern 213 are the transparent substrate 211 disposed in the light shielding region 216. on. The light transmissive substrate 211 may be formed of a light transmissive material such as quartz.

儘管在所述圖中是描繪其中被設置高反射的材料層圖案212以及光屏蔽層圖案213的光屏蔽區域216是作為其中用於轉移圖案至一晶圓的主要圖案被設置的區域,但是所述光屏蔽區域216可以作為其它區域,例如是圍繞其中主要圖案待被設置的區域的框區域及/或切割線。 Although the light shielding region 216 in which the material layer pattern 212 and the light shielding layer pattern 213 in which the high reflection is disposed is depicted in the drawing is an area in which the main pattern for transferring the pattern to a wafer is set, The light shielding region 216 can serve as other regions, such as a frame region and/or a cutting line surrounding a region in which the main pattern is to be disposed.

所述高反射的材料層圖案212可以是由一種包含矽(Si)、鉬(Mo)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈦(Ti)、鉑(Pt)、釕(Ru)、鉻(Cr)以及錫(Sn)中的至少任一個的材料所形成的。再者,所述高反射的材料層圖案212可以額外包含氧(O)及氮(N)的任一成分。 The highly reflective material layer pattern 212 may be composed of one comprising bismuth (Si), molybdenum (Mo), tantalum (Ta), zirconium (Zr), aluminum (Al), titanium (Ti), platinum (Pt), tantalum. A material formed of at least one of (Ru), chromium (Cr), and tin (Sn). Furthermore, the highly reflective material layer pattern 212 may additionally comprise any of oxygen (O) and nitrogen (N) components.

此種高反射的材料層圖案212可以具有一相對光的20%到90%的反射度。所述高反射的材料層圖案212的反射度可以藉由調節形成所述高反射的材料層圖案212的材料的組成比來適當地加以控制。在一實施例中,所述高反射的材料層圖案212可以具有一厚度是小於所述光屏蔽層圖案213的厚度。在另一實施例中,所述高反射的材料層圖案212可以具有一厚度是等於或大於所述光屏蔽層圖案213。所述光屏蔽層圖案213可以是由一種光屏蔽材料所形成的,例如是鉻(Cr)層圖案。 Such a highly reflective material layer pattern 212 can have a reflectance of from 20% to 90% relative to light. The reflectance of the highly reflective material layer pattern 212 can be appropriately controlled by adjusting the composition ratio of the material forming the highly reflective material layer pattern 212. In an embodiment, the highly reflective material layer pattern 212 may have a thickness that is less than a thickness of the light shielding layer pattern 213. In another embodiment, the highly reflective material layer pattern 212 may have a thickness equal to or greater than the light shielding layer pattern 213. The light shielding layer pattern 213 may be formed of a light shielding material such as a chromium (Cr) layer pattern.

在根據本實施例的光罩210中,對應於透射所述透光基板211的入射光218的20%到90%的光是藉由所述高反射的材料層圖案212而被反射至透光基板211。於是,一對應於所述入射光218的6%的光量是從所述光屏蔽層圖案213透射。因而,一對應於所述入射光218的4%至74%的光量是被吸收到所述光屏蔽層圖案213中。如同在所述圖中所示,在一其中所述高反射的材料層圖案212具有一50%的反射度並且所述光屏蔽層圖案213具有一6%的透射率的實施例中,對應於44%的光是被吸收到所述光屏蔽層圖案213中。因此,在一微影製程期間,當相較於其中所有剩餘的光量(除了小量的反射光以及透射光之外)都被吸收到所述光屏蔽層圖案213中的情形,所述光屏蔽層圖案213的溫度上升可被抑制。在另一實施例中,當一種具有低導熱度的材料被使用作為所述高反射的材料層圖案212的材料時,減少一定程度的因為所述光屏蔽層圖案213吸收光量所產生的熱被轉移至所述透光基板211是可能的。 In the reticle 210 according to the present embodiment, 20% to 90% of the light corresponding to the incident light 218 transmitted through the light-transmitting substrate 211 is reflected to the light-transmitting by the highly-reflected material layer pattern 212. Substrate 211. Thus, a light amount corresponding to 6% of the incident light 218 is transmitted from the light shielding layer pattern 213. Thus, a light amount corresponding to 4% to 74% of the incident light 218 is absorbed into the light shielding layer pattern 213. As shown in the figure, in an embodiment in which the highly reflective material layer pattern 212 has a reflectance of 50% and the light shielding layer pattern 213 has a transmittance of 6%, corresponding to 44% of the light is absorbed into the light shielding layer pattern 213. Therefore, during a lithography process, when light is absorbed into the light shielding layer pattern 213 as compared with all remaining light amounts (except for a small amount of reflected light and transmitted light), the light shielding The temperature rise of the layer pattern 213 can be suppressed. In another embodiment, when a material having a low thermal conductivity is used as the material of the highly reflective material layer pattern 212, the heat generated by the light shielding layer pattern 213 is reduced by a certain amount. Transfer to the light transmissive substrate 211 is possible.

圖7是描繪根據一實施例的一種光罩的橫截面圖。參照圖7,根據本實施例的一種光罩220包含高反射的材料層圖案222以及光屏蔽 層圖案223,其被設置在一透光基板221上。此種光罩220可以藉由利用在此參考圖2所述的空白遮罩120作為一原始的處理目標,透過一適當的圖案化製程來加以形成。所述光罩220具有透光區域225以及光屏蔽區域226。所述透光基板221的表面是在透光區域225中被露出,並且所述高反射的材料層圖案222以及光屏蔽層圖案223是被設置在所述光屏蔽區域226中的透光基板221上。所述透光基板221可以是由一種例如是石英的透光材料所形成的。儘管在所述圖中是描繪其中設置所述高反射的材料層圖案222以及光屏蔽層圖案223的光屏蔽區域226是作為其中用於轉移圖案至一晶圓的主要圖案被設置的區域,但是所述光屏蔽區域226可以作為其它區域,例如是圍繞其中主要圖案待被設置的區域的框區域及/或切割線。 FIG. 7 is a cross-sectional view depicting a reticle in accordance with an embodiment. Referring to FIG. 7, a reticle 220 according to the present embodiment includes a highly reflective material layer pattern 222 and a light shield. A layer pattern 223 is disposed on a light transmissive substrate 221. Such a reticle 220 can be formed by a suitable patterning process by using the blank mask 120 described herein with reference to FIG. 2 as an original processing target. The reticle 220 has a light transmitting region 225 and a light shielding region 226. The surface of the transparent substrate 221 is exposed in the light transmitting region 225, and the highly reflective material layer pattern 222 and the light shielding layer pattern 223 are the transparent substrate 221 disposed in the light shielding region 226. on. The light transmissive substrate 221 may be formed of a light transmissive material such as quartz. Although the light shielding region 226 in which the material layer pattern 222 and the light shielding layer pattern 223 in which the high reflection is disposed is depicted in the drawing is an area in which a main pattern for transferring a pattern to a wafer is set, but The light shielding region 226 may serve as other regions, such as a frame region and/or a cutting line surrounding a region in which the main pattern is to be disposed.

所述高反射的材料層圖案222可以具有一種多層的結構,其中第一層圖案222a以及第二層圖案222b被交替地設置。在一實施例中,所述第一層圖案222a以及第二層圖案222b分別可以是鉬(Mo)層圖案以及矽(Si)層圖案。在另一實施例中,所述第一層圖案222a以及第二層圖案222b分別可以是釕(Ru)層圖案以及矽(Si)層圖案、鉬(Mo)層圖案以及鈹(Be)層圖案、或是矽(Si)層圖案以及鈮(Nb)層圖案。所述高反射的材料層圖案222可以具有一相對光的20%到90%的反射度。所述高反射的材料層圖案222的反射度可以藉由調節包含形成所述高反射的材料層圖案222的第一層圖案222a以及第二層圖案222b的堆疊的高反射的材料層圖案222的厚度及數量來適當地加以控制。在一實施例中,所述高反射的材料層圖案222可以具有一厚度是小於所述光屏蔽層圖案223的厚度。在另一實施例中,所述高反射的材料層圖案222可以具有一厚度是等於或大於所述光屏蔽層圖案223。所述光 屏蔽層圖案223可以是由一種光屏蔽材料所形成的,例如是鉻(Cr)層圖案。 The highly reflective material layer pattern 222 may have a multi-layered structure in which the first layer pattern 222a and the second layer pattern 222b are alternately disposed. In an embodiment, the first layer pattern 222a and the second layer pattern 222b may be a molybdenum (Mo) layer pattern and a bismuth (Si) layer pattern, respectively. In another embodiment, the first layer pattern 222a and the second layer pattern 222b may be a ruthenium (Ru) layer pattern and a bismuth (Si) layer pattern, a molybdenum (Mo) layer pattern, and a beryllium (Be) layer pattern, respectively. Or a 矽 (Si) layer pattern and a 铌 (Nb) layer pattern. The highly reflective material layer pattern 222 can have a reflectance of from 20% to 90% relative to light. The reflectance of the highly reflective material layer pattern 222 can be adjusted by adjusting the stacked high reflective material layer pattern 222 including the first layer pattern 222a and the second layer pattern 222b forming the highly reflective material layer pattern 222. The thickness and quantity are appropriately controlled. In an embodiment, the highly reflective material layer pattern 222 may have a thickness that is less than a thickness of the light shielding layer pattern 223. In another embodiment, the highly reflective material layer pattern 222 may have a thickness equal to or greater than the light shielding layer pattern 223. The light The shield pattern 223 may be formed of a light shielding material such as a chromium (Cr) layer pattern.

在根據本實施例的光罩220中,對應於透射所述透光基板221的入射光228的20%到90%的光是藉由所述高反射的材料層圖案222而被反射到透光基板221。於是,一對應於入射光228的6%的光量是從所述光屏蔽層圖案223透射。因而,一對應於入射光228的4%至74%的光量是被吸收到所述光屏蔽層圖案223中。如同在所述圖中所示,在一其中所述高反射的材料層圖案222具有一50%的反射度並且所述光屏蔽層圖案223具有一6%的透射率的實施例中,對應於44%的光被吸收到所述光屏蔽層圖案223中。因此,在一微影製程期間,當相較於其中所有剩餘的光量(除了小量的反射光以及透射光之外)都被吸收到所述光屏蔽層圖案223中的情形,所述光屏蔽層圖案223的溫度上升可被抑制。在另一實施例中,當一種具有低導熱度的材料被使用作為所述高反射的材料層圖案222的材料時,減少一定程度的因為所述光屏蔽層圖案223吸收光量所產生的熱被轉移至所述透光基板221是可能的。 In the reticle 220 according to the present embodiment, 20% to 90% of the light corresponding to the incident light 228 transmitted through the light-transmitting substrate 221 is reflected to the light-transmitting by the highly-reflected material layer pattern 222. Substrate 221. Thus, a light amount corresponding to 6% of the incident light 228 is transmitted from the light shielding layer pattern 223. Thus, a light amount corresponding to 4% to 74% of the incident light 228 is absorbed into the light shielding layer pattern 223. As shown in the figure, in an embodiment in which the highly reflective material layer pattern 222 has a reflectance of 50% and the light shielding layer pattern 223 has a transmittance of 6%, corresponding to 44% of the light is absorbed into the light shielding layer pattern 223. Therefore, during a lithography process, when light is absorbed into the light shielding layer pattern 223 as compared with all remaining light amounts (except for a small amount of reflected light and transmitted light), the light shielding The temperature rise of the layer pattern 223 can be suppressed. In another embodiment, when a material having low thermal conductivity is used as the material of the highly reflective material layer pattern 222, the heat generated by the light shielding layer pattern 223 is reduced by a certain amount. Transfer to the light transmissive substrate 221 is possible.

圖8是描繪根據一實施例的一種光罩的橫截面圖。參照圖8,根據本實施例的一種光罩230包含高反射的材料層圖案232以及相移層圖案233-1,其被設置在一透光基板231上。此種光罩230可以藉由利用在此參考圖3所述的空白遮罩130作為一原始的處理目標,透過一適當的圖案化製程來加以形成。所述光罩230具有一主要圖案區域230M以及一框區域230F。所述主要圖案區域230M是被框區域230F所圍繞。所述主要圖案區域230M是一其中用於圖案至一晶圓的轉移的相移層圖案233-1被設置的區域,並且所述框區域230F是一其中光被屏蔽以抑制在一微影製程期間的重 疊現象的區域。所述主要圖案區域230M是具有透光區域235以及相移區域236。所述透光基板231的表面是在透光區域235中被露出,並且所述高反射的材料層圖案232以及相移層圖案233-1是被設置在所述相移區域236中的透光基板231上。在所述框區域230F中,高反射的材料層圖案232、相移層圖案233-1以及光屏蔽層圖案233-2是被設置在所述透光基板231上。所述透光基板231可以是由一種例如是石英的透光材料所形成的。儘管未展示在所述圖中,在一其中複數個主要圖案區域230M被界定的實施例中,所述主要圖案區域230M可以藉由切割線來加以劃分。在所述實施例中,在所述切割線之處,如同在框區域230F中一般的,所述高反射的材料層圖案232、相移層圖案233-1以及光屏蔽層圖案233-2是被設置在所述透光基板231上。 FIG. 8 is a cross-sectional view depicting a reticle in accordance with an embodiment. Referring to FIG. 8, a photomask 230 according to the present embodiment includes a highly reflective material layer pattern 232 and a phase shift layer pattern 233-1 disposed on a light transmissive substrate 231. Such a reticle 230 can be formed by a suitable patterning process by using the blank mask 130 described herein with reference to FIG. 3 as an original processing target. The reticle 230 has a main pattern area 230M and a frame area 230F. The main pattern area 230M is surrounded by the frame area 230F. The main pattern area 230M is an area in which a phase shift layer pattern 233-1 for patterning to a wafer is disposed, and the frame area 230F is a medium in which light is shielded to be suppressed in a lithography process. Heavy during the period The area of the phenomenon. The main pattern region 230M has a light transmissive region 235 and a phase shift region 236. The surface of the light transmissive substrate 231 is exposed in the light transmissive region 235, and the highly reflective material layer pattern 232 and the phase shift layer pattern 233-1 are light transmissive disposed in the phase shift region 236. On the substrate 231. In the frame region 230F, the highly reflective material layer pattern 232, the phase shift layer pattern 233-1, and the light shielding layer pattern 233-2 are disposed on the light transmissive substrate 231. The light transmissive substrate 231 may be formed of a light transmissive material such as quartz. Although not shown in the figures, in an embodiment in which a plurality of primary pattern regions 230M are defined, the primary pattern regions 230M may be divided by a cut line. In the embodiment, at the cut line, as in the frame region 230F, the highly reflective material layer pattern 232, the phase shift layer pattern 233-1, and the light shielding layer pattern 233-2 are It is disposed on the light-transmitting substrate 231.

所述高反射的材料層圖案232可以是由一種包含矽(Si)、鉬(Mo)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈦(Ti)、鉑(Pt)、釕(Ru)、鉻(Cr)以及錫(Sn)中的至少任一個的材料所形成的。再者,所述高反射的材料層圖案232可以額外包含氧(O)及氮(N)的任一成分。此種高反射的材料層圖案232可以具有一相對光的20%到90%的反射度。所述高反射的材料層圖案232的反射度可以藉由調節形成所述高反射的材料層圖案232的材料的組成比來適當地加以控制。在一實施例中,所述高反射的材料層圖案232可以具有一厚度是小於所述相移層圖案233-1的厚度。在另一實施例中,所述高反射的材料層圖案232可以具有一厚度是等於或大於所述相移層圖案233-1。在一實施例中,所述相移層圖案233-1可以是由一種例如是鉬矽(MoSi)的相移材料所形成的。在另一實施例中,所述相移層圖案233-1可以是由一種鉬矽氮化物 (MoSiN)或是一種矽氧化物(SiO2)所形成的。所述高反射的材料層圖案232以及相移層圖案233-1的堆疊結構可以具有一等於或小於50%(例如是約6%)的透射率、以及一150°到250°的相移度。所述光屏蔽層圖案233-2可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。 The highly reflective material layer pattern 232 may be composed of one comprising bismuth (Si), molybdenum (Mo), tantalum (Ta), zirconium (Zr), aluminum (Al), titanium (Ti), platinum (Pt), tantalum. A material formed of at least one of (Ru), chromium (Cr), and tin (Sn). Furthermore, the highly reflective material layer pattern 232 may additionally comprise any of oxygen (O) and nitrogen (N). Such a highly reflective material layer pattern 232 can have a reflectance of from 20% to 90% relative to light. The reflectance of the highly reflective material layer pattern 232 can be appropriately controlled by adjusting the composition ratio of the material forming the highly reflective material layer pattern 232. In an embodiment, the highly reflective material layer pattern 232 may have a thickness that is less than the thickness of the phase shift layer pattern 233-1. In another embodiment, the highly reflective material layer pattern 232 may have a thickness equal to or greater than the phase shift layer pattern 233-1. In an embodiment, the phase shift layer pattern 233-1 may be formed of a phase shift material such as molybdenum tantalum (MoSi). In another embodiment, the phase shift layer pattern 233-1 may be a molybdenum niobium nitride (MoSiN) is formed by a cerium oxide (SiO2). The highly reflective material layer pattern 232 and the stacked structure of the phase shift layer pattern 233-1 may have a transmittance equal to or less than 50% (for example, about 6%), and a phase shift of 150 to 250 degrees. . The light shielding layer pattern 233-2 may be formed of a light shielding material such as chromium (Cr).

在根據本實施例的光罩230中,對應於透射所述透光基板231的入射光238的20%到90%的光是藉由所述高反射的材料層圖案232而被反射到所述透光基板231。再者,透射所述高反射的材料層圖案232的光的一部分是藉由所述相移層圖案233-1而被反射到所述透光基板231。於是,一對應於所述入射光238的6%的光量是從所述相移層圖案233-1透射。因而,一對應於所述入射光238的4%至74%的光量是被吸收到所述相移層圖案233-1中。 In the photomask 230 according to the present embodiment, 20% to 90% of the light corresponding to the incident light 238 transmitted through the transparent substrate 231 is reflected by the highly reflective material layer pattern 232 to the Transmissive substrate 231. Furthermore, a portion of the light transmitted through the highly reflective material layer pattern 232 is reflected to the light transmissive substrate 231 by the phase shift layer pattern 233-1. Thus, a light amount corresponding to 6% of the incident light 238 is transmitted from the phase shift layer pattern 233-1. Thus, a light amount corresponding to 4% to 74% of the incident light 238 is absorbed into the phase shift layer pattern 233-1.

如同在所述圖中所示,在一其中所述高反射的材料層圖案232具有一50%的反射度並且所述相移層圖案233-1具有一6%的透射率的實施例中,當忽略所述相移層圖案233-1的反射度時,所述相移層圖案233-1吸收對應於44%的光。因此,在一微影製程期間,當相較於其中所有剩餘的光量(除了小量的反射光以及透射光之外)都被吸收到所述相移層圖案233-1中的情形,所述相移層圖案233-1的溫度上升可被抑制。在另一實施例中,當一種具有低導熱度的材料被使用作為所述高反射的材料層圖案232的材料時,減少一定程度的因為所述相移層圖案233-1吸收光量所產生的熱被轉移至所述透光基板231是可能的。 As shown in the figure, in an embodiment in which the highly reflective material layer pattern 232 has a reflectance of 50% and the phase shift layer pattern 233-1 has a transmittance of 6%, When the reflectance of the phase shift layer pattern 233-1 is ignored, the phase shift layer pattern 233-1 absorbs light corresponding to 44%. Therefore, during a lithography process, when compared to the case where all of the remaining amount of light (except for a small amount of reflected light and transmitted light) is absorbed into the phase shift layer pattern 233-1, The temperature rise of the phase shift layer pattern 233-1 can be suppressed. In another embodiment, when a material having a low thermal conductivity is used as the material of the highly reflective material layer pattern 232, a certain degree is reduced due to the amount of light absorbed by the phase shift layer pattern 233-1. It is possible that heat is transferred to the light transmissive substrate 231.

圖9是描繪根據一實施例的一種光罩的橫截面圖。參照圖9,根據本實施例的一種光罩240包含高反射的材料層圖案242以及相移層 圖案243-1,其被設置在一透光基板241上。此種光罩240可以藉由利用在此參考圖4所述的空白遮罩140作為一原始的處理目標,透過一適當的圖案化製程來加以形成。所述光罩240具有一主要圖案區域240M以及一框區域240F。所述主要圖案區域240M是由所述框區域240F所圍繞。所述主要圖案區域240M是一其中用於圖案至一晶圓的轉移的相移層圖案243-1被設置的區域,並且所述框區域240F是一其中光被屏蔽以抑制在一微影製程期間的重疊現象的區域。所述主要圖案區域240M具有透光區域245以及相移區域246。所述透光基板241的表面是在透光區域245中被露出,並且所述高反射的材料層圖案242以及相移層圖案243-1是被設置在所述相移區域246中的透光基板241上。在所述框區域240F中,高反射的材料層圖案242、相移層圖案243-1以及光屏蔽層圖案243-2是被設置在所述透光基板241上。 9 is a cross-sectional view depicting a reticle in accordance with an embodiment. Referring to FIG. 9, a photomask 240 according to the present embodiment includes a highly reflective material layer pattern 242 and a phase shift layer. The pattern 243-1 is disposed on a light transmissive substrate 241. Such a reticle 240 can be formed by a suitable patterning process by using the blank mask 140 described herein with reference to FIG. 4 as an original processing target. The reticle 240 has a main pattern area 240M and a frame area 240F. The main pattern area 240M is surrounded by the frame area 240F. The main pattern area 240M is an area in which a phase shift layer pattern 243-1 for patterning to a wafer is disposed, and the frame area 240F is a medium in which light is shielded to be suppressed in a lithography process The area of overlap during the period. The main pattern region 240M has a light transmissive region 245 and a phase shift region 246. The surface of the light transmissive substrate 241 is exposed in the light transmissive region 245, and the highly reflective material layer pattern 242 and the phase shift layer pattern 243-1 are light transmissive disposed in the phase shift region 246. On the substrate 241. In the frame region 240F, the highly reflective material layer pattern 242, the phase shift layer pattern 243-1, and the light shielding layer pattern 243-2 are disposed on the light transmissive substrate 241.

所述透光基板241可以是由一種例如是石英的透光材料所形成的。儘管未展示在所述圖式中,但是在一其中複數個主要圖案區域240M被界定的實施例中,所述主要圖案區域240M可以藉由切割線來加以劃分。在所述實施例中,在所述切割線之處,如同在框區域240F中一般的,高反射的材料層圖案242、相移層圖案243-1以及光屏蔽層圖案243-2是被設置在所述透光基板241上。 The light transmissive substrate 241 may be formed of a light transmissive material such as quartz. Although not shown in the drawings, in an embodiment in which a plurality of main pattern regions 240M are defined, the main pattern regions 240M may be divided by a cut line. In the embodiment, at the cut line, as in the frame region 240F, the highly reflective material layer pattern 242, the phase shift layer pattern 243-1, and the light shielding layer pattern 243-2 are set. On the light transmissive substrate 241.

所述高反射的材料層圖案242可以具有一種多層的結構,其中第一層圖案242a以及第二層圖案242b是被交替地設置。在一實施例中,所述第一層圖案242a以及第二層圖案242b分別可以是鉬(Mo)層圖案以及矽(Si)層圖案。在另一實施例中,所述第一層圖案242a以及第二層圖案242b分別可以是釕(Ru)層圖案以及矽(Si)層圖案、鉬(Mo)層圖案以及鈹(Be)層圖 案、或是矽(Si)層圖案以及鈮(Nb)層圖案。所述高反射的材料層圖案242可以具有一相對光的20%到90%的反射度。所述高反射的材料層圖案242的反射度可以藉由調節包含形成所述高反射的材料層圖案242的第一層圖案242a以及第二層圖案242b的堆疊的高反射的材料層圖案222的厚度及數量來適當地加以控制。在一實施例中,所述高反射的材料層圖案242可以具有一厚度是小於所述光屏蔽層圖案243-1的厚度。在另一實施例中,所述高反射的材料層圖案242可以具有一厚度是等於或大於所述光屏蔽層圖案243-1。 The highly reflective material layer pattern 242 may have a multi-layered structure in which the first layer pattern 242a and the second layer pattern 242b are alternately disposed. In an embodiment, the first layer pattern 242a and the second layer pattern 242b may be a molybdenum (Mo) layer pattern and a bismuth (Si) layer pattern, respectively. In another embodiment, the first layer pattern 242a and the second layer pattern 242b may be a ruthenium (Ru) layer pattern and a bismuth (Si) layer pattern, a molybdenum (Mo) layer pattern, and a beryllium (Be) layer pattern, respectively. Case, or 矽 (Si) layer pattern and 铌 (Nb) layer pattern. The highly reflective material layer pattern 242 can have a reflectance of 20% to 90% relative to light. The reflectivity of the highly reflective material layer pattern 242 can be adjusted by adjusting the stacked high reflective material layer pattern 222 including the first layer pattern 242a and the second layer pattern 242b forming the highly reflective material layer pattern 242. The thickness and quantity are appropriately controlled. In an embodiment, the highly reflective material layer pattern 242 may have a thickness that is less than a thickness of the light shielding layer pattern 243-1. In another embodiment, the highly reflective material layer pattern 242 may have a thickness equal to or greater than the light shielding layer pattern 243-1.

在一實施例中,所述相移層圖案243-1可以是由一種例如是鉬矽(MoSi)的相移材料所形成的。在另一實施例中,所述相移層圖案243-1可以是由一種鉬矽氮化物(MoSiN)或是一種矽氧化物(SiO2)所形成的。所述高反射的材料層圖案242以及相移層圖案243-1的堆疊結構可以具有一等於或小於50%(例如是約6%)的透射率、以及一150°到250°的相移度。所述光屏蔽層圖案243-2可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。 In an embodiment, the phase shift layer pattern 243-1 may be formed of a phase shift material such as molybdenum tantalum (MoSi). In another embodiment, the phase shift layer pattern 243-1 may be formed of a molybdenum tantalum nitride (MoSiN) or a tantalum oxide (SiO2). The stacked structure of the highly reflective material layer pattern 242 and the phase shift layer pattern 243-1 may have a transmittance equal to or less than 50% (for example, about 6%), and a phase shift of 150° to 250°. . The light shielding layer pattern 243-2 may be formed of a light shielding material such as chromium (Cr).

在根據本實施例的光罩240中,對應於透射所述透光基板241的入射光248的20%到90%的光是藉由所述高反射的材料層圖案242而被反射到所述透光基板241。再者,透射所述高反射的材料層圖案242的光的一部分是藉由所述相移層圖案243-1而被反射到所述透光基板241。於是,一對應於所述入射光248的6%的光量是從所述相移層圖案243-1透射。因而,一對應於所述入射光248的4%至74%的光量是被吸收到所述相移層圖案243-1中。 In the reticle 240 according to the present embodiment, 20% to 90% of the light corresponding to the incident light 248 transmitted through the transparent substrate 241 is reflected by the highly reflective material layer pattern 242 to the Transmissive substrate 241. Furthermore, a portion of the light transmitted through the highly reflective material layer pattern 242 is reflected to the light transmissive substrate 241 by the phase shift layer pattern 243-1. Thus, a 6% amount of light corresponding to the incident light 248 is transmitted from the phase shift layer pattern 243-1. Thus, a light amount corresponding to 4% to 74% of the incident light 248 is absorbed into the phase shift layer pattern 243-1.

如同在所述圖中所示,在其中所述高反射的材料層圖案242 具有一50%的反射度並且所述相移層圖案243-1具有一6%的透射率的狀況中,當忽略所述相移層圖案243-1的反射度時,所述相移層圖案243-1吸收對應於44%的光。因此,在一微影製程期間,當相較於其中所有剩餘的光量(除了小量的反射光以及透射光之外)都被吸收到所述相移層圖案243-1中的情形,所述相移層圖案243-1的溫度上升可被抑制。在另一實施例中,當一種具有低導熱度的材料被使用作為所述高反射的材料層圖案242的材料時,減少一定程度的因為所述相移層圖案243-1吸收光量所產生的熱被轉移至所述透光基板241是可能的。 As shown in the figure, the highly reflective material layer pattern 242 is In the case of having a reflectance of 50% and the phase shift layer pattern 243-1 has a transmittance of 6%, when the reflectance of the phase shift layer pattern 243-1 is ignored, the phase shift layer pattern The 243-1 absorption corresponds to 44% of the light. Therefore, during a lithography process, when compared to all of the remaining amount of light (except for a small amount of reflected light and transmitted light) being absorbed into the phase shift layer pattern 243-1, The temperature rise of the phase shift layer pattern 243-1 can be suppressed. In another embodiment, when a material having a low thermal conductivity is used as the material of the highly reflective material layer pattern 242, a certain degree is reduced due to the amount of light absorbed by the phase shift layer pattern 243-1. It is possible that heat is transferred to the light transmissive substrate 241.

圖10是描繪根據一實施例的一種光罩的橫截面圖。參照圖10,根據本實施例的一種光罩250包含光屏蔽層圖案253,其被設置在一透光基板251上。所述透光基板251具有透光區域255以及光屏蔽區域256。所述透光基板251的表面是在透光區域255中被露出,並且所述光屏蔽層圖案253是被設置在所述光屏蔽區域256中的透光基板251上。除了其中轉移圖案被設置的主要圖案區域之外,所述光屏蔽區域256可包含切割線或是框區域。在一實施例中,所述透光基板251可以是由石英所形成的。在一實施例中,所述光屏蔽層圖案253可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。在根據本實施例的光罩250中,所述光屏蔽層圖案253是由一種可以反射入射光258的至少20%到90%(例如是50%)並且可以透射高達10%(例如是6%)的入射光258的材料所形成的。 Figure 10 is a cross-sectional view depicting a reticle in accordance with an embodiment. Referring to FIG. 10, a photomask 250 according to the present embodiment includes a light shielding layer pattern 253 which is disposed on a light transmissive substrate 251. The transparent substrate 251 has a light transmitting region 255 and a light shielding region 256. The surface of the light-transmitting substrate 251 is exposed in the light-transmitting region 255, and the light-shielding layer pattern 253 is disposed on the light-transmitting substrate 251 in the light-shielding region 256. The light shielding region 256 may include a cutting line or a frame region except for a main pattern region in which the transfer pattern is disposed. In an embodiment, the light transmissive substrate 251 may be formed of quartz. In an embodiment, the light shielding layer pattern 253 may be formed of a light shielding material such as chromium (Cr). In the reticle 250 according to the present embodiment, the light shielding layer pattern 253 is at least 20% to 90% (for example, 50%) which can reflect the incident light 258 and can transmit up to 10% (for example, 6%). The material of the incident light 258 is formed.

在一實施例中,一種例如是氧(O)及氮(N)的調節反射度的成分可被添加到一種形成所述光屏蔽層圖案253的例如是鉻(Cr)的材料中。如同在所述圖中所示,在一其中所述光屏蔽層圖案253具有一50%的反射度以 及一6%的透射率的實施例中,對應於所述光258的44%的入射在所述光屏蔽層圖案253上的光量是被吸收到所述光屏蔽層圖案253中。因此,在一微影製程期間,當相較於其中所有剩餘的光量(除了小量的反射光以及透射光之外)都被吸收到所述光屏蔽層圖案253中的情形,所述光屏蔽層圖案253的溫度上升可被抑制。在另一實施例中,當一種具有低導熱度的材料被使用作為所述光屏蔽層圖案253的材料時,減少一定程度的因為所述光屏蔽層圖案253吸收光量所產生的熱被轉移至所述透光基板251是可能的。儘管一種二元式(binary type)光罩已經在本實施例中被敘述為一例子,但即使是在一相移類型的光罩的情形中,除了相移層圖案被使用,而不是所述光屏蔽層圖案253被使用之外,所有的特點都是類似的。 In an embodiment, a component that adjusts the reflectance, such as oxygen (O) and nitrogen (N), may be added to a material such as chromium (Cr) that forms the light-shielding layer pattern 253. As shown in the figure, the light shielding layer pattern 253 has a reflectance of 50%. In an embodiment of a transmittance of 6%, 44% of the amount of light incident on the light shielding layer pattern 253 corresponding to the light 258 is absorbed into the light shielding layer pattern 253. Therefore, during a lithography process, when light is absorbed into the light shielding layer pattern 253 as compared with all remaining light amounts (except for a small amount of reflected light and transmitted light), the light shielding The temperature rise of the layer pattern 253 can be suppressed. In another embodiment, when a material having a low thermal conductivity is used as the material of the light shielding layer pattern 253, the heat generated by the light shielding layer pattern 253 absorbed by the light amount is reduced to a certain extent. The light transmissive substrate 251 is possible. Although a binary type reticle has been described as an example in this embodiment, even in the case of a phase shift type reticle, except that the phase shift layer pattern is used instead of the All the features are similar except that the light shielding layer pattern 253 is used.

圖11是描繪根據一實施例的一種光罩的平面圖。圖12是沿著圖11的線I-I'所取的橫截面圖。參照圖11及12,根據本實施例的一種光罩260包含光屏蔽層圖案263,其被設置在一透光基板261上。在一實施例中,所述透光基板261可以是由一種例如是石英的透光材料所形成的。所述透光基板261可以具有透光區域265以及光屏蔽區域266。所述透光基板261的表面可以在透光區域265中被露出。所述光屏蔽層圖案263可被設置在所述光屏蔽區域266中的透光基板261上。在一實施例中,所述光屏蔽層圖案263可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。所述光屏蔽層圖案263可包含使得光透過一曝光製程而轉移至一晶圓的轉移圖案、以及被設置在一框區域中的切割線或光屏蔽層圖案。儘管所述光屏蔽層圖案263在本實施例中具有一種四邊形形狀,但是其它例如是圓形孔洞形狀的形狀或幾何也可被使用作為所述屏蔽層圖案263。 Figure 11 is a plan view depicting a reticle in accordance with an embodiment. Figure 12 is a cross-sectional view taken along line II' of Figure 11 . Referring to FIGS. 11 and 12, a photomask 260 according to the present embodiment includes a light shielding layer pattern 263 which is disposed on a light transmissive substrate 261. In an embodiment, the light transmissive substrate 261 may be formed of a light transmissive material such as quartz. The transparent substrate 261 may have a light transmitting region 265 and a light shielding region 266. The surface of the light transmissive substrate 261 may be exposed in the light transmissive region 265. The light shielding layer pattern 263 may be disposed on the light transmissive substrate 261 in the light shielding region 266. In an embodiment, the light shielding layer pattern 263 may be formed of a light shielding material such as chromium (Cr). The light shielding layer pattern 263 may include a transfer pattern that transfers light to a wafer through an exposure process, and a cut line or light shielding layer pattern disposed in a frame region. Although the light shielding layer pattern 263 has a quadrangular shape in the present embodiment, other shapes or geometries such as a circular hole shape may also be used as the shielding layer pattern 263.

所述光屏蔽層圖案263可被形成以包含內部的第二光屏蔽層圖案263b、以及圍繞所述第二光屏蔽層圖案263b的第一光屏蔽層圖案263a。所述第一光屏蔽層圖案263a具有一第一厚度t1。所述第二光屏蔽層圖案263b具有一第二厚度t2,其是比所述第一光屏蔽層圖案263a的第一厚度t1相對薄的。所述第一光屏蔽層圖案263a的第一厚度t1可以是被設置在一空白遮罩中的一光屏蔽層的厚度。所述第二光屏蔽層圖案263b的第二厚度t2可以是一從被設置在所述空白遮罩中的光屏蔽層的厚度減少一預設厚度的厚度。在一實施例中,所述第二光屏蔽層圖案263b的第二厚度t2可以是所述第一光屏蔽層圖案263a的第一厚度t1的約50%到約90%。所述光屏蔽層圖案263的厚度可以決定或影響透射所述光屏蔽層圖案263的光量。因此,當所述光屏蔽層圖案263具有一充分的厚度時,透射所述光屏蔽層圖案263的光量可以是小的,並且可以隨著所述光屏蔽層圖案263的厚度被縮減而增加。 The light shielding layer pattern 263 may be formed to include an inner second light shielding layer pattern 263b, and a first light shielding layer pattern 263a surrounding the second light shielding layer pattern 263b. The first light shielding layer pattern 263a has a first thickness t1. The second light shielding layer pattern 263b has a second thickness t2 which is relatively thinner than the first thickness t1 of the first light shielding layer pattern 263a. The first thickness t1 of the first light shielding layer pattern 263a may be the thickness of a light shielding layer disposed in a blank mask. The second thickness t2 of the second light shielding layer pattern 263b may be a thickness reduced from a thickness of the light shielding layer disposed in the blank mask by a predetermined thickness. In an embodiment, the second thickness t2 of the second light shielding layer pattern 263b may be about 50% to about 90% of the first thickness t1 of the first light shielding layer pattern 263a. The thickness of the light shielding layer pattern 263 may determine or affect the amount of light transmitted through the light shielding layer pattern 263. Therefore, when the light shielding layer pattern 263 has a sufficient thickness, the amount of light transmitted through the light shielding layer pattern 263 may be small, and may increase as the thickness of the light shielding layer pattern 263 is reduced.

因此,在其中所述第二光屏蔽層圖案263b的第二厚度t2過薄的狀況中,例如是在其中所述第二光屏蔽層圖案263b的第二厚度t2小於所述第一光屏蔽層圖案263a的第一厚度t1的50%的狀況中,透射所述第二光屏蔽層圖案263b的光量被增大,並且因此所述第二光屏蔽層圖案263b可能會未被轉移到一晶圓。 Therefore, in a case where the second thickness t2 of the second light shielding layer pattern 263b is too thin, for example, in which the second thickness t2 of the second light shielding layer pattern 263b is smaller than the first light shielding layer In the case of 50% of the first thickness t1 of the pattern 263a, the amount of light transmitted through the second light shielding layer pattern 263b is increased, and thus the second light shielding layer pattern 263b may not be transferred to a wafer .

在一實施例中,所述第一光屏蔽層圖案263a的第一厚度t1可以是一容許透射所述第一光屏蔽層圖案263a的光量為入射光的約4%到約40%的厚度。再者,所述第二光屏蔽層圖案263b的第二厚度t2可以是一容許透射所述第二光屏蔽層圖案263b的光量為入射光的約7%到約60%的厚 度。 In an embodiment, the first thickness t1 of the first light shielding layer pattern 263a may be a thickness that allows the light transmitted through the first light shielding layer pattern 263a to be about 4% to about 40% of the incident light. Furthermore, the second thickness t2 of the second light shielding layer pattern 263b may be a thickness that allows transmission of the second light shielding layer pattern 263b to be about 7% to about 60% of the incident light. degree.

圖13是解釋在其中曝光是利用圖11及12的光罩加以執行的狀況中的光吸收量的視圖。在圖13中,和圖11及12中相同的元件符號是指相同的元件。參照圖13,由於所述第一光屏蔽層圖案263a以及第二光屏蔽層圖案263b是由相同的材料層所形成的,因此在透過所述透光基板261而被照射到第一光屏蔽層圖案263a的光268中的從所述第一光屏蔽層圖案263a被反射的光量、以及在透過所述透光基板261而被照射到第二光屏蔽層圖案263b的光269中的從所述第二光屏蔽層圖案263b被反射的光量是實質相同的。在一實施例中,如同在所述圖中所示,在透過所述透光基板261而被照射到第一光屏蔽層圖案263a的光268中的從所述第一光屏蔽層圖案263a被反射的光量、以及在透過所述透光基板261而被照射到第二光屏蔽層圖案263b的光269中的從所述第二光屏蔽層圖案263b被反射的光量可以是大約24%。相反地,由於所述第一光屏蔽層圖案263a以及第二光屏蔽層圖案263b具有不同的厚度,因此透射所述第一光屏蔽層圖案263a以及第二光屏蔽層圖案263b的光量可能是彼此不同的。 Fig. 13 is a view for explaining the amount of light absorption in a state in which exposure is performed using the reticle of Figs. 11 and 12. In FIG. 13, the same component symbols as in FIGS. 11 and 12 refer to the same components. Referring to FIG. 13, since the first light shielding layer pattern 263a and the second light shielding layer pattern 263b are formed of the same material layer, they are irradiated to the first light shielding layer through the transparent substrate 261. The amount of light reflected from the first light shielding layer pattern 263a in the light 268 of the pattern 263a and the light 269 irradiated to the second light shielding layer pattern 263b through the light transmitting substrate 261 are from the The amount of light reflected by the second light shielding layer pattern 263b is substantially the same. In an embodiment, as shown in the drawing, from the first light shielding layer pattern 263a, the light 268 irradiated to the first light shielding layer pattern 263a through the light transmissive substrate 261 is The amount of reflected light and the amount of light reflected from the second light shielding layer pattern 263b in the light 269 irradiated to the second light shielding layer pattern 263b through the light transmitting substrate 261 may be about 24%. Conversely, since the first light shielding layer pattern 263a and the second light shielding layer pattern 263b have different thicknesses, the amount of light transmitted through the first light shielding layer pattern 263a and the second light shielding layer pattern 263b may be each other different.

例如,對應於透過所述透光基板261而被照射到第一光屏蔽層圖案263a的光268的大約6%的光量是透射所述第一光屏蔽層圖案263a,於是,被吸收到所述第一光屏蔽層圖案263a中的光量是所述照射光268的大約70%。對應於透過所述透光基板261而被照射到第二光屏蔽層圖案263b的光269的大約26%的光量是透射所述第二光屏蔽層圖案263b,於是,被吸收到所述第二光屏蔽層圖案263b中的光量是所述照射光269的大約50%。因此,在具有相對較薄的第二厚度t2的第二光屏蔽層圖案263b中的 光吸收量是被降低。因此,由於照射光在所述整個光屏蔽層圖案263中的吸收所產生的熱量可被降低。此種在曝光製程期間的光吸收量分布可以同樣被應用到一種相移遮罩的情形,其中相移層圖案被施加到所述相移遮罩,而不是所述光屏蔽層圖案263。 For example, about 6% of the light amount corresponding to the light 268 irradiated to the first light shielding layer pattern 263a through the light transmissive substrate 261 is transmitted through the first light shielding layer pattern 263a, and thus is absorbed into the The amount of light in the first light shielding layer pattern 263a is about 70% of the illumination light 268. Approximately 26% of the light amount corresponding to the light 269 irradiated to the second light shielding layer pattern 263b through the transparent substrate 261 is transmitted through the second light shielding layer pattern 263b, and thus is absorbed to the second The amount of light in the light shielding layer pattern 263b is about 50% of the irradiation light 269. Therefore, in the second light shielding layer pattern 263b having a relatively thin second thickness t2 The amount of light absorption is reduced. Therefore, heat generated by absorption of the illumination light in the entire light shielding layer pattern 263 can be lowered. Such a light absorption amount distribution during the exposure process can be similarly applied to a phase shift mask in which a phase shift layer pattern is applied instead of the light shielding layer pattern 263.

圖14是描繪光阻層圖案的平面圖,其藉由利用圖11及12的光罩以執行一微影製程而被形成。圖15是沿著圖14的線II-II'所取的橫截面圖。和圖11及12一起參照圖14及15,一微影製程是針對於一光阻層,藉由利用圖11及12的光罩260來加以執行,所述光阻層是被形成在一目標圖案化層382上,而所述目標圖案化層382是被形成在一基板381上。在其中所述光阻層是正型的狀況中,所述光阻層是藉由在其中被透射所述透光區域265的光照射的區域391中的顯影來加以移除,並且光阻層圖案383是被形成為所述光阻層,其並未藉由顯影來加以移除,而是留在對應於所述光屏蔽區域266的光屏蔽層圖案263的區域392中。換言之,所述光阻層圖案383是在所述第一光屏蔽層圖案263a以及第二光屏蔽層圖案263b被轉移時所形成的圖案。因此,即使所述光屏蔽層圖案263是藉由具有不同厚度的第一光屏蔽層圖案263a以及第二光屏蔽層圖案263b來加以形成,但仍然沒有影響會被施加在所述光屏蔽層圖案263至被形成在基板381上的光阻層圖案383的轉移上。因此,藉由利用根據另一實施例的一種光罩,利用光屏蔽層圖案所轉移的光阻層圖案383可以如同在此參考圖14及15所述地加以形成。 Figure 14 is a plan view depicting a photoresist layer pattern formed by using the photomask of Figures 11 and 12 to perform a lithography process. Figure 15 is a cross-sectional view taken along line II-II' of Figure 14. Referring to Figures 14 and 15 together with Figures 11 and 12, a lithography process is directed to a photoresist layer, which is performed using the mask 260 of Figures 11 and 12, which is formed in a target The patterned layer 382 is formed on the substrate 381. In the case where the photoresist layer is a positive type, the photoresist layer is removed by development in a region 391 in which light transmitted through the light-transmitting region 265 is irradiated, and the photoresist layer pattern 383 is formed as the photoresist layer, which is not removed by development, but remains in the region 392 of the light shielding layer pattern 263 corresponding to the light shielding region 266. In other words, the photoresist layer pattern 383 is a pattern formed when the first light shielding layer pattern 263a and the second light shielding layer pattern 263b are transferred. Therefore, even if the light shielding layer pattern 263 is formed by the first light shielding layer pattern 263a and the second light shielding layer pattern 263b having different thicknesses, no effect is exerted on the light shielding layer pattern. 263 is transferred to the photoresist layer pattern 383 formed on the substrate 381. Therefore, by using a photomask according to another embodiment, the photoresist layer pattern 383 transferred by the light shielding layer pattern can be formed as described herein with reference to FIGS. 14 and 15.

圖16是描繪根據一實施例的一種光罩的平面圖。圖17是沿著圖16的線III-III'所取的橫截面圖。參照圖16及17,根據本實施例的一種 光罩270包含光屏蔽層圖案273,其被設置在一透光基板271上。在一實施例中,所述透光基板271可以是由一種例如是石英的透光材料所形成的。所述光罩270可以具有透光區域275以及光屏蔽區域276。所述透光基板271的表面可以在所述透光區域275中被露出。所述光屏蔽層圖案273可被設置在所述光屏蔽區域276中的透光基板271上。在一實施例中,所述光屏蔽層圖案273可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。在另一實施例中,相移層圖案可被使用,而不是所述光屏蔽層圖案273。所述相移層圖案可以是由一種相移材料所形成的,例如是鉬矽(MoSi)、一種鉬矽氮化物(MoSiN)或是一種矽氧化物(SiO2)。 Figure 16 is a plan view depicting a reticle in accordance with an embodiment. Figure 17 is a cross-sectional view taken along line III-III' of Figure 16 . 16 and 17, a type according to the embodiment The photomask 270 includes a light shielding layer pattern 273 which is disposed on a light transmissive substrate 271. In an embodiment, the light transmissive substrate 271 may be formed of a light transmissive material such as quartz. The reticle 270 can have a light transmissive region 275 and a light shielding region 276. The surface of the light transmissive substrate 271 may be exposed in the light transmissive region 275. The light shielding layer pattern 273 may be disposed on the light transmissive substrate 271 in the light shielding region 276. In an embodiment, the light shielding layer pattern 273 may be formed of a light shielding material such as chromium (Cr). In another embodiment, a phase shift layer pattern can be used instead of the light shielding layer pattern 273. The phase shift layer pattern may be formed of a phase shift material such as molybdenum tantalum (MoSi), a molybdenum tantalum nitride (MoSiN) or a tantalum oxide (SiO2).

在所述實施例中,所述光屏蔽區域276變成相移區域。儘管所述光屏蔽層圖案273在本實施例中具有一四邊形形狀,但是其它例如是圓形孔洞形狀的形狀或幾何亦可被使用作為所述光屏蔽層圖案273。 In the illustrated embodiment, the light shielding region 276 becomes a phase shifting region. Although the light shielding layer pattern 273 has a quadrangular shape in this embodiment, other shapes or geometries such as a circular hole shape may also be used as the light shielding layer pattern 273.

所述光屏蔽層圖案273可被形成以包含具有一第一厚度t3的第一光屏蔽層圖案273a以及具有一小於所述第一厚度t3的第二厚度t4的第二光屏蔽層圖案273b。所述第一光屏蔽層圖案273a以及第二光屏蔽層圖案273b是由相同的材料層所形成的。複數個第二光屏蔽層圖案273b可被設置在每個光屏蔽區域276中。在每個光屏蔽區域276中的個別的第二光屏蔽層圖案273b可以具有縱長延伸在一例如是第一方向的方向上的條帶形狀。在每個光屏蔽區域276中的個別的第二光屏蔽層圖案273b可被設置以在一實質垂直於所述第一方向的第二方向上,以一預設的間隙和彼此分開。 The light shielding layer pattern 273 may be formed to include a first light shielding layer pattern 273a having a first thickness t3 and a second light shielding layer pattern 273b having a second thickness t4 smaller than the first thickness t3. The first light shielding layer pattern 273a and the second light shielding layer pattern 273b are formed of the same material layer. A plurality of second light shielding layer patterns 273b may be disposed in each of the light shielding regions 276. The individual second light shielding layer patterns 273b in each of the light shielding regions 276 may have a strip shape extending longitudinally in a direction such as a first direction. The individual second light shielding layer patterns 273b in each of the light shielding regions 276 may be disposed to be separated from each other by a predetermined gap in a second direction substantially perpendicular to the first direction.

在每個光屏蔽區域276中,所述第一光屏蔽層圖案273a是被設置以圍繞所述個別的第二光屏蔽層圖案273b。因此,所述第一光屏蔽 層圖案273a是在所述第一方向上被設置在第二光屏蔽層圖案273b的兩末端。在所述第二方向上並且在每個光屏蔽區域276中,所述第一光屏蔽層圖案273a以及第二光屏蔽層圖案273b是被交替地設置,但是所述第一光屏蔽層圖案273a是被設置在兩端。根據所述光屏蔽層圖案273的此種配置,具有相對較厚的第一厚度t3的第一光屏蔽層圖案273a可被設置在所述光屏蔽層圖案273的所有鄰接透光區域275的末端處,因而充分地確保在所述透光區域275以及光屏蔽區域276之間的邊界處的透光量以及屏蔽光量的差異是可能的。 In each of the light shielding regions 276, the first light shielding layer pattern 273a is disposed to surround the individual second light shielding layer patterns 273b. Therefore, the first light shielding The layer patterns 273a are disposed at both ends of the second light shielding layer pattern 273b in the first direction. In the second direction and in each of the light shielding regions 276, the first light shielding layer pattern 273a and the second light shielding layer pattern 273b are alternately disposed, but the first light shielding layer pattern 273a Is set at both ends. According to such a configuration of the light shielding layer pattern 273, the first light shielding layer pattern 273a having a relatively thick first thickness t3 may be disposed at the end of all adjacent light transmitting regions 275 of the light shielding layer pattern 273. It is thus possible to sufficiently ensure the amount of light transmission at the boundary between the light-transmitting region 275 and the light-shielding region 276 and the difference in the amount of shielding light.

所述第一光屏蔽層圖案273a的第一厚度t3可以是被設置在一空白遮罩中的一光屏蔽層的厚度。所述第二光屏蔽層圖案273b的第二厚度t4可以是一從被設置在所述空白遮罩中的光屏蔽層的厚度減少一預設厚度的厚度。在一實施例中,所述第二光屏蔽層圖案273b的第二厚度t4可以是所述第一光屏蔽層圖案273a的第一厚度t3的約50%到約90%。在其中所述第二光屏蔽層圖案273b的第二厚度t4是過薄的狀況中,例如是在其中所述第二光屏蔽層圖案273b的第二厚度t4小於所述第一光屏蔽層圖案273a的第一厚度t3的50%的狀況中,透射所述第二光屏蔽層圖案273b的光量被增大,並且因此所述第二光屏蔽層圖案273b可能會未被轉移到一晶圓。在一實施例中,所述第一光屏蔽層圖案273a的第一厚度t3可以是一容許透射所述第一光屏蔽層圖案273a的光的透射率為入射光的約4%到約40%的厚度。再者,所述第二光屏蔽層圖案273b的第二厚度t4可以是一容許透射所述第二光屏蔽層圖案273b的光的透射率為入射光的約7%到約60%的厚度。 The first thickness t3 of the first light shielding layer pattern 273a may be the thickness of a light shielding layer disposed in a blank mask. The second thickness t4 of the second light shielding layer pattern 273b may be a thickness reduced from a thickness of the light shielding layer disposed in the blank mask by a predetermined thickness. In an embodiment, the second thickness t4 of the second light shielding layer pattern 273b may be about 50% to about 90% of the first thickness t3 of the first light shielding layer pattern 273a. In a case where the second thickness t4 of the second light shielding layer pattern 273b is too thin, for example, in which the second thickness t4 of the second light shielding layer pattern 273b is smaller than the first light shielding layer pattern In the case of 50% of the first thickness t3 of 273a, the amount of light transmitted through the second light shielding layer pattern 273b is increased, and thus the second light shielding layer pattern 273b may not be transferred to a wafer. In an embodiment, the first thickness t3 of the first light shielding layer pattern 273a may be a transmittance that allows transmission of the light of the first light shielding layer pattern 273a to be about 4% to about 40% of the incident light. thickness of. Furthermore, the second thickness t4 of the second light shielding layer pattern 273b may be a thickness that allows transmission of light of the second light shielding layer pattern 273b to be about 7% to about 60% of incident light.

圖18是解釋在其中曝光是利用圖16及17的光罩加以執行 的狀況中的光吸收量的視圖。在圖18中,和圖16及17中相同的元件符號是指相同的元件。參照圖18,由於所述第一光屏蔽層圖案273a以及第二光屏蔽層圖案273b是由相同的材料層所形成的,因此在透過所述透光基板271而被照射到第一光屏蔽層圖案273a的光278中的從所述第一光屏蔽層圖案273a被反射的光量、以及在透過所述透光基板271而被照射到第二光屏蔽層圖案273b的光279中的從所述第二光屏蔽層圖案273b被反射的光量是彼此實質相同的。因此,在透過所述透光基板271而被照射到第一光屏蔽層圖案273a的光278中的從所述第一光屏蔽層圖案273a被反射的光量、以及在透過所述透光基板271而被照射到第二光屏蔽層圖案273b的光279中的從所述第二光屏蔽層圖案273b被反射的光量兩者都可以是大約24%。 Figure 18 is an illustration in which the exposure is performed using the mask of Figures 16 and 17 A view of the amount of light absorbed in the condition. In FIG. 18, the same component symbols as in FIGS. 16 and 17 refer to the same components. Referring to FIG. 18, since the first light shielding layer pattern 273a and the second light shielding layer pattern 273b are formed of the same material layer, they are irradiated to the first light shielding layer through the light transmitting substrate 271. The amount of light reflected from the first light shielding layer pattern 273a in the light 278 of the pattern 273a and the light 279 irradiated to the second light shielding layer pattern 273b through the light transmitting substrate 271 are from the The amount of light reflected by the second light shielding layer pattern 273b is substantially the same as each other. Therefore, the amount of light reflected from the first light shielding layer pattern 273a in the light 278 irradiated to the first light shielding layer pattern 273a through the light transmitting substrate 271, and the light transmitting through the transparent substrate 271 The amount of light reflected from the second light shielding layer pattern 273b in the light 279 irradiated to the second light shielding layer pattern 273b may be about 24%.

相反地,由於所述第一光屏蔽層圖案273a以及第二光屏蔽層圖案273b具有不同的厚度,因此透射所述第一光屏蔽層圖案273a以及第二光屏蔽層圖案273b的光量可能是彼此不同的。例如,對應於透過所述透光基板271而被照射到第一光屏蔽層圖案273a的光278的大約6%的光量是透射所述第一光屏蔽層圖案273a,於是,被吸收到所述第一光屏蔽層圖案273a中的光量是所述照射光278的大約70%。相反地,對應於透過所述透光基板271而被照射到第二光屏蔽層圖案273b的光279的大約26%的光量是透射所述第二光屏蔽層圖案273b,於是,被吸收到所述第二光屏蔽層圖案273b中的光量是所述照射光279的大約50%。因此,在具有相對較薄的第二厚度t4的第二光屏蔽層圖案273b中的光吸收量是被降低,因而由於照射光在所述光屏蔽層圖案273中的吸收所產生的熱量可被降低。 Conversely, since the first light shielding layer pattern 273a and the second light shielding layer pattern 273b have different thicknesses, the amount of light transmitted through the first light shielding layer pattern 273a and the second light shielding layer pattern 273b may be each other different. For example, about 6% of the amount of light corresponding to the light 278 irradiated to the first light-shielding layer pattern 273a through the light-transmitting substrate 271 is transmitted through the first light-shielding layer pattern 273a, and thus is absorbed into the The amount of light in the first light shielding layer pattern 273a is about 70% of the irradiation light 278. On the contrary, about 26% of the light amount corresponding to the light 279 irradiated to the second light shielding layer pattern 273b through the light-transmitting substrate 271 is transmitted through the second light shielding layer pattern 273b, and thus is absorbed into the The amount of light in the second light shielding layer pattern 273b is about 50% of the irradiation light 279. Therefore, the amount of light absorption in the second light shielding layer pattern 273b having the relatively thin second thickness t4 is lowered, and thus the heat generated by the absorption of the irradiation light in the light shielding layer pattern 273 can be reduce.

圖19是描繪根據一實施例的一種光罩的平面圖。圖20是沿 著圖19的線IV-IV'所取的橫截面圖。參照圖19及20,根據本實施例的一種光罩280包含光屏蔽層圖案283,其被設置在一透光基板281上。在一實施例中,所述透光基板281可以是由一種例如是石英的透光材料所形成的。所述光罩280可以具有透光區域285以及光屏蔽區域286。所述透光基板281的表面可以在所述透光區域285中被露出。所述光屏蔽層圖案283可被設置在所述光屏蔽區域286中的透光基板281上。在一實施例中,所述光屏蔽層圖案283可以是由一種例如是鉻(Cr)的光屏蔽材料所形成的。在另一實施例中,可以是由一種例如是鉬矽(MoSi)的相移材料所形成的相移層圖案可被使用,而不是所述光屏蔽層圖案283。在此例中,所述光屏蔽區域286變成相移區域。儘管所述光屏蔽層圖案283在本實施例中具有一四邊形形狀,但是其它例如是圓形孔洞形狀的形狀或幾何亦可被使用於所述光屏蔽層圖案283。 19 is a plan view depicting a reticle in accordance with an embodiment. Figure 20 is along A cross-sectional view taken along line IV-IV' of Fig. 19. Referring to FIGS. 19 and 20, a photomask 280 according to the present embodiment includes a light shielding layer pattern 283 which is disposed on a light transmissive substrate 281. In an embodiment, the light transmissive substrate 281 may be formed of a light transmissive material such as quartz. The reticle 280 can have a light transmissive region 285 and a light shielding region 286. The surface of the light transmissive substrate 281 may be exposed in the light transmissive region 285. The light shielding layer pattern 283 may be disposed on the light transmissive substrate 281 in the light shielding region 286. In an embodiment, the light shielding layer pattern 283 may be formed of a light shielding material such as chromium (Cr). In another embodiment, a phase shift layer pattern formed of a phase shift material such as molybdenum ruthenium (MoSi) may be used instead of the light shield layer pattern 283. In this example, the light shielding region 286 becomes a phase shift region. Although the light shielding layer pattern 283 has a quadrangular shape in this embodiment, other shapes or geometries such as a circular hole shape may also be used for the light shielding layer pattern 283.

所述光屏蔽層圖案283的每一個其中可以具有複數個露出所述透光基板281的溝槽區段283a。在每個光屏蔽層圖案283中的個別的溝槽區段283a可以具有縱長延伸在一第一方向上的條帶形狀,並且可被設置以在一實質垂直於所述第一方向的第二方向上,和彼此分開一預設的間隙。因此,所述光屏蔽層圖案283以及溝槽區段283a是被交替地設置在所述第二方向上。 Each of the light shielding layer patterns 283 may have a plurality of trench segments 283a exposing the light transmissive substrate 281 therein. The individual groove segments 283a in each of the light shielding layer patterns 283 may have a strip shape extending longitudinally in a first direction, and may be disposed to be substantially perpendicular to the first direction In the two directions, a predetermined gap is separated from each other. Therefore, the light shielding layer pattern 283 and the groove portion 283a are alternately disposed in the second direction.

所述溝槽區段283a的寬度W1是一具有一種程度為溝槽區段283a本身不會在一微影製程中被轉移到一晶圓的寬度,即使所述透光基板281的表面是被溝槽區段283a所露出。此種狀況的溝槽區段283a的寬度W1可以根據所述光屏蔽層圖案283的尺寸及厚度、所用的光波長、用在一 微影裝置的一照明系統、等等來加以決定。由於所述溝槽區段283a本身並未在一微影製程中以此種方式被轉移到一晶圓,因此所述光屏蔽層圖案283的包含溝槽區段283a的整體形狀的圖案可被轉移到一晶圓。 The width W1 of the trench segment 283a is such that the trench segment 283a itself is not transferred to a wafer in a lithography process, even if the surface of the transparent substrate 281 is The groove section 283a is exposed. The width W1 of the groove portion 283a of such a condition may be used in accordance with the size and thickness of the light shielding layer pattern 283, the wavelength of light used, A lighting system of the lithography apparatus, etc., is determined. Since the trench segment 283a itself is not transferred to a wafer in this manner in a lithography process, the pattern of the overall shape of the light shielding layer pattern 283 including the trench portion 283a can be Transfer to a wafer.

在根據本實施例的光罩280中,藉由被所述光屏蔽層圖案283吸收的光能所產生的熱可以從光屏蔽層圖案283的由於所述溝槽區段283a的存在而露出的表面來加以耗散,於是,由於所述光屏蔽層圖案283在一微影製程中的光能吸收所造成的溫度上升可被抑制。再者,因為在所述光屏蔽層圖案283以及透光基板281之間的接觸面積被減少掉所述溝槽區段283a的面積,因此由所述光屏蔽層圖案283所產生的熱被轉移至所述透光基板281的現象可被抑制。再者,由於所述溝槽區段283a在不會對於圖案化施加影響的範圍內誘使透射所述溝槽區段283a的光折射,因此待被透射一相鄰的光屏蔽層圖案283的光可被補償。 In the mask 280 according to the present embodiment, heat generated by the light energy absorbed by the light shielding layer pattern 283 may be exposed from the light shielding layer pattern 283 due to the presence of the groove section 283a. The surface is dissipated, so that the temperature rise due to the absorption of light energy in the lithographic process of the light-shielding layer pattern 283 can be suppressed. Furthermore, since the contact area between the light shielding layer pattern 283 and the light-transmitting substrate 281 is reduced by the area of the groove section 283a, heat generated by the light shielding layer pattern 283 is transferred. The phenomenon to the light-transmitting substrate 281 can be suppressed. Moreover, since the trench segment 283a induces light refraction through the trench segment 283a within a range that does not exert an influence on the patterning, it is to be transmitted through an adjacent light shielding layer pattern 283. Light can be compensated.

儘管各種的實施例已經在以上加以敘述,但是熟習此項技術者將會理解到所述實施例只是舉例而已。於是,在此所述的用於抑制熱吸收的空白遮罩以及光罩不應該受限於所述的實施例。 Although various embodiments have been described above, those skilled in the art will understand that the embodiments are merely exemplary. Thus, the blank masks and reticle described herein for suppressing heat absorption should not be limited to the described embodiments.

110‧‧‧空白遮罩 110‧‧‧ Blank mask

111‧‧‧透光基板 111‧‧‧Transparent substrate

112‧‧‧高反射的材料層 112‧‧‧Highly reflective material layer

113‧‧‧光屏蔽層 113‧‧‧Light shield

114‧‧‧光阻層 114‧‧‧Photoresist layer

Claims (23)

一種空白光罩,其包括:一透光基板;一高反射的材料層,其被設置在所述透光基板之上;以及一光屏蔽層,其被設置在所述高反射的材料層之上。 A blank reticle comprising: a light transmissive substrate; a highly reflective material layer disposed over the light transmissive substrate; and a light shielding layer disposed over the highly reflective material layer on. 根據申請專利範圍第1項的空白光罩,其中所述高反射的材料層包含矽(Si)、鉬(Mo)、鉭(Ta)、鋯(Zr)、鋁(Al)、鈦(Ti)、鉑(Pt)、釕(Ru)、鉻(Cr)、錫(Sn)、或是其之一組合。 A blank mask according to claim 1, wherein the highly reflective material layer comprises bismuth (Si), molybdenum (Mo), tantalum (Ta), zirconium (Zr), aluminum (Al), titanium (Ti) Platinum (Pt), ruthenium (Ru), chromium (Cr), tin (Sn), or a combination thereof. 根據申請專利範圍第2項的空白光罩,其中所述高反射的材料層包含氧(O)、氮(N)、或是兩者。 A blank reticle according to claim 2, wherein the highly reflective material layer comprises oxygen (O), nitrogen (N), or both. 根據申請專利範圍第1項的空白光罩,其中所述高反射的材料層具有一種多層的結構。 A blank reticle according to claim 1 wherein said highly reflective material layer has a multilayer structure. 根據申請專利範圍第4項的空白光罩,其中所述多層的結構包括一種其中一鉬(Mo)層以及一矽(Si)層被交替地設置的結構。 A blank mask according to claim 4, wherein the multilayer structure comprises a structure in which a layer of molybdenum (Mo) and a layer of germanium (Si) are alternately disposed. 根據申請專利範圍第1項的空白光罩,其中所述高反射的材料層具有一20%到90%的反射度。 A blank reticle according to claim 1 wherein said highly reflective material layer has a reflectance of from 20% to 90%. 根據申請專利範圍第1項的空白光罩,其中所述高反射的材料層具有一厚度是小於所述光屏蔽層的一厚度。 A blank reticle according to claim 1 wherein said highly reflective material layer has a thickness that is less than a thickness of said light shielding layer. 根據申請專利範圍第1項的空白光罩,其中所述光屏蔽層包括一鉻(Cr)層。 A blank reticle according to claim 1, wherein the light shielding layer comprises a chromium (Cr) layer. 根據申請專利範圍第1項的空白光罩,其進一步包括:一相移層,其被設置在所述高反射的材料層以及所述光屏蔽層之間。 A blank reticle according to the first aspect of the patent application, further comprising: a phase shifting layer disposed between the highly reflective material layer and the light shielding layer. 根據申請專利範圍第9項的空白光罩,其中所述高反射的材料層以及所述相移層具有一等於或小於50%的透射率以及一150°到250°的相移度。 A blank reticle according to claim 9 wherein said highly reflective material layer and said phase shifting layer have a transmittance equal to or less than 50% and a phase shift of from 150° to 250°. 根據申請專利範圍第1項的空白光罩,其進一步包括:一光阻層,其被設置在所述光屏蔽層之上。 A blank reticle according to claim 1 of the patent application, further comprising: a photoresist layer disposed over the light shielding layer. 一種光罩,其包括:一透光基板;以及一光屏蔽層圖案,其被設置在所述透光基板之上,以在一微影製程期間被轉移到一晶圓,所述光屏蔽層包含:一厚的光屏蔽層圖案;以及一薄的光屏蔽層圖案。 A reticle comprising: a light transmissive substrate; and a light shielding layer pattern disposed on the light transmissive substrate for being transferred to a wafer during a lithography process, the light shielding layer Contains: a thick light shielding layer pattern; and a thin light shielding layer pattern. 根據申請專利範圍第12項的光罩,其中所述透光基板具有一其中露出所述透光基板的透光區域以及一其中設置所述光屏蔽層圖案的光屏蔽區域。 The photomask of claim 12, wherein the light transmissive substrate has a light transmissive region in which the light transmissive substrate is exposed and a light shielding region in which the light shielding layer pattern is disposed. 根據申請專利範圍第12項的光罩,其中所述薄的光屏蔽層圖案是被設置在所述光屏蔽區域中,並且所述厚的光屏蔽層圖案是被設置以在所述光屏蔽區域的周圍圍繞所述第二光屏蔽層圖案。 A reticle according to claim 12, wherein the thin light shielding layer pattern is disposed in the light shielding region, and the thick light shielding layer pattern is disposed to be in the light shielding region Surrounding the second light shielding layer pattern. 根據申請專利範圍第12項的光罩,其中所述薄的光屏蔽層圖案是被設置在所述光屏蔽區域中,而在一方向上為縱長的。 A reticle according to claim 12, wherein the thin light shielding layer pattern is disposed in the light shielding region and is longitudinally elongated in one direction. 根據申請專利範圍第15項的光罩,其中所述薄的光屏蔽層圖案包括複數個第二光屏蔽層圖案,其被設置以在一第二且不同的方向上和彼此分開。 The reticle of claim 15, wherein the thin light shielding layer pattern comprises a plurality of second light shielding layer patterns disposed to be separated from each other in a second and different directions. 根據申請專利範圍第16項的光罩,其中所述厚的光屏蔽層圖案是被 設置在沿著所述光屏蔽層圖案的周圍的邊緣處。 A reticle according to claim 16 wherein said thick light shielding layer pattern is It is disposed at an edge along the circumference of the light shielding layer pattern. 根據申請專利範圍第12項的光罩,其中所述薄的光屏蔽層圖案的一厚度是所述厚的光屏蔽層圖案的一厚度的50%到90%。 The reticle of claim 12, wherein a thickness of the thin light shielding layer pattern is 50% to 90% of a thickness of the thick light shielding layer pattern. 根據申請專利範圍第12項的光罩,其中所述厚的光屏蔽層圖案具有一厚度是容許被照射到所述第一光屏蔽層圖案的光的約4%到約40%被透射,並且所述薄的光屏蔽層圖案具有一厚度是容許被照射到所述第二光屏蔽層圖案的光的約7%到約60%被透射。 The reticle of claim 12, wherein the thick light shielding layer pattern has a thickness that is allowed to be transmitted from about 4% to about 40% of light irradiated to the first light shielding layer pattern, and The thin light shielding layer pattern has a thickness that is allowed to be transmitted from about 7% to about 60% of the light that is irradiated to the second light shielding layer pattern. 根據申請專利範圍第12項的光罩,其中所述厚的光屏蔽層圖案以及所述薄的光屏蔽層圖案具有相同的反射度。 A reticle according to claim 12, wherein the thick light shielding layer pattern and the thin light shielding layer pattern have the same reflectance. 一種光罩,其包括:一透光基板;以及一光屏蔽層圖案,其被設置在所述透光基板上以在一微影製程期間被轉移到一晶圓,所述光屏蔽層圖案在其中是具有露出所述透光基板的溝槽區段。 A photomask comprising: a light transmissive substrate; and a light shielding layer pattern disposed on the light transmissive substrate to be transferred to a wafer during a lithography process, the light shielding layer pattern being Wherein there is a trench section exposing the light transmissive substrate. 根據申請專利範圍第21項的光罩,其中所述溝槽區段被界定為在一第一方向上是縱長的,並且在一實質垂直於所述第一方向的第二方向上是和彼此分開的。 A reticle according to claim 21, wherein the groove section is defined to be elongated in a first direction and in a second direction substantially perpendicular to the first direction Separate from each other. 根據申請專利範圍第22項的光罩,其中所述溝槽區段在所述第二方向上的一寬度是一具有一種程度為所述溝槽區段不會在一微影製程中被轉移到一晶圓的寬度。 The reticle of claim 22, wherein a width of the groove segment in the second direction is one to a degree that the groove segment is not transferred in a lithography process To the width of a wafer.
TW104100474A 2014-02-10 2015-01-08 Photomask blank and photomask for suppressing heat absorption TWI681251B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2014-0014898 2014-02-10
KR20140014898 2014-02-10
KR1020140073127A KR102206114B1 (en) 2014-02-10 2014-06-16 Blank mask and photomask for depressing a heat absorption
KR10-2014-0073127 2014-06-16

Publications (2)

Publication Number Publication Date
TW201531795A true TW201531795A (en) 2015-08-16
TWI681251B TWI681251B (en) 2020-01-01

Family

ID=54057951

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104100474A TWI681251B (en) 2014-02-10 2015-01-08 Photomask blank and photomask for suppressing heat absorption

Country Status (2)

Country Link
KR (1) KR102206114B1 (en)
TW (1) TWI681251B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102093107B1 (en) * 2015-12-07 2020-03-25 (주)에스앤에스텍 Blankmask and Photomask for Multi-electron Beam Lithography

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0743889A (en) * 1993-07-30 1995-02-14 Kawasaki Steel Corp Photomask and production thereof
US6835504B2 (en) * 2002-05-13 2004-12-28 Macronix International Co., Ltd. Photomask with illumination control over patterns having varying structural densities
TWI270117B (en) * 2003-11-24 2007-01-01 United Microelectronics Corp Photomask
EP1746460B1 (en) * 2005-07-21 2011-04-06 Shin-Etsu Chemical Co., Ltd. Photomask blank, photomask and fabrication method thereof
JP5407610B2 (en) * 2009-07-10 2014-02-05 凸版印刷株式会社 Reflective photomask blanks
WO2011108470A1 (en) * 2010-03-02 2011-09-09 旭硝子株式会社 Reflection-type mask blank for euv lithography and method for producing the same
JP2012008546A (en) * 2010-05-24 2012-01-12 Hoya Corp Method for manufacturing multilevel gradation photomask and method for transferring pattern
KR20120081665A (en) * 2010-12-30 2012-07-20 에스케이하이닉스 주식회사 Mask for extreme ultraviolet lithography amd method for adjusting reflectivity of the mask
JP2013214095A (en) * 2013-07-03 2013-10-17 Hoya Corp Method for producing substrate for mask blank, method for producing substrate with multilayer reflective film, method for producing reflective mask blank, and method for producing reflective mask

Also Published As

Publication number Publication date
KR102206114B1 (en) 2021-01-22
TWI681251B (en) 2020-01-01
KR20150094476A (en) 2015-08-19

Similar Documents

Publication Publication Date Title
CN109946920B (en) Photomask blank for suppressing heat absorption and photomask
JP6050408B2 (en) Reflective mask, reflective mask blank and manufacturing method thereof
CN103592816B (en) A kind of extreme ultraviolet photolithographic mask and its manufacture method
KR101581977B1 (en) Reflection type mask blank, reflection type mask, and method for manufacturing the same
TWI635353B (en) Photomask and method of manufacturing a display device
KR101076886B1 (en) Mask for EUV lithography and method for exposure using the same
JP2002351046A (en) Phase shift mask and its design method
TWI752019B (en) Photomask having a plurality of shielding layers
JP2009058877A (en) Photomask and method for forming pattern using the same
JP2016024264A5 (en)
JP2009075207A (en) Photomask and pattern formation method using the same
TWI681251B (en) Photomask blank and photomask for suppressing heat absorption
JP2010276997A (en) Exposure mask and method for manufacturing semiconductor device
JP7080070B2 (en) Manufacturing method of photomask and display device
KR100945933B1 (en) EUV mask and manufacturing method the same
JP2009053575A (en) Photomask and method for forming pattern using the same
JP2009237339A (en) Photomask and method for manufacturing semiconductor device using the photomask
KR20190019329A (en) Photomask having multi-layered transfer pattern
KR20120056924A (en) EUV photo mask
JP2007310237A (en) Method of manufacturing semiconductor integrated circuit device