TW201448467A - Integrated circuit and method with digital power gating - Google Patents

Integrated circuit and method with digital power gating Download PDF

Info

Publication number
TW201448467A
TW201448467A TW103119502A TW103119502A TW201448467A TW 201448467 A TW201448467 A TW 201448467A TW 103119502 A TW103119502 A TW 103119502A TW 103119502 A TW103119502 A TW 103119502A TW 201448467 A TW201448467 A TW 201448467A
Authority
TW
Taiwan
Prior art keywords
value
gate
digital
power
voltage
Prior art date
Application number
TW103119502A
Other languages
Chinese (zh)
Other versions
TWI523425B (en
Inventor
James R Lundberg
Original Assignee
Via Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/202,275 external-priority patent/US9007122B2/en
Priority claimed from US14/202,298 external-priority patent/US8963627B2/en
Priority claimed from US14/202,313 external-priority patent/US9450580B2/en
Priority claimed from US14/202,288 external-priority patent/US9000834B2/en
Application filed by Via Tech Inc filed Critical Via Tech Inc
Publication of TW201448467A publication Critical patent/TW201448467A/en
Application granted granted Critical
Publication of TWI523425B publication Critical patent/TWI523425B/en

Links

Landscapes

  • Power Sources (AREA)
  • Logic Circuits (AREA)

Abstract

A digital power gating system for performing power gating to reduce a voltage of a gated supply bus to a state retention voltage level that reduces leakage current while retaining a digital state of a functional circuit. The power gating system includes gating devices and a power gating control system. Each gating device has current terminals coupled between a global supply bus and the gated supply bus, and a control terminal controlled by a bit of a digital control value. The power gating control system successively adjusts the digital control value to reduce a voltage of the gated supply bus to the state retention voltage level. Adjustment gain and/or adjustment periods may be changed, such as when the digtial control value reaches certain values or when the gated supply reaches certain voltage levels. Various parameters are programmable to adjust for particular configurations or to achieve desired operation.

Description

具有數位電源閘控系統的積體電路及其控制方法 Integrated circuit with digital power gate control system and control method thereof

本發明係有關於一種電源閘控,特別是有關於一種數位化地控制一電路的一閘控供給電壓,該電路包括快速且平緩地調降供給電壓,調降的供給電壓足以使該電路維持本身的狀態並減少漏電流,並在恢復操作下,快速且平緩地增加供給電壓。 BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to a power supply gating, and more particularly to a gating control voltage for digitally controlling a circuit, the circuit comprising rapidly and gently lowering a supply voltage, the regulated supply voltage being sufficient to maintain the circuit It is in its own state and reduces leakage current, and increases the supply voltage quickly and gently under recovery operation.

互補式MOS(COMS)電路消耗較少的功率,並且相較於其它型式的積體電路更為密集,故CMOS技術變成數位電路中主要的類型。CMOS電路具有N型通道的裝置(NMOS)與P型通道的裝置(PMOS)的組合或是複數電晶體,根據設計、尺寸、材料及製程的不同,每一電晶體的閘極與源極間具有一相對應的臨界電壓。積體電路的設計及製造技術減少了操作電壓及裝置尺寸。當裝置尺寸及電壓位準減少時,每一裝置的通道長度及氧化層厚度都會被減少。製造過程中,若改變閘極材料時,可降低臨界電壓,但卻會增加漏電流。漏電流係指當閘極與源極間的電壓小於CMOS裝置的臨界電壓時,汲極與源極間的流動電流。在習知的動態環境架構中,漏電流造成總功率損耗的15%~30%。 Complementary MOS (COMS) circuits consume less power and are more dense than other types of integrated circuits, so CMOS technology becomes the dominant type of digital circuits. A CMOS circuit has a combination of an N-channel device (NMOS) and a P-channel device (PMOS) or a complex transistor. Between the gate and the source of each transistor, depending on the design, size, material, and process. Has a corresponding threshold voltage. The design and manufacturing techniques of integrated circuits reduce operating voltage and device size. When the device size and voltage level are reduced, the channel length and oxide thickness of each device are reduced. During the manufacturing process, if the gate material is changed, the threshold voltage can be lowered, but the leakage current is increased. Leakage current refers to the current flowing between the drain and the source when the voltage between the gate and the source is less than the threshold voltage of the CMOS device. In the conventional dynamic environment architecture, leakage current causes 15% to 30% of the total power loss.

在特定的時間週期中及/或在特定的情況下, CMOS電路或CMOS電路的局部電路可能不需正常工作,因而被閒置。由於流動的漏電流消耗寶貴的功率,因此,若維持全電源予閒置的電路實在是浪費且沒有效率。對於CMOS技術而言,藉由減少CMOS裝置的基極(bulk)電壓或是基體(body)連接的電壓,可減少漏電流。然而對於目前的40nm及28nm的CMOS技術而言,習知的方法係無法有效地減少漏電流。 During a specific time period and/or under certain circumstances, A partial circuit of a CMOS circuit or a CMOS circuit may not be required to operate normally and thus be idle. Since the flowing leakage current consumes valuable power, it is wasteful and inefficient to maintain a full power supply to idle circuitry. For CMOS technology, leakage current can be reduced by reducing the bulk voltage of the CMOS device or the voltage of the body connection. However, for the current 40nm and 28nm CMOS technologies, the conventional method cannot effectively reduce the leakage current.

本發明提供一種數位電源閘系統,控制一功能電路的一供給電壓,用以將該功能電路的供給電壓降至一狀態保留位準,用以在維持功能電路的一數位狀態下,減少漏電流。功能電路可為任意型式,如一微處理器核心…等等。一積體電路具有一非閘控(或整合)供給匯流排以及一閘控供給匯流排。閘控供給匯流排提供閘控供給電壓。數位電源閘系統具有多個閘控裝置以及一電源閘控系統。每一閘控裝置具有一電流端對以及一控制端。電流端對耦接整合供給匯流排及閘控供給匯流排。每一控制端係由一數位控制數值的至少一位元所控制。每一控制位元控制該等閘控裝置之一相對應部分。電源閘控系統連續性地調整數位控制數值,執行電源閘控,用以降低閘控供給匯流排的電壓至狀態保留電壓位準,狀態保留電壓位準可保留該功能電路的一數位狀態,用以減少漏電流。 The invention provides a digital power gate system for controlling a supply voltage of a functional circuit for reducing the supply voltage of the functional circuit to a state retention level for reducing leakage current while maintaining a digital state of the functional circuit . The functional circuit can be of any type, such as a microprocessor core...etc. An integrated circuit has a non-gated (or integrated) supply bus and a gated supply bus. The gated control busbar provides a gated supply voltage. The digital power brake system has multiple gate control devices and a power gate control system. Each gate control device has a current terminal pair and a control terminal. The current terminal pair is coupled to the integrated supply bus bar and the gate control supply bus bar. Each control terminal is controlled by at least one bit of a digital control value. Each control bit controls a corresponding portion of one of the gate devices. The power gating control system continuously adjusts the digital control value and performs power gating control to reduce the voltage of the gating control supply bus to the state retention voltage level, and the state retention voltage level can retain a digital state of the functional circuit, To reduce leakage current.

在一可能實施例中,數位控制數值的一位元控制許多閘控裝置,而其它位元係為二進制加權。電源閘控系統可能包括一數位調整器。數位調整器在每一連續性的調整週期中,整合一數位調整數值與數位控制數值,用以減少閘控供給 匯流排的電壓,直到閘控供給匯流排的電壓等於狀態保留電壓位準。在電源閘控下,數位調整數值維持一固定增益。舉例而言,數位調整數值係為數位調整數值的一位移結果,數位調整數值接著被整合在目前的數位控制數值中,用以更新數位控制數值。 In a possible embodiment, one bit of the digital control value controls a number of gate devices, while the other bits are binary weighted. The power gating system may include a digital adjuster. The digital adjuster integrates a digital adjustment value and a digital control value in each continuity adjustment cycle to reduce the gate control supply. The voltage of the busbar until the voltage of the gated supply busbar is equal to the state reserve voltage level. Under power gating, the digital adjustment value maintains a fixed gain. For example, the digital adjustment value is a displacement result of the digital adjustment value, and the digital adjustment value is then integrated into the current digital control value to update the digital control value.

在電源閘控下,調整數位調整數值,用以更新增益,例如當數位控制數值達特定預設數值或是當閘控供給匯流排的電壓達特定電壓臨界值時。一電壓補償器將閘控供給匯流排的電壓與至少一臨界電壓位準比較。臨界電壓位準包括狀態保留電壓位準。 Under power gating, adjust the digital adjustment value to update the gain, such as when the digital control value reaches a certain preset value or when the voltage of the gating supply bus bar reaches a certain voltage threshold. A voltage compensator compares the voltage of the gated supply busbar to at least one threshold voltage level. The threshold voltage level includes a state retention voltage level.

在電源閘控下,調整調整率,如在數位控制數值達特定數值或是當閘控供給匯流排的電壓達特定位準。舉例而言,當閘控供給匯流排的電壓達一最終電壓位準時,調整率可能會被降低。 Under power gating, adjust the adjustment rate, such as when the digital control value reaches a certain value or when the voltage of the gating control bus bar reaches a certain level. For example, when the voltage of the gated supply busbar reaches a final voltage level, the adjustment rate may be lowered.

可利用一時脈信號控制調整率。一時脈控制器產生一時脈信號,並改變時脈信號的週期,用以控制調整率。舉例而言,時脈信號的週期開始於一較低數值(用以增加調整率),然後增加時脈信號的週期,用以在電源閘控下,減慢閘控供給匯流排的電壓的改變速度。根據許多係數或是臨界值,調整時脈信號的週期。在一可能實施例中,根據數位控制數值本身,調整時脈信號的週期。舉例而言,在電源閘控下,數位控制數值會被減少,針對特定的預設條件或是可程式數位控制數值,時脈信號的週期會被增加。根據閘控供給匯流排的電壓的電壓臨界值,調整時脈信號的週期。舉例而言,當閘控供給 匯流排的電壓達特定電壓臨界位準時,調整時脈信號的週期(如增加週期),用以控制閘控供給匯流排的電壓的改變率,特定電壓臨界位準大於狀態保留電壓位準。 A clock signal can be used to control the adjustment rate. A clock controller generates a clock signal and changes the period of the clock signal to control the adjustment rate. For example, the period of the clock signal starts at a lower value (to increase the adjustment rate), and then the period of the clock signal is increased to slow down the change of the voltage of the gate control supply bus under the power gating. speed. The period of the clock signal is adjusted based on a number of coefficients or thresholds. In a possible embodiment, the period of the clock signal is adjusted based on the digital control value itself. For example, under power gating, the digital control value is reduced. For certain preset conditions or programmable digital control values, the period of the clock signal is increased. The period of the clock signal is adjusted according to the voltage threshold of the voltage supplied to the bus bar by the gate. For example, when the gate is supplied When the voltage of the bus bar reaches a certain voltage critical level, the period of the clock signal (such as an increase period) is adjusted to control the rate of change of the voltage of the gate control supply bus, and the specific voltage threshold level is greater than the state reserve voltage level.

許多控制係數可能係為可程式化。臨界電壓的數量可為任意值,並且根據特定的架構,可使用任意數量的臨界電壓。至少一比較器將閘控供給電壓(如VDD1)與任意數的臨界電壓進行比較,用以調整操作,如調整增益(用以控制調整量)及/或調整時脈週期(用以控制調整率)。可程式化的保險絲或掃描數值…等等可用以調整相對應的操作係數及數值,用以控制電源閘控功能。舉例而言,一差異數值及/或加總數值可在電源閘控或恢復操作下,調整數位控制數值(也就是增益)。可能程式化額外的時脈位移數值,用以在電源閘控下,調整時脈週期。 Many control factors may be stylized. The number of threshold voltages can be any value, and any number of threshold voltages can be used depending on the particular architecture. At least one comparator compares the gated supply voltage (eg, VDD1) with any number of threshold voltages for adjustment operations, such as adjusting gain (to control the amount of adjustment) and/or adjusting the clock period (to control the regulation rate) ). Programmable fuses or scan values...etc. can be used to adjust the corresponding operating factors and values to control the power gating function. For example, a difference value and/or a total value can be adjusted for digital control values (ie, gain) under power gating or recovery operations. It is possible to program additional clock shift values to adjust the clock cycle under power gating.

在一實施例中,一積體電路具有至少一功能方塊,每一方塊具有一電壓供給輸入端以及一數位電源閘系統。每一電壓供給輸入端耦接一相對應的閘控供給匯流排。每一數位電源閘系統控制閘控供給匯流排的供給電壓。每一閘控裝置可以一合適的方法所實現,如一PMOS或NMOS電晶體…等等。積體電路可能包括一電源控制器。電源控制器令一功能方塊進行一閒置模式,並關閉功能方塊的一時脈信號,並觸發一閘控信號,用以使該電源閘控系統將閘控供給匯流排提供予功能方塊的電壓減少至一狀態保留電壓位準。 In one embodiment, an integrated circuit has at least one functional block, each block having a voltage supply input and a digital power gate system. Each voltage supply input is coupled to a corresponding gate supply bus. Each digital power brake system controls the supply voltage of the gate supply bus. Each of the gate devices can be implemented in a suitable manner, such as a PMOS or NMOS transistor, and the like. The integrated circuit may include a power supply controller. The power controller causes a function block to perform an idle mode, and turns off a clock signal of the function block, and triggers a gate control signal for enabling the power gating system to reduce the voltage supplied to the function block by the gate control supply busbar to A state retains the voltage level.

在另一可能實施例中,提供一方法,用以對一供給電壓進行電源閘控,供給電壓係提供予一功能方塊。該方法 包括,提供一數位控制數值,用以控制一非閘控供給匯流排與一閘控供給匯流排之間的許多電流裝置;觸發數位控制數值,用以控制電流裝置的一部分,用以在全電源模式中,將閘控供給匯流排的電壓箝制成非閘控供給匯流排的電壓;以及根據一閘控信號,並藉由週期性地調整數位控制數值的大小,用以執行電源閘控,直到閘控供給匯流排的電壓達一狀態保留電壓位準,在維持功能方塊的一數位狀態時,可減少漏電流。 In another possible embodiment, a method is provided for power gating a supply voltage, the supply voltage being provided to a functional block. this method The invention provides a digital control value for controlling a plurality of current devices between a non-gate controlled supply bus and a gate control supply bus; triggering a digital control value for controlling a part of the current device for full power supply In the mode, the voltage of the gate control supply bus is clamped into the voltage of the non-gate controlled supply bus; and according to a gate control signal, the power control is performed by periodically adjusting the value of the digital control value until The voltage of the gate control supply busbar reaches a state retention voltage level, and the leakage current can be reduced while maintaining a digital state of the function block.

上述方法包括對數位控制數值的部分位元進行二進制加權(binarily weighting)。上述方法包括比較閘控供給匯流排的電壓與多個臨界電壓。上述方法可能包括週期性地整合數位控制數值與一數位調整數值。上述方法可能包括提供數位控制數值以作為數位控制數值的一位移結果。上述方法可能包括比較閘控供給匯流排的電壓與至少一臨界電壓;從數位控制數值的多個位移結果中,選擇一者作為第一數位調整數值;以及當閘控供給匯流排的電壓達至少一臨界電壓時,選擇數位控制數值的另一不同的位移結果。上述方法可能包括,當數位控制數值達一預設數值時,選擇數位控制數值的另一不同位移結果。 The above method includes binaryarily weighting a portion of the bits of the digital control value. The above method includes comparing the voltage of the gated supply busbar with a plurality of threshold voltages. The above method may include periodically integrating the digital control value with a digital adjustment value. The above method may include providing a digital control value as a displacement result of the digital control value. The method may include comparing a voltage of the gate control supply bus and at least one threshold voltage; selecting one of the plurality of displacement results of the digital control value as the first digit adjustment value; and when the voltage of the gate control supply bus bar reaches at least At a threshold voltage, another different displacement result of the digital control value is selected. The above method may include selecting another different displacement result of the digital control value when the digital control value reaches a predetermined value.

上述方法包括比較閘控供給匯流排的電壓與至少一臨界電壓。上述方法包括提供一時脈信號,用以控制數位控制數值的調整。上述方法包括令時脈信號具有一初始週期,並在閘控供給匯流排的電壓達每一臨界電壓時,改變時脈信號的週期,或是在數位控制數值達每一預設數值時,改變時脈信號的週期。上述方法包括將數位控制數值的部分位元轉換成複數 週期調整數值,並在週期調整數值變成一特定位準時,改變時脈信號的週期。 The method includes comparing the voltage of the gated supply bus and the at least one threshold voltage. The above method includes providing a clock signal for controlling the adjustment of the digital control value. The method includes causing the clock signal to have an initial period, and changing the period of the clock signal when the voltage of the gate control supply bus reaches each threshold voltage, or changing when the digital control value reaches each preset value The period of the clock signal. The above method includes converting a partial bit of a digital control value into a complex number The period adjusts the value and changes the period of the clock signal when the period adjustment value becomes a specific level.

如果需要,可使用全電源閘控。為了將電壓從全電源閘控操作下恢復至正常操作下時,可使用恢復操作。許多的恢復功能可被程式化,如恢復增益、恢復完成、恢復時脈週期控制…等等。舉例而言,在恢復時脈週期中,選擇一固定的恢復時脈。 Full power gating can be used if needed. In order to restore the voltage from full power gating operation to normal operation, a recovery operation can be used. Many of the recovery functions can be programmed, such as recovery gain, recovery completion, recovery clock cycle control, and more. For example, in the recovery clock cycle, a fixed recovery clock is selected.

在電源閘控操作下,位移非閘控供給電壓供給,以完成整合電壓位移補償。非閘控整全供給電壓的位準可能造成一未知的最終狀態保留電壓位準。一整合控制調整器接收至少一信號,用以表示整合電壓的改變量,並調整數位控制數值,用以避免未知的閘控電壓。 Under the power gating operation, the displacement non-gate control supply voltage is supplied to complete the integrated voltage displacement compensation. The level of the non-gate controlled full supply voltage may cause an unknown final state to retain the voltage level. An integrated control adjuster receives at least one signal to indicate the amount of change in the integrated voltage and adjusts the digital control value to avoid an unknown gate voltage.

100‧‧‧微處理器 100‧‧‧Microprocessor

101~104‧‧‧核心 101~104‧‧‧ core

105~108‧‧‧電源閘系統 105~108‧‧‧Power Gate System

109‧‧‧整合供給匯流排 109‧‧‧Integrated supply bus

110‧‧‧電源控制器 110‧‧‧Power Controller

112‧‧‧模式調整方塊 112‧‧‧ mode adjustment block

114‧‧‧保險絲陣列 114‧‧‧Fuse array

116‧‧‧記憶體 116‧‧‧ memory

201‧‧‧電源閘控系統 201‧‧‧Power Gate Control System

206‧‧‧閘控供給匯流排 206‧‧‧Gate control supply bus

301‧‧‧側方塊 301‧‧‧ side square

401、403‧‧‧閘方塊 401, 403‧‧‧ gate block

EESDCLK‧‧‧時脈信號 EESDCLK‧‧‧ clock signal

PGATE1‧‧‧電源閘控信號 PGATE1‧‧‧Power gating signal

FSB<3:0>‧‧‧前端匯流排數值 FSB<3:0>‧‧‧ front-end busbar values

PG_KILL_CORE1‧‧‧信號 PG_KILL_CORE1‧‧‧ signal

PGOOD1‧‧‧電源就緒信號 PGOOD1‧‧‧Power Ready Signal

PGATE<1:4>‧‧‧控制信號組 PGATE<1:4>‧‧‧Control signal group

701‧‧‧恢復邏輯 701‧‧‧Recovery logic

703‧‧‧OR邏輯 703‧‧‧OR logic

705‧‧‧電壓比較組 705‧‧‧Voltage comparison group

707‧‧‧時脈產生器 707‧‧‧ Clock Generator

709‧‧‧除頻器 709‧‧‧Delephone

711‧‧‧時脈選擇方塊 711‧‧‧ clock selection box

712‧‧‧時間解碼器 712‧‧‧Time Decoder

713‧‧‧電源閘控制器 713‧‧‧Power Gate Controller

PG16‧‧‧位元 PG16‧‧ bits

CMP1~CMPN‧‧‧比較結果 CMP1~CMPN‧‧‧ comparison results

PG_TIME<19:0>‧‧‧時間數值 PG_TIME<19:0> ‧ ‧ time value

CB<15:0>‧‧‧反相清除數值 CB<15:0>‧‧‧ Reversed phase clear value

S<15:0>‧‧‧設定數值 S<15:0>‧‧‧Setting values

D<15:0>‧‧‧資料數值 D<15:0>‧‧‧ data values

CB‧‧‧反相清除輸入端 CB‧‧‧Inverted clear input

S‧‧‧設定輸入端 S‧‧‧Setting input

D‧‧‧資料輸入端 D‧‧‧ data input

CK‧‧‧時脈輸入端 CK‧‧‧ clock input

801‧‧‧增量控制字元調整器 801‧‧‧Incremental Control Character Adjuster

803‧‧‧整合控制字元調整器 803‧‧‧Integrated Control Character Adjuster

805‧‧‧暫存器組 805‧‧‧storage group

807‧‧‧控制字元邏輯 807‧‧‧Control character logic

901‧‧‧限流 901‧‧‧ Current limit

913、1005‧‧‧加法器 913, 1005‧‧ ‧ adder

905‧‧‧減法器 905‧‧‧Subtractor

911‧‧‧加值解碼器 911‧‧‧Valued Decoder

1001、1109‧‧‧閂鎖組 1001, 1109‧‧‧Latch group

1017‧‧‧V_DOWN解碼器 1017‧‧‧V_DOWN decoder

1201‧‧‧單熱點解碼器 1201‧‧‧Single hotspot decoder

1203‧‧‧時脈位移器 1203‧‧‧clock shifter

1205‧‧‧預設時脈選擇電路 1205‧‧‧Preset clock selection circuit

1209‧‧‧時脈週期選擇器 1209‧‧‧clock cycle selector

1207‧‧‧固定恢復時脈選擇電路 1207‧‧‧Fixed recovery clock selection circuit

PGATE1~PGATE4‧‧‧電源閘控制信號 PGATE1~PGATE4‧‧‧Power Gate Control Signal

VDD、VSS、VDD0、VSS0、VDD1‧‧‧電壓 VDD, VSS, VDD0, VSS0, VDD1‧‧‧ voltage

PG_VREF<1:N>‧‧‧參考電壓組 PG_VREF<1:N>‧‧‧reference voltage group

V_DOWN<4:0>、PG_KILL_CORE<1:4>‧‧‧信號組 V_DOWN<4:0>, PG_KILL_CORE<1:4>‧‧‧Signal group

PWR_GOOD、PGOOD<1:4>、PG_FU_X、HIER、HIERB、HIGH、RESUME、KILLB、GATE、GATEB、HIGHB‧‧‧信號 PWR_GOOD, PGOOD<1:4>, PG_FU_X, HIER, HIERB, HIGH, RESUME, KILLB, GATE, GATEB, HIGHB‧‧‧ signals

PG_GATE_TOP202、PG_GATE_LEFT203、PG_GATE_RIGHT204、PG_GATE_BOTTOM205‧‧‧閘控電路 PG_GATE_TOP202, PG_GATE_LEFT203, PG_GATE_RIGHT204, PG_GATE_BOTTOM205‧‧‧ gate control circuit

PG_CNTRL<16:0>、PG<15:0>‧‧‧控制字元 PG_CNTRL<16:0>, PG<15:0>‧‧‧ control characters

VDD1_FB、I<16:0>、IN、I<12:0>、I<16:13>、1、S、0‧‧‧輸入端 VDD1_FB, I<16:0>, IN, I<12:0>, I<16:13>, 1, S, 0‧‧‧ input

PG_FU_ADD_GN、PG_FU_SUB_GN、PG_FU_CONST_RES_CLK、PG_FU_RESUME_STOP、PG_FU_HIERB、OPB<15:0>、PGTWO、 PGTHREE、PGFOUR、PGFIVE及PGSIX、<*6>VSS0、PG<15:7>、PGSIX、<*5>VSS0、PG<15:5>、SUB<15:0>、FSUB<15:0>、<*2>VSS0:PG<15:3>:PGTWO、<*3>VSS0:PG<15:4>:PGTHREE、<*4>VSS0:PG<15:5>:PGFOUR、<*5>VSS0:PG<15:6>:PGFIVE、DOP<15:0>、VOP<14:0>:VDD0、DOP<14:0>:VDD0、VOP<13:0>:<*2>VDD0、DOP<13:0>:<*2>VDD0、<*4>VSS0:<*12>GATEB、VOP<15:0>、OOPB<15:0>、VSS0:VOP<15:1>、<*3>VSS0:PGT<15:0>:VSS0、<*2>VSS0:PGT<15:0>:<*2>VSS0、DTIME<19:0>、PG2T<19:0>~PG8T<19:0>、<*13>VDD0:PGTIMEB<6:3>:<*3>VDD0、SHIFTVAL1~SHIFTVAL4‧‧‧數值 PG_FU_ADD_GN, PG_FU_SUB_GN, PG_FU_CONST_RES_CLK, PG_FU_RESUME_STOP, PG_FU_HIERB, OPB<15:0>, PGTWO, PGTHREE, PGFOUR, PGFIVE and PGSIX, <*6>VSS0, PG<15:7>, PGSIX, <*5>VSS0, PG<15:5>, SUB<15:0>, FSUB<15:0>, <*2>VSS0:PG<15:3>: PGTWO, <*3>VSS0: PG<15:4>: PGTHREE, <*4>VSS0: PG<15:5>: PGFOUR, <*5>VSS0 :PG<15:6>: PGFIVE, DOP<15:0>, VOP<14:0>: VDD0, DOP<14:0>: VDD0, VOP<13:0>: <*2> VDD0, DOP< 13:0>:<*2>VDD0,<*4>VSS0:<*12>GATEB, VOP<15:0>, OOPB<15:0>, VSS0:VOP<15:1>, <*3> VSS0: PGT<15:0>: VSS0, <*2> VSS0: PGT<15:0>: <*2> VSS0, DTIME<19:0>, PG2T<19:0>~PG8T<19:0> , <*13> VDD0: PGTIMEB<6:3>: <*3> VDD0, SHIFTVAL1~SHIFTVAL4‧‧‧ Value

PG_FU_ENT<10:5>、PG_FU_RESUME_GN<1:0>、PG_FU_RES_PER<1:0>‧‧‧數值組 PG_FU_ENT<10:5>, PG_FU_RESUME_GN<1:0>, PG_FU_RES_PER<1:0>‧‧‧value group

PG_CNTRLA<16:0>、PG_CNTRLB<16:0>‧‧‧緩衝結果 PG_CNTRLA<16:0>, PG_CNTRLB<16:0>‧‧‧ buffer results

O<16:0>、OUT、O<12:0>、O<16:13>‧‧‧輸出端 O<16:0>, OUT, O<12:0>, O<16:13>‧‧‧ output

I<16>~I<0>‧‧‧控制緩衝結果 I<16>~I<0>‧‧‧Control buffer results

501‧‧‧緩衝器組 501‧‧‧Buffer group

O<16>~O<0>‧‧‧緩衝輸出控制位準 O<16>~O<0>‧‧‧buffer output control level

502、504、506、508、602‧‧‧PMOS電晶體組 502, 504, 506, 508, 602‧‧‧ PMOS transistor group

501‧‧‧緩衝器 501‧‧‧buffer

503、505、507、601、909、1015、1003、1101、812、1111、1113、1121、1211、1301、1303、1501、1503‧‧‧反相器組 503, 505, 507, 601, 909, 1015, 1003, 1101, 812, 1111, 1113, 1121, 1211, 1301, 1303, 1501, 1503 ‧ ‧ Inverter group

CLK20、C20NS、C40NC、C2.6MS‧‧‧時脈信號 CLK20, C20NS, C40NC, C2.6MS‧‧‧ clock signals

903、907、915、1007、1009、1011、1107、1413、1601、1603、1605、1607、1609、1611、1613、1615、1617、1701、1703‧‧‧多工器 903, 907, 915, 1007, 1009, 1011, 1107, 1413, 1601, 1603, 1605, 1607, 1609, 1611, 1613, 1615, 1617, 1701, 1703 ‧ ‧ multiplexer

917、1119、1602、1604、1606、1608、1610、1612、1614、1616‧‧‧AND閘 917, 1119, 1602, 1604, 1606, 1608, 1610, 1612, 1614, 1616‧‧‧ AND gate

1115、1313、1315、1317、1505、1507、1509、1511、1409、1411‧‧‧NAND閘 1115, 1313, 1315, 1317, 1505, 1507, 1509, 1511, 1409, 1411‧‧‧ NAND gate

919、1013、1103、1105、1117、1123、1305、1307、1309、1311、1319、1321、1323、1325、1327、1329、1401、1403、1405、1407‧‧‧NOR閘 919, 1013, 1103, 1105, 1117, 1123, 1305, 1307, 1309, 1311, 1319, 1321, 1323, 1325, 1327, 1329, 1401, 1403, 1405, 1407‧‧‧NOR gate

第1圖為本發明之多核心微處理器之一可能實施例。 Figure 1 is a possible embodiment of one of the multi-core microprocessors of the present invention.

第2圖為本發明第1圖之核心與相對應電源閘系統之一可能實施例。 Figure 2 is a possible embodiment of one of the core and corresponding power gate systems of Figure 1 of the present invention.

第3圖為本發明之閘控電路之一可能實施例。 Figure 3 is a possible embodiment of the gate control circuit of the present invention.

第4圖為本發明第3圖之側方塊之一可能實施例。 Figure 4 is a possible embodiment of one side block of Figure 3 of the present invention.

第5圖為本發明第4圖之處理控制字元的高位元部分的閘方塊之一可能實施例。 Figure 5 is a diagram showing one possible embodiment of the gate block of the high-order portion of the process control character of Figure 4 of the present invention.

第6圖為本發明第4圖之處理控制字元的低位元部分的閘方塊之一可能實施例。 Figure 6 is a diagram showing one possible embodiment of the gate block of the lower bit portion of the process control character of Figure 4 of the present invention.

第7A及7B圖為本發明第2圖之電源閘控系統之一可能實施 例。 7A and 7B are diagrams showing one possible implementation of the power gating control system of Fig. 2 of the present invention example.

第8圖為本發明第7A及7B圖之電源閘控制器之一可能實施例。 Figure 8 is a diagram showing one possible embodiment of the power gate controller of Figures 7A and 7B of the present invention.

第9A及9B圖為本發明第8圖之增量控制字元調整器之一可能實施例。 9A and 9B are diagrams showing one possible embodiment of the incremental control character adjuster of Fig. 8 of the present invention.

第10A及10B圖為本發明第8圖的整合控制字元調整器之一可能實施例。 10A and 10B are diagrams showing one possible embodiment of the integrated control character adjuster of Fig. 8 of the present invention.

第11圖為本發明第8圖之控制字元邏輯之一可能實施例。 Figure 11 is a diagram showing one possible embodiment of the control character logic of Figure 8 of the present invention.

第12圖為本發明第7B圖之時間解碼器之一可能實施例。 Figure 12 is a possible embodiment of a time decoder of Figure 7B of the present invention.

第13圖為本發明第12圖之時脈位移器之一可能實施例。 Figure 13 is a view showing one possible embodiment of the clock shifter of Fig. 12 of the present invention.

第14圖為本發明第12圖之預設時脈選擇電路之一可能實施例。 Figure 14 is a diagram showing one possible embodiment of the preset clock selection circuit of Fig. 12 of the present invention.

第15圖為本發明第12圖之固定恢復時脈選擇電路之一可能實施例。 Figure 15 is a diagram showing one possible embodiment of the fixed recovery clock selection circuit of Figure 12 of the present invention.

第16A及16B圖為本發明第12圖之時脈週期選擇器之一可能實施例。 16A and 16B are diagrams showing one possible embodiment of the clock cycle selector of Fig. 12 of the present invention.

第17圖為本發明之根據臨界電壓額外調整增益的一可能實施例,其中臨界電壓係由一比較信號所表示。 Figure 17 is a possible embodiment of the invention for additionally adjusting the gain according to the threshold voltage, wherein the threshold voltage is represented by a comparison signal.

習知係利用類比技術進行電源閘控。在進行全電源閘控時,習知的電源閘控係完全地移除源極電壓,因而遺失電路所儲存的資料及邏輯狀態,例如電路的記憶體或暫存器所儲存的資料。在許多的電路架構中,必需保留電路的狀態或資料,好能進行稍後的回復操作。 The conventional system uses analog technology for power gating. In the case of full power gating, conventional power gating completely removes the source voltage, thereby losing data and logic states stored by the circuit, such as data stored in the memory or registers of the circuit. In many circuit architectures, it is necessary to preserve the state or data of the circuit for later recovery operations.

為了在全電源閘控下,維持電路的狀態,可將電路所儲存的資料或資訊複製到另一儲存裝置或記憶體中,其可在電路進入電源閘控時,維持資料。此處所提到的“狀態”係指電路所儲存的任何資訊或資料,電路具有靜態或動態裝置,如暫存器、正反器、閂鎖器、動態記憶裝置…等等。在上電時,在進行恢復操作前,先將事先儲存的資料存回電路中。上述的儲存動作係用以儲存資料,如晶片上的快取…等等,但必須在移除電源時,移除儲存裝置的電源或其所儲存的資料。為了在進入電源閘控前儲存資訊,以及在恢復操作下恢復資訊,因此,必須花費許多時間,故從執行的角度來看,儲存及恢復資訊的代價是相當高的。在大尺寸的電路下,如一微處理器核心、一系統晶片的處理器…等等,習知的電源閘控的價格是特別昂貴的。 In order to maintain the state of the circuit under full power gating, the data or information stored in the circuit can be copied to another storage device or memory, which can maintain data when the circuit enters the power gating. Reference herein to "state" refers to any information or material stored by a circuit having static or dynamic means such as a register, a flip-flop, a latch, a dynamic memory device, and the like. At power-on, the previously stored data is stored back into the circuit before the recovery operation. The above described storage actions are used to store data, such as caches on the wafer, etc., but the power of the storage device or the data stored therein must be removed when the power source is removed. In order to store information before entering the power gating and recovering information under the recovery operation, it takes a lot of time, so from the perspective of execution, the cost of storing and restoring information is quite high. Under the large-scale circuit, such as a microprocessor core, a processor of a system chip, etc., the price of conventional power gating is particularly expensive.

本發明了解習知的電源閘控技術並不合適。因此提出了一種數位電源控制技術,其具有狀態恢復功能,並且將一電路的供給電壓減少至一最終位準,其仍足以保留狀態並減少漏電流。一種新的控制系統及方法,用以在電源閘控下,利用一數位方法,令分散式供給電壓的位準等於一最終電壓位準。在電源閘控下,數位控制系統合併整合電壓位移,用以根據閘控供給匯流排的電壓的變化量,暫時性地增加閘控供給匯流排的電壓,以避免閘控供給匯流排的電壓低於一狀態保留電壓位準。在恢復操作下,如根據一恢復指示,數位控制系統及方法更逐漸地將供給電壓位準恢復成它本身的正常操作電壓位準。另外,數位控制系統及方法可能包括至少一可程式化參 數,用以在電源閘控及恢復操作下,控制操作的進行。在本實施例中,由於資訊係被儲存在動態的裝置中,如暫存器、正反器、閂鎖器、動態記憶裝置…等等,因此,電源會被儲存,並且可回復到進入電源閘控前的狀態。亦可實現全電源閘控。全電源閘控操作可能具有至少一程式化參數。 The present invention is not suitable for understanding conventional power gating techniques. Therefore, a digital power control technique has been proposed which has a state recovery function and reduces the supply voltage of a circuit to a final level, which is still sufficient to retain the state and reduce leakage current. A new control system and method for utilizing a digital method under power gating to cause the level of the distributed supply voltage to be equal to a final voltage level. Under the power gate control, the digital control system combines the integrated voltage displacement to temporarily increase the voltage of the gate control supply bus according to the variation of the voltage of the gate supply busbar to avoid the low voltage of the gate control supply busbar. The voltage level is reserved in one state. Under recovery operations, such as in accordance with a recovery indication, the digital control system and method more gradually restores the supply voltage level to its own normal operating voltage level. Additionally, digital control systems and methods may include at least one programmable parameter The number is used to control the operation under power gating and recovery operations. In this embodiment, since the information system is stored in a dynamic device, such as a register, a flip-flop, a latch, a dynamic memory device, etc., the power source is stored and can be restored to the power source. The state before the gate control. Full power gating can also be achieved. The full power gating operation may have at least one stylized parameter.

第1圖係為本發明之一多核心微處理器一可能實施例。微處理器100包括四核心101-104。核心101-104各自耦接一相對應的電源閘系統,如105~108。雖然第1圖僅呈現核心101~104,但在其它實施例中,核心的數量可能大於或小於4。在本實施中,每一核心可能係為一微處理器核心,但並非用以限制本發明。此處雖以微處理器核心為例,但可了解的是,其它需要電源閘控的任何電路型式或功能均可使用電源閘系統。微處理器100整合在一積體電路(IC)、半導體晶片…等等,並可能具有其它電路(未顯示)。 Figure 1 is a possible embodiment of a multi-core microprocessor of the present invention. Microprocessor 100 includes quad cores 101-104. The cores 101-104 are each coupled to a corresponding power brake system, such as 105-108. Although FIG. 1 only presents cores 101-104, in other embodiments, the number of cores may be greater or less than four. In this implementation, each core may be a microprocessor core, but is not intended to limit the invention. Although the microprocessor core is taken as an example here, it can be understood that any other circuit type or function that requires power gating can use the power gate system. The microprocessor 100 is integrated in an integrated circuit (IC), semiconductor wafer, etc., and may have other circuits (not shown).

電源閘系統105~108分別接收一電源閘控制信號,如PGATE1、PGATE2、PGATE3及PGATE4,其中電源閘控制信號PGATE1、PGATE2、PGATE3及PGATE4統稱為電源閘控制信號組PGATE<1:4>。每一電源閘控制信號獨立地對核心104~104之一者進行電源閘控。在一可能實施例中,可同時對核心101~104進行電源閘控。舉例而言,電源閘控制信號PGATE1、PGATE2、PGATE3及PGATE4可能被一單一整體控制信號所取代或控制。微處理器100接收一外部電源供應電壓,其係位於來源電壓VDD與VSS之間,其中來源電壓VSS係為一適當地參考電壓位準,例如接地。來源電壓VDD與VSS作為相 對應的整合供應電壓VDD0及VSS0。電源閘系統105~108接收電壓VDD0及VSS0。核心101~104接收電壓VSS0。電壓VDD0提供予一導電板或是導體群,導體群構成一整合供給匯流排109。 The power gate systems 105-108 receive a power gate control signal, such as PGATE1, PGATE2, PGATE3, and PGATE4, wherein the power gate control signals PGATE1, PGATE2, PGATE3, and PGATE4 are collectively referred to as power gate control signal groups PGATE<1:4>. Each power gate control signal independently powers one of the cores 104-104. In a possible embodiment, the cores 101-104 can be powered on at the same time. For example, the power gate control signals PGATE1, PGATE2, PGATE3, and PGATE4 may be replaced or controlled by a single overall control signal. The microprocessor 100 receives an external power supply voltage that is between the source voltages VDD and VSS, wherein the source voltage VSS is a suitable reference voltage level, such as ground. Source voltage VDD and VSS as phase Corresponding integrated supply voltages VDD0 and VSS0. The power gate systems 105-108 receive voltages VDD0 and VSS0. The cores 101 to 104 receive the voltage VSS0. The voltage VDD0 is supplied to a conductive plate or a conductor group, and the conductor group constitutes an integrated supply bus 109.

如圖所示,電源閘系統105將供應電壓VDD0轉換成一第一閘控供給電壓VDD1。核心101接收第一閘控供給電壓VDD1。電源閘系統106將供應電壓VDD0轉換成一第二閘控供給電壓VDD2。核心102接收第二閘控供給電壓VDD3。電源閘系統107將供應電壓VDD0轉換成一第三閘控供給電壓VDD3。核心103接收第三閘控供給電壓VDD3。電源閘系統108將供應電壓VDD0轉換成一第四閘控供給電壓VDD4。核心104接收第四閘控供給電壓VDD4。 As shown, the power gate system 105 converts the supply voltage VDD0 into a first gate supply voltage VDD1. The core 101 receives the first gate supply voltage VDD1. The power gate system 106 converts the supply voltage VDD0 into a second gate supply voltage VDD2. The core 102 receives the second gate supply voltage VDD3. The power gate system 107 converts the supply voltage VDD0 into a third gate supply voltage VDD3. The core 103 receives the third gate supply voltage VDD3. The power gate system 108 converts the supply voltage VDD0 into a fourth gate supply voltage VDD4. The core 104 receives the fourth gate supply voltage VDD4.

當核心101~104操作在一全電源模式時,相對應的電源閘控制信號PGATE1~PGATE4將被無效化,並且相對應的電源閘系統105~108導通或是致能一預設全電源數量的P型或P通道元件,此處稱為電源閘裝置。預設全電源數量足以有效地將相對應的閘控供給電壓VDD1~VDD4箝制在VDD0,用以最小化電壓VDD0與相對應的閘控供給電壓之間的阻抗路徑,有助於提供電壓予所選擇的核心。 When the cores 101~104 are operated in a full power mode, the corresponding power gate control signals PGATE1~PGATE4 will be invalidated, and the corresponding power gate systems 105~108 are turned on or enable a preset full power amount. P-type or P-channel components, referred to herein as power gate devices. The preset full power supply is sufficient to effectively clamp the corresponding gate supply voltages VDD1~VDD4 to VDD0 to minimize the impedance path between the voltage VDD0 and the corresponding gate supply voltage, which helps to provide voltage The core of choice.

在一可能實施例中,P型電源閘裝置包括PMOS電晶體…等等。進一步來說,數位電源閘控操作係在一期間內,關閉所選擇的PMOS電晶體,用以降低提供予核心的電壓。在另一實施例中,NMOS電晶體…等等元件可能作為電源閘控裝置,並設置在電源閘系統105~108中,並耦接在核心101~104 的參考電壓VSS0與相對應的本地電壓之間,如VSS1~VSS4(未顯示),本地電壓VSS1~VSS4係提供予核心101~104。 In a possible embodiment, the P-type power gate device comprises a PMOS transistor, etc. Further, the digital power gating operation is to turn off the selected PMOS transistor for a period of time to reduce the voltage supplied to the core. In another embodiment, an NMOS transistor, etc., may be used as a power gating device and disposed in the power gate systems 105-108 and coupled to the cores 101-104. Between the reference voltage VSS0 and the corresponding local voltage, such as VSS1 to VSS4 (not shown), the local voltages VSS1 to VSS4 are supplied to the cores 101 to 104.

本領域人士均深知,在全電源模式下,每一微處理器核心將會出現大漏電流。雖然有技術可減少漏電流,但將造成功率損耗,如佔總功耗的15%或更多。可知道的是,核心101~104並非同時啟動。因此,當核心101~104之至少一者進行電源閘控操作時,便可操作在一低功率模式,用以減少功率損耗。事實上,微處理器100裡的多處理器結構的所有核心並不會同時被啟動。因此,在正常操作下,對核心101~104之至少一者進行電源閘控操作,用以降低微處理器100的整體功耗。 It is well known in the art that in full power mode, large leakage currents will occur in each microprocessor core. Although there are techniques to reduce leakage current, it will cause power loss, such as 15% or more of the total power consumption. It can be known that the cores 101~104 are not started at the same time. Therefore, when at least one of the cores 101-104 performs a power gating operation, it can operate in a low power mode to reduce power loss. In fact, all cores of the multiprocessor architecture in microprocessor 100 are not activated at the same time. Therefore, under normal operation, at least one of the cores 101-104 is subjected to a power gating operation to reduce the overall power consumption of the microprocessor 100.

如果不需儲存狀態資訊,則可利用一數位電源閘系統及方法對核心101~104之至少一者進行全電源閘控操作,用以關閉核心101~104之至少一者。當核心101~104之至少一者不需運作並且不需儲存資訊時,則可使用全電源閘控操作。但是在電源閘控操作下,即使核心進入閒置模式,仍需保留核心的狀態,以供稍晚的恢復操作所使用。在習知的電源閘控結構中,一核心的所有狀態會被儲存在一晶片內建的記憶體中或其它具有電源的相似元件(未顯示)。然後,藉由不提供電壓VDD或VSS予核心,便可有效地停止提供電源予核心。當需要使用該核心時,再重新提供電源予核心,並且擷取儲存在記憶體的狀態,然後再重新開始運作。 If the state information is not required to be stored, at least one of the cores 101-104 can be fully powered-backed by a digital power gate system and method to turn off at least one of the cores 101-104. When at least one of the cores 101-104 does not need to operate and does not need to store information, a full power gating operation can be used. However, under power gating operation, even if the core enters the idle mode, the core state needs to be retained for later recovery operations. In a conventional power gating configuration, all states of a core are stored in a memory built into the chip or other similar component (not shown) having a power source. Then, by not supplying the voltage VDD or VSS to the core, the power supply to the core can be effectively stopped. When the core is needed, the power is re-powered to the core, and the state stored in the memory is retrieved and then resumed.

習知電源閘控所使用的位準直接地影響整體效能。特別來說,由於需要較多時脈週期數量,才能完成所有轉換,並且在一獨立的記憶體中,儲存並擷取狀態資訊,因而造 成大幅度的延遲。因此,在下電(power-down)與上電(power-up)時,將造成大延遲。若欲改善核心101~104的整體效應,則必須要降低延遲。 The level used by conventional power gating directly affects overall performance. In particular, since more clock cycles are required, all conversions can be completed, and status information is stored and retrieved in a separate memory. A large delay. Therefore, when power-down and power-up, a large delay will result. If you want to improve the overall effect of the core 101~104, you must reduce the delay.

在第1圖的微處理器100中,每一電源閘系統105~108根據相對應的電源閘控制信號PGATE1~PGATE4(即電源閘控制信號組PGATE<1:4>),執行數位式的電源閘控。當需要對核心101~104之一特定核心進行電源閘控時,該核心將進入一閒置模式,並且核心內部的時脈也會被關閉。即使在閒置狀態,仍然會有漏電流,因而造成嚴重的功率損耗。全電源閘控將會遺失核心所儲存的資料或資訊。然而,根據電源閘控制信號PGATE1~PGATE4的觸發情況,關閉相對應的PMOS裝置,用以在一既定時間下,減少提供予核心的閘控供給電壓。最終的閘控供給電壓係小於全電源供給電壓,但可維持核心的狀態資訊,並可降低功耗及漏電流。 In the microprocessor 100 of FIG. 1, each of the power supply gate systems 105-108 performs a digital power supply according to the corresponding power gate control signals PGATE1 to PGATE4 (ie, the power gate control signal group PGATE<1:4>). Gate control. When power gating is required for a particular core of cores 101-104, the core will enter an idle mode and the core internal clock will be turned off. Even in an idle state, there is still leakage current, which causes severe power loss. Full power gating will lose the data or information stored in the core. However, according to the trigger condition of the power gate control signals PGATE1~PGATE4, the corresponding PMOS device is turned off to reduce the gate supply voltage supplied to the core for a predetermined time. The final gated supply voltage is less than the full power supply voltage, but maintains core state information and reduces power consumption and leakage current.

若欲使一特定核心從電源閘控操作回到全電源操作下,則需進行一恢復程序或操作。特別來說,電源閘系統根據電源閘控制信號PGATE1~PGATE4的無效情況,在一特定期間,導通PMOS裝置,用以增加供給電壓,並將增加的供給電壓提供予核心,其中可藉由程式化調整特定期間的長短。當核心重新接收到全供給電壓時,便離開閒置模式,並可能重新開始動作。 If a particular core is to be returned from power gating operation to full power operation, a recovery procedure or operation is required. In particular, the power gate system turns on the PMOS device to increase the supply voltage and supply the increased supply voltage to the core according to the ineffective condition of the power gate control signals PGATE1~PGATE4, which can be programmed by the core. Adjust the length of a specific period. When the core receives the full supply voltage again, it leaves the idle mode and may restart the action.

不論是降低電源及恢復電源的電源閘控的時間均小於習知的儲存及恢復核心狀態的時間。因此,進入及離開電源閘控模式的時間便可被最小化,大大地改善整體效率。 Both the power-down and power-off power gating times are less than the time required to store and restore core states. Therefore, the time to enter and leave the power gating mode can be minimized, greatly improving overall efficiency.

在一可能實施例中,在全電源模式下,供給電壓VDD0大約為1V或1.05V。可了解的是,在特定的電源模式下,供給電壓VDD0可能在0.95V~1.15V之間變化。在電源閘控模式下,閘控供給電壓(如電壓VDD1~VDD4之至少一者)會被降低至450mV,用以在保留核心的狀態下,減少或是最小化漏電流。可了解的是,針對不同的半導體技術,可能具有不同的特定電壓位準,並且這些特定電壓位準只是作為示範例。本發明可應用在不同電壓位準的技術中,用以在維持電路(如微處理器核心…等等)的邏輯狀態下,減少或最小化漏電流。 In a possible embodiment, the supply voltage VDD0 is approximately 1V or 1.05V in the full power mode. It can be understood that the supply voltage VDD0 may vary between 0.95V and 1.15V in a specific power mode. In power gating mode, the gating supply voltage (such as at least one of voltages VDD1 to VDD4) is reduced to 450mV to reduce or minimize leakage current while the core is being retained. It will be appreciated that for different semiconductor technologies, there may be different specific voltage levels, and these particular voltage levels are merely exemplary. The invention can be applied in different voltage level techniques to reduce or minimize leakage current while maintaining the logic state of the circuit (e.g., microprocessor core, etc.).

在一可能實施例中,微處理器100包括一電源控制器110,用以提供許多控制信號,以控制電源狀態,並控制核心101~104的電源閘控功能。若欲對核心101~104之至少一者進行電源閘控時,電源控制器110令核心101~104之至少一者進入一閒置模式,並關閉相對應核心的內部時脈信號。接著,電源控制器100觸發相對應的電源閘控制信號PGATE1~PGATE4(如PGATE<1:4>所示),用以對相對應的核心進行電源閘控。 In a possible embodiment, the microprocessor 100 includes a power controller 110 for providing a plurality of control signals to control the power state and to control the power gating functions of the cores 101-104. If at least one of the cores 101-104 is to be power-gated, the power controller 110 causes at least one of the cores 101-104 to enter an idle mode and turn off the internal clock signal of the corresponding core. Next, the power controller 100 triggers the corresponding power gate control signals PGATE1~PGATE4 (as shown by PGATE<1:4>) for power gating of the corresponding core.

若欲恢復電源閘控的核心時,電源控制器110無效化電源閘控制信號組PGATE<1:4>裡的相對應的電源閘控制信號,並等待一段時間,直到閘控供給電壓恢復到正常操作位準以及完成恢復程序。當電源閘系統105~108的任一者觸發電源就緒信號組PGOOD<1:4>裡的相對應的電源就緒信號時,電源控制器110根據電源就緒信號組PGOOD<1:4>的觸發狀態,得知恢復程序已完成,並且閘控供給電壓已穩定在電壓VDD0的位準。接著,電源控制器110重新致能核心內部的時脈信號,因 此,核心可能重新運作。 If the core of the power gating is to be restored, the power controller 110 disables the corresponding power gate control signal in the power gate control signal group PGATE<1:4> and waits for a while until the gate supply voltage returns to normal. Operation level and completion of recovery procedures. When any of the power gate systems 105-108 triggers a corresponding power-good signal in the power-good signal group PGOOD<1:4>, the power controller 110 is in accordance with the trigger state of the power-good signal group PGOOD<1:4> It is known that the recovery procedure has been completed, and the gate supply voltage has stabilized at the level of the voltage VDD0. Then, the power controller 110 re-enables the clock signal inside the core, because Therefore, the core may be re-run.

電源控制器110可能更提供一參考電壓組PG_VREF<1:N>,用以控制電源閘系統105~108所執行的電源閘控處理,參考電壓組PG_VREF<1:N>可能具有至少一參考電壓。對於特定的結構或裝置,N可為任意數。當N為1時,表示只提供單一參考電壓。舉例而言,只要能夠保留核心的數位狀態,當N為1時,該單一參考電壓係為閘控供給電壓VDD1~VDD4的最終電壓位準,用以降低漏電流。在另一可能實施例中,當N為2時,表示提供兩參考電壓,如PG_VREF_L及PG_VREF_H。參考電壓PG_VREF_L表示在電源閘控時,閘控供給電壓VDD1~VDD4的最終電壓位準。參考電壓PG_VREF_H係表示一微小電壓位準,稍高於參考電壓PG_VREF_L所代表的最終電壓位準。 The power controller 110 may further provide a reference voltage group PG_VREF<1:N> for controlling the power gating process performed by the power gate systems 105-108. The reference voltage group PG_VREF<1:N> may have at least one reference voltage. . For a particular structure or device, N can be any number. When N is 1, it means that only a single reference voltage is provided. For example, as long as the digital state of the core can be preserved, when N is 1, the single reference voltage is the final voltage level of the gated supply voltages VDD1 VDD VDD4 to reduce leakage current. In another possible embodiment, when N is 2, it means that two reference voltages, such as PG_VREF_L and PG_VREF_H, are provided. The reference voltage PG_VREF_L indicates the final voltage level of the gate supply voltages VDD1 to VDD4 at the time of power supply gating. The reference voltage PG_VREF_H represents a small voltage level slightly higher than the final voltage level represented by the reference voltage PG_VREF_L.

在其它實施例中,可定義或提供多個額外的參考電壓,作為中間控制電壓位準及多個最終電壓。另外,可利用至少一可程式化電壓位準取代參考電壓組PG_VREF<1:N>的參考電壓。在一些實施例中,參考電壓組PG_VREF<1:N>的參考電壓係由一外部來源所提供。 In other embodiments, a plurality of additional reference voltages may be defined or provided as intermediate control voltage levels and a plurality of final voltages. Additionally, the reference voltage of the reference voltage group PG_VREF<1:N> can be replaced with at least one programmable voltage level. In some embodiments, the reference voltage of the reference voltage group PG_VREF<1:N> is provided by an external source.

電源控制器110可能也提供信號組V_DOWN<4:0>予電源閘系統105~108,用以在電源閘控期間,進行調整,接下來將詳細說明。在一些或下列的特定操作條件下,供給電壓VDD0會被調降。在供給電壓VDD0的位準改變前,電源控制器110觸發信號組V_DOWN<4:0>的一信號,其中被觸發的信號表示供給電壓VDD0的減少幅度。在電源閘控時,當閘控供給電 壓VDD1~VDD4之至少一者下降至一狀態保留位準時,電壓VDD0的額外減少可能造成閘控供給電壓之至少一者(如VDD1)下降,並低於一最終電壓位準。舉例而言,若最終電壓位準可維持核心的狀態資訊時,在沒有其它校正的情況下,電壓VDD0的減少可能造成閘控供給電壓小於最終電壓位準,因而無法保留核心的狀態資訊。信號組V_DOWN<4:0>裡的信號作為一次性的調整,用以致能電源閘系統105~108,並調整電源閘控操作。因此,可避免閘控供給電壓小於最終電壓位準。 The power controller 110 may also provide signal groups V_DOWN<4:0> to the power gate systems 105-108 for adjustment during power gating, as will be described in more detail below. The supply voltage VDD0 is throttled down under some or the following specific operating conditions. Before the level of the supply voltage VDD0 changes, the power controller 110 triggers a signal of the signal group V_DOWN<4:0>, wherein the triggered signal represents the magnitude of the decrease in the supply voltage VDD0. When the power is being controlled, when the gate is powered When at least one of the voltages VDD1 VDD VDD4 falls to a state reserved level, an additional decrease in the voltage VDD0 may cause at least one of the gated supply voltages (eg, VDD1) to drop and fall below a final voltage level. For example, if the final voltage level maintains core state information, the reduction in voltage VDD0 may cause the gated supply voltage to be less than the final voltage level without further correction, thereby preventing core state information from being retained. The signal in signal group V_DOWN<4:0> is used as a one-time adjustment to enable power gate systems 105-108 and to adjust power gating operations. Therefore, it is possible to prevent the gate supply voltage from being lower than the final voltage level.

例如在需要關閉核心或不需要儲存核心的狀態資訊時,電源控制器110致能信號組PG_KILL_CORE<1:4>之一相對應信號,用以對一相對應的核心進行全電源閘控的初始化,閘控供給電壓約會減少至零(或接近零)。 For example, when it is necessary to turn off the core or does not need to store the state information of the core, the power controller 110 enables a signal corresponding to one of the signal groups PG_KILL_CORE<1:4> for initializing the power supply to a corresponding core. The gate control supply voltage is reduced to zero (or close to zero).

信號PWR_GOOD用以表示整合供給電壓VDD0已穩定在本身的正常操作電壓位準。在第1圖中,信號PWR_GOOD係由電源控制器110所產生,但信號PWR_GOOD也可能係由外部所提供(如一主機板…等等),用以表示供給電壓VDD0已穩定且有效。在上電或重置並且在開始致能前,信號PWR_GOOD可能用以初始化電源控制器110及電源閘控信號。 The signal PWR_GOOD is used to indicate that the integrated supply voltage VDD0 has stabilized at its normal operating voltage level. In Fig. 1, the signal PWR_GOOD is generated by the power controller 110, but the signal PWR_GOOD may also be provided externally (e.g., a motherboard...etc.) to indicate that the supply voltage VDD0 is stable and valid. The signal PWR_GOOD may be used to initialize the power controller 110 and the power gating signal before powering up or resetting and before enabling the enable.

許多其它的可程式控制信號PG_FU_X被用以控制電源閘控操作以及操作的模式。符號FU所表示的值係由保險絲或掃描值…等等所定義,用以調整微處理器100的相對應操作參數及數值。掃描值可能是資料或是暫存器數值,在IC的測試階段就已寫入,如JTAG邊界掃描…等等。所述的結構提供許多可程式化操作的靜態及動態位準。在製造或特定的裝置下, 可能憑經驗進行測試操作,並且定義相對應所需的操作參數。接著可能使用保險絲,用以靜態地程式化操作參數,用以得到最佳的結果。掃描輸入及保險絲可能是線或閘(wired-OR),用以增強測試及靜態程式化。電源控制器110可能提供信號PG_FU_X或致能其它控制信號,用以控制電源閘控處理。 Many other programmable control signals PG_FU_X are used to control the mode of power gating operation and operation. The value represented by the symbol FU is defined by a fuse or scan value, etc., for adjusting the corresponding operational parameters and values of the microprocessor 100. The scan value may be data or a scratchpad value that has been written during the test phase of the IC, such as JTAG boundary scan...etc. The described structure provides a number of static and dynamic levels of programmable operation. Under manufacturing or specific equipment, Test operations may be performed empirically and define the corresponding operational parameters. A fuse may then be used to statically program the operating parameters for best results. Scan inputs and fuses may be wire-ORs for enhanced testing and static programming. The power controller 110 may provide a signal PG_FU_X or enable other control signals to control the power gating process.

在本實施例中,模式調整方塊112可能提供信號PG_FU_X,用以在電源閘控功能下,控制或調整操作的模式。模式調整方塊112具有一保險絲陣列114以及一記憶體116。不論是保險絲陣列114或是記憶體116或是保險絲陣列114及記憶體116的整合可能用以程式化信號PG_FU_X之至少一者。保險絲陣列114包括許多保險絲,該等保險絲可能被程式化,用以靜態設定信號PG_FU_X之至少一者。舉例而言,保險絲的程式化可藉由位址選擇或直接將一特定保險絲耦接一外部接腳(未顯示),在施加足夠的高壓以熔斷保險絲。當保險絲被熔斷時,可提供一電性短路,用以執行一第一模式或一預設模式的操作。當保險絲未被熔斷時,係提供一開路特性,用以一第二模式或一程式化模式的操作。記憶體可靜態或動態地設定信號PG_FU_X之至少一者。舉例而言,程式化靜態記憶體,如唯讀記憶體(ROM)…等等,用以設定信號PG_FU_X之至少一者。程式化動態記憶體,如隨機存取記憶體(RAM)、暫存器…等等,用以在操作時(如上電及/或邊界掃描…等等),設定信號PG_FU_X之至少一者。 In this embodiment, mode adjustment block 112 may provide signal PG_FU_X for controlling or adjusting the mode of operation under the power gating function. The mode adjustment block 112 has a fuse array 114 and a memory 116. Either fuse array 114 or memory 116 or integration of fuse array 114 and memory 116 may be used to program at least one of signals PG_FU_X. The fuse array 114 includes a plurality of fuses that may be programmed to statically set at least one of the signals PG_FU_X. For example, the stylization of the fuse can be performed by address selection or by directly coupling a particular fuse to an external pin (not shown), applying sufficient high voltage to blow the fuse. When the fuse is blown, an electrical short can be provided to perform a first mode or a preset mode of operation. When the fuse is not blown, an open circuit characteristic is provided for operation in a second mode or a stylized mode. The memory can set at least one of the signals PG_FU_X statically or dynamically. For example, stylized static memory, such as read only memory (ROM), etc., is used to set at least one of the signals PG_FU_X. Stylized dynamic memory, such as random access memory (RAM), scratchpad, etc., for setting at least one of signals PG_FU_X during operation (such as electrical and/or boundary scan, etc.).

第2圖為本發明之核心101與電源閘系統105之示意圖。由於第1圖裡的每一核心與相對應的電源閘系統之間的 關係均相同,故第2圖所顯示的核心101與電源閘系統105之間的關係也可代表第1圖裡的其它核心與相對應電源閘系統之間的關係。在本實施例中,電源閘系統105包括一電源閘控系統201以及許多閘控電路,分別顯示為PG_GATE_TOP202、PG_GATE_LEFT203、PG_GATE_RIGHT204以及PG_GATE_BOTTOM205。閘控電路PG_GATE_TOP202、PG_GATE_LEFT203、PG_GATE_RIGHT204以及PG_GATE_BOTTOM205分別位於核心101的上方、左方、右方及下方。每一閘控電路包括許多電源閘控裝置。電源閘控裝置設置在核心101的周圍。在另一實施例中,電源閘控裝置可能作為一電源閘控陣列,並整合在核心101之中。針對核心101~104之至少一者,可設置內部及外部的閘控裝置。 2 is a schematic diagram of the core 101 and power gate system 105 of the present invention. Between each core in Figure 1 and the corresponding power gate system The relationship is the same, so the relationship between the core 101 and the power gate system 105 shown in Fig. 2 can also represent the relationship between the other cores in Fig. 1 and the corresponding power gate system. In the present embodiment, the power gate system 105 includes a power gate system 201 and a plurality of gate control circuits, which are respectively displayed as PG_GATE_TOP202, PG_GATE_LEFT203, PG_GATE_RIGHT204, and PG_GATE_BOTTOM205. The gate control circuits PG_GATE_TOP202, PG_GATE_LEFT203, PG_GATE_RIGHT204, and PG_GATE_BOTTOM205 are located above, to the left, to the right, and below the core 101, respectively. Each gate control circuit includes a number of power gating devices. A power gating device is disposed around the core 101. In another embodiment, the power gating device may act as a power gating array and be integrated into the core 101. For at least one of the cores 101 to 104, internal and external gate control devices can be provided.

核心101以電壓VSS0為參考,並包括一閘控供給匯流排206。閘控供給匯流排206提供閘控供給電壓VDD1予核心101。本領域人士均深知,核心101具有許多PMOS電晶體、NMOS電晶體及其它電路元件(均未顯示),用以執行處理功能。在全電源條件下,核心101的CMOS裝置具有較大的漏電流。在本實施例中,電源閘控系統201提供17位元的控制字元PG_CNTRL<16:0>。在電源閘控期間,控制字元PG_CNTRL<16:0>控制電壓VDD1的位準,電壓VDD1的位準與電壓VDD0有關。電壓VDD1藉由輸入端VDD1_FB,回授至電源閘控系統201。 Core 101 is referenced to voltage VSS0 and includes a gated supply bus 206. The gated supply busbar 206 provides a gated supply voltage VDD1 to the core 101. It is well known in the art that the core 101 has a plurality of PMOS transistors, NMOS transistors, and other circuit components (none of which are shown) for performing processing functions. The CMOS device of the core 101 has a large leakage current under full power conditions. In the present embodiment, the power gating system 201 provides a 17-bit control character PG_CNTRL<16:0>. During power gating, the control word PG_CNTRL<16:0> controls the level of the voltage VDD1, and the level of the voltage VDD1 is related to the voltage VDD0. The voltage VDD1 is fed back to the power gating system 201 via the input terminal VDD1_FB.

電源閘控系統201接收電壓VDD0,因此,在核心101進行電源閘控時,電源閘控系統201仍為上電狀態,用以維 持電源閘控及操作。電源閘控系統201接收一時脈信號EESDCLK以及一4位元的前端匯流排數值FSB<3:0>。前端匯流排數值FSB<3:0>表示一匯流排時脈的頻率。時脈信號EESDCLK與前端匯流排數值FSB<3:0>用以設定及調整至少一內部時脈信號(如PG_CLK)的週期。內部時脈信號PG_CLK的週期時間係為執行電源閘控的時間。電源閘控系統201接收信號PWR_GOOD。信號PWR_GOOD係由電源控制器110所觸發,用以表示供給電壓VDD0已回到正常操作電壓位準。當信號PWR_GOOD未被觸發時,重置或初始化電源閘控系統201。在上電或重置時,電壓VDD1的位準係跟隨電壓VDD0位準。 The power gating system 201 receives the voltage VDD0. Therefore, when the core 101 performs power gating, the power gating system 201 is still in a power-on state for dimensioning. Power gating and operation. The power gating system 201 receives a clock signal EESDCLK and a 4-bit front-end bus bar value FSB<3:0>. The front-end bus bar value FSB<3:0> indicates the frequency of a bus clock. The clock signal EESDCLK and the front-end bus bar value FSB<3:0> are used to set and adjust the period of at least one internal clock signal (such as PG_CLK). The cycle time of the internal clock signal PG_CLK is the time at which the power gating is performed. The power gating system 201 receives the signal PWR_GOOD. The signal PWR_GOOD is triggered by the power controller 110 to indicate that the supply voltage VDD0 has returned to the normal operating voltage level. When the signal PWR_GOOD is not triggered, the power gating system 201 is reset or initialized. At power-on or reset, the level of voltage VDD1 follows the voltage VDD0 level.

電源閘控系統201接收信號PG_KILL_CORE1。信號PG_KILL_CORE1係為信號組PG_KILL_CORE<1:4>之一信號。電源控制器110提供信號PG_KILL_CORE1予核心101。若欲關閉核心101或是在一低功率模式下不需儲存核心101的狀態資訊時,電源控制器110觸發信號PG_KILL_CORE1,用以對核心101進行全電源閘控的初始化,此時,電壓VDD1下降至(或接近)0V。在上電時,若當信號PWR_GOOD尚未被觸發,則忽略信號PG_KILL_CORE1。 The power gating system 201 receives the signal PG_KILL_CORE1. The signal PG_KILL_CORE1 is one of the signal groups PG_KILL_CORE<1:4>. The power controller 110 provides a signal PG_KILL_CORE1 to the core 101. If the core 101 is to be turned off or the state information of the core 101 is not stored in a low power mode, the power controller 110 triggers a signal PG_KILL_CORE1 for initializing the full power gating of the core 101. At this time, the voltage VDD1 drops. To (or close to) 0V. At power-on, if the signal PWR_GOOD has not been triggered, the signal PG_KILL_CORE1 is ignored.

電源閘控系統201接收一電源閘控信號PGATE1。電源閘控信號PGATE1係為信號組PGATE<1:4>之一信號。信號組PGATE<1:4>係由電源控制器110所提供,用以表示是否欲喚醒或解除核心101的電源閘控操作。當信號PGATE1被觸發時,用以對核心101進行電源閘控初始化。當信號PGATE1被無效化時,核心101離開電源閘控操作,並重新回到正常模式。 The power gating system 201 receives a power gating signal PGATE1. The power gating signal PGATE1 is one of the signal groups PGATE<1:4>. The signal group PGATE<1:4> is provided by the power controller 110 to indicate whether the power gating operation of the core 101 is to be woken up or released. When the signal PGATE1 is triggered, it is used to perform power gating initialization on the core 101. When the signal PGATE1 is deactivated, the core 101 leaves the power gating operation and returns to the normal mode.

電源閘控系統201接收參考電壓組PG_VREF<1:N>。藉由比較參考電壓組PG_VREF<1:N>之至少一參考電壓與電壓VDD1(透過輸入端VDD1_FB),便可以監控電源閘控操作的進展,以及/或重新回到正常模式。舉例而言,在一可能實施例中,電源閘控系統201比較電壓VDD1的位準與至少一參考電壓,用以根據電壓VDD1是否到達特定臨界值,以進入及/或離開電源閘控操作。本發明並不限定用以控制電源閘控的參考電壓的數量。 The power gating system 201 receives the reference voltage group PG_VREF<1:N>. By comparing at least one reference voltage of the reference voltage group PG_VREF<1:N> with the voltage VDD1 (through the input terminal VDD1_FB), it is possible to monitor the progress of the power gating operation and/or return to the normal mode. For example, in a possible embodiment, the power gating system 201 compares the level of the voltage VDD1 with at least one reference voltage to enter and/or exit the power gating operation depending on whether the voltage VDD1 reaches a certain threshold. The invention does not limit the number of reference voltages used to control the power gating.

電源閘控系統201接收信號組V_DOWN<4:0>,用以在特定條件下,對控制字元PG_CNTRL<16:0>(或簡稱為PG_CNTRL)做一次性調整。電源控制器110可能觸發信號組V_DOWN<4:0>的一特定信號,用以在一低電源狀態下,減少電壓VDD0的位準。在一些實施例中,外部或內部的去耦電容(decoupling capacitor)可能存在於核心101之中,並接收閘控供給電壓VDD1。若不具有去耦電容,則不需要信號組V_DOWN<4:0>,或是忽略信號組V_DOWN<4:0>。然而,若具有去耦電容,或是核心具有很大的容值時,由於大容值將會影響電阻-電容(RC)時間係數,特別是如果電壓VDD0也被調降了,在此情況下,可利用控制字元PG_CNTRL調整電壓VDD1。RC時間係數裡的C指的是核心101內的總容值,而R指的是耦接在電壓VDD0與VDD1之間的電源閘控裝置的總阻抗。當電源閘控裝置被開啟或關閉時,將影響總阻抗,進而影響RC時間係數。 The power gating system 201 receives the signal group V_DOWN<4:0> for making a one-time adjustment of the control character PG_CNTRL<16:0> (or simply PG_CNTRL) under certain conditions. The power controller 110 may trigger a specific signal of the signal group V_DOWN<4:0> to reduce the level of the voltage VDD0 in a low power state. In some embodiments, an external or internal decoupling capacitor may be present in the core 101 and receive the gated supply voltage VDD1. If there is no decoupling capacitor, the signal group V_DOWN<4:0> is not needed, or the signal group V_DOWN<4:0> is ignored. However, if there is a decoupling capacitor, or if the core has a large capacitance value, the large capacitance value will affect the resistance-capacitance (RC) time coefficient, especially if the voltage VDD0 is also reduced, in this case. The voltage VDD1 can be adjusted by the control character PG_CNTRL. C in the RC time coefficient refers to the total capacitance in the core 101, and R refers to the total impedance of the power gating device coupled between the voltages VDD0 and VDD1. When the power gating device is turned on or off, it will affect the total impedance, which in turn affects the RC time factor.

電源閘控系統201偵測信號組V_DOWN<4:0>的觸發狀況,並根據RC時間係數調整控制字元以及電壓VDD1,用 以補償RC時間係數,以確保即時可作出反應,並避免電壓VDD1的位準處於一未知位準。如此可預防電壓VDD1的位準低於一預設最小位準(如能夠儲存狀態的最終電壓位準),並可避免遺失儲存在核心101的狀態資訊。 The power gating system 201 detects the trigger condition of the signal group V_DOWN<4:0>, and adjusts the control character and the voltage VDD1 according to the RC time coefficient. To compensate for the RC time factor, to ensure immediate response, and to avoid the level of voltage VDD1 at an unknown level. This prevents the level of the voltage VDD1 from being lower than a predetermined minimum level (such as the final voltage level capable of storing the state), and avoids losing state information stored in the core 101.

當電壓VDD1為正常操作位準時,電源閘控系統201觸發一電源就緒信號PGOOD1,並提供電源就緒信號PGOOD1予電源控制器110。電源就緒信號PGOOD1係為上述信號組PGOOD<1:4>中之一信號。在一實施例中,在進行電源閘控操作時,控制字元PG_CNTRL<16:0>的最高有效位元(MSB),即控制位元PG_CNTRL<16>會被關閉或不被觸發,用以關閉相對應的複數PMOS裝置。當最高有效位元或是控制位元PG_CNTRL<16>被觸發時,則進行恢復處理。藉由控制位元PG_CNTRL<16:0>,可衍生出信號PGOOD1,或是直接觸發信號PGOOD1。 When the voltage VDD1 is at the normal operating level, the power gating system 201 triggers a power-good signal PGOOD1 and provides a power-good signal PGOOD1 to the power controller 110. The power-good signal PGOOD1 is one of the above-mentioned signal groups PGOOD<1:4>. In an embodiment, when the power gating operation is performed, the most significant bit (MSB) of the control character PG_CNTRL<16:0>, that is, the control bit PG_CNTRL<16> is turned off or not triggered, Turn off the corresponding complex PMOS device. When the most significant bit or the control bit PG_CNTRL<16> is triggered, the recovery process is performed. The signal PGOOD1 or the direct trigger signal PGOOD1 can be derived by controlling the bit PG_CNTRL<16:0>.

電源閘控系統201接收信號PG_FU_X,用以調整相對應的操作參數及數值…等。這些參數可被程式化,並可藉由保險絲、掃描…等等方式設定這些參數。具有符號GN的信號係用以調整相對應操作參數或數值的增益。舉例而言,數值PG_FU_ADD_GN調整一加總數值,用以增加控制字元PG_CNTRL(如在電源閘控模式下,靜態保留電壓位準),而數值PG_FU_SUB_GN調整一差異數值,用以減少控制字元PG_CNTRL(如在電源閘控模式下)。在電壓調變下,加總數值及差異數值係為動態的增益。 The power gating system 201 receives the signal PG_FU_X for adjusting the corresponding operating parameters and values, and the like. These parameters can be programmed and can be set by means of fuses, scans, etc. The signal with the symbol GN is used to adjust the gain of the corresponding operating parameter or value. For example, the value PG_FU_ADD_GN adjusts a total value for increasing the control character PG_CNTRL (eg, in the power gating mode, the static retention voltage level), and the value PG_FU_SUB_GN adjusts a difference value to reduce the control character PG_CNTRL (as in power gating mode). Under voltage modulation, the sum total value and the difference value are dynamic gains.

在電源閘控操作下,若電壓VDD1到達一特定臨界 電壓時,利用數值PG_FU_HIERB調整時間基數或調整週期。在一實施例中,當電壓VDD1下降至一臨界位準時,觸發二進制信號HIER(稍後將說明),臨界位準高於一最終電壓位準,最終電壓位準可保留核心的資料或狀態,並由低臨界位準(HIGH)所表示。當信號HIER被觸發時,增加時脈信號PG_CLK的週期,用以減少調整速度,時脈信號PG_CLK的週期與上述調整時間的長短有關。在未提供或未觸發數值PG_FU_HIERB的情況下,調整時間為一預設值。進一步而言,數值PG_FU_HIERB用以改變時脈信號PG_CLK的週期大小。 Under power gating operation, if voltage VDD1 reaches a certain threshold For voltage, use the value PG_FU_HIERB to adjust the time base or adjustment period. In one embodiment, when the voltage VDD1 drops to a critical level, the binary signal HIER (which will be described later) is triggered, the critical level is higher than a final voltage level, and the final voltage level can retain the core data or state. It is represented by a low critical level (HIGH). When the signal HIER is triggered, the period of the clock signal PG_CLK is increased to reduce the adjustment speed, and the period of the clock signal PG_CLK is related to the length of the above adjustment time. In the case where the value PG_FU_HIERB is not supplied or is not triggered, the adjustment time is a preset value. Further, the value PG_FU_HIERB is used to change the period size of the clock signal PG_CLK.

在電源閘控操作下,可利用保險絲或掃描值調整時脈信號PG_CLK。舉例而言,藉由保險絲設定數值組PG_FU_ENT<10:5>的每一數值,用以改變時脈信號PG_CLK的週期。在一可能實施例中,可藉由特定架構的不同參數調整時脈信號PG_CLK的週期。在一實施例中,一特定核心的容值可能會因外部電容…等等而增加,因而在電源閘控操作下,將會增加RC時間係數。額外的容值可能會增加RC時間係數,因而減慢調整動作的反應速度。數值組PG_FU_ENT<10:5>具有一可程式功能,用以在電源閘控操作下,補償額外的容值。 In the power gating operation, the clock signal PG_CLK can be adjusted using the fuse or scan value. For example, each value of the value group PG_FU_ENT<10:5> is set by the fuse to change the period of the clock signal PG_CLK. In a possible embodiment, the period of the clock signal PG_CLK can be adjusted by different parameters of a particular architecture. In an embodiment, the capacitance of a particular core may increase due to external capacitance, etc., and thus the RC time coefficient will increase under power gating operation. The extra value may increase the RC time factor, thus slowing down the response speed of the adjustment action. The value group PG_FU_ENT<10:5> has a programmable function to compensate for additional capacitance values under power gating operation.

當一信號RESUME被觸發,藉由許多保險絲或掃描參數,便可進入恢復操作,並離開電源閘控操作。信號RESUME一般是根據信號PGATE1或_PG_KILL_CORE1而被觸發。在電源閘控操作的部分或所有時間中,信號RESUME會被無效化。在恢復處理中,一調整數值會被加到控制字元PG_CNTRL中。數值組PG_FU_RESUME_GN<1:0>用以選擇不同的加總數值, 用以調整恢復增益,數值組PG_FU_RESUME_GN<1:0>具有兩數值,藉由保險絲或掃描方式,調整時脈信號PG_CLK的週期。舉例而言,數值PG_FU_CONST_RES_CLK用以在恢復處理中,選擇時脈信號PG_CLK的一預設固定週期。數值組PG_FU_RES_PER<1:0>係為一可程式化的2位元數值,可能用以將時脈信號PG_CLK的週期調整成一固定數值。預設週期的長度必須足以在回復到正常操作下,不會中斷周圍核心或其它電路的操作。數值PG_FU_RESUME_STOP係為一二進制數值,其用以表示在正常操作下,停止調整控制字元以及將控制字元恢復到起始位準。 When a signal RESUME is triggered, a number of fuses or scanning parameters can be used to enter the recovery operation and leave the power gating operation. The signal RESUME is typically triggered according to the signal PGATE1 or _PG_KILL_CORE1. The signal RESUME is invalidated during some or all of the time of the power gating operation. In the recovery process, an adjustment value is added to the control character PG_CNTRL. The value group PG_FU_RESUME_GN<1:0> is used to select different sum total values. To adjust the recovery gain, the value group PG_FU_RESUME_GN<1:0> has two values, and the period of the clock signal PG_CLK is adjusted by a fuse or a scanning method. For example, the value PG_FU_CONST_RES_CLK is used to select a predetermined fixed period of the clock signal PG_CLK in the recovery process. The value group PG_FU_RES_PER<1:0> is a programmable 2-bit value that may be used to adjust the period of the clock signal PG_CLK to a fixed value. The preset period must be long enough to return to normal operation without interrupting the operation of the surrounding core or other circuitry. The value PG_FU_RESUME_STOP is a binary value used to indicate that under normal operation, the adjustment of the control character is stopped and the control character is restored to the starting level.

閘控電路202~205的動作原理相同,並且具有至少一輸入端以及至少一輸出端,輸入端係用以接收整合供給電壓VDD0,輸出端係用以耦接閘控供給匯流排206。閘控供給匯流排206傳送閘控供給電壓VDD1。在本實施例中,電源閘控系統201產生控制字元PG_CNTRL<16:0>,用以在上述的電源閘控操作中,控制電壓VDD1的位準,電壓VDD1的位準相對於電壓VDD0。閘控電路202及203的輸入端I<16:0>接收控制字元PG_CNTRL<16:0>。閘控電路202緩衝控制字元PG_CNTRL<16:0>後,再將緩衝結果PG_CNTRLA<16:0>透過輸出端O<16:0>輸出至閘控電路204的相對應輸入端I<16:0>。同樣地,閘控電路203緩衝控制字元PG_CNTRL<16:0>後,再將緩衝結果PG_CNTRLB<16:0>透過輸出端O<16:0>輸出至閘控電路205的相對應輸入端I<16:0>。 The gate control circuits 202-205 operate in the same principle, and have at least one input terminal and at least one output terminal. The input terminal is configured to receive the integrated supply voltage VDD0, and the output terminal is configured to be coupled to the gate control supply bus bar 206. The gate control supply bus 206 transmits the gate supply voltage VDD1. In the present embodiment, the power gating system 201 generates control characters PG_CNTRL<16:0> for controlling the level of the voltage VDD1 in the power gating operation described above, and the level of the voltage VDD1 is relative to the voltage VDD0. The input terminals I<16:0> of the gate control circuits 202 and 203 receive control characters PG_CNTRL<16:0>. After the gate control circuit 202 buffers the control characters PG_CNTRL<16:0>, the buffered result PG_CNTRLA<16:0> is output to the corresponding input terminal I<16 of the gate control circuit 204 through the output terminal O<16:0>: 0>. Similarly, after the gate control circuit 203 buffers the control characters PG_CNTRL<16:0>, the buffered result PG_CNTRLB<16:0> is output to the corresponding input terminal I of the gate control circuit 205 through the output terminal O<16:0>. <16:0>.

符號I及O分別表示輸入及輸出控制字元CNTRL, 閘控電路202及203緩衝控制字元CNTRL後,分別產生緩衝結果CNTRLA及CNTRLB。閘控電路204及205的動作原理與閘控電路202及203相同,即使未顯示閘控電路204及205所產生的緩衝結果。在此處,控制字元PG_CNTRL<16:0>也可稱為PG_CNTRL,除非需使用控制字元PG_CNTRL<16:0>的16位元。 Symbols I and O represent the input and output control characters CNTRL, respectively. After the gate control circuits 202 and 203 buffer the control character CNTRL, the buffer results CNTRLA and CNTRLB are generated, respectively. The operation principle of the gate control circuits 204 and 205 is the same as that of the gate control circuits 202 and 203, even if the buffering results generated by the gate control circuits 204 and 205 are not displayed. Here, the control character PG_CNTRL<16:0> may also be referred to as PG_CNTRL unless a 16-bit element of the control character PG_CNTRL<16:0> is used.

第2圖所顯示的閘控電路(如202~205)係以分散的方式,設置在一大電路(如核心101)的周圍,但並非用以限制本發明。舉例而言,相同或不同的閘控電路可能完全地整合在電路或核心中,或是一部分的閘控電路整合在電路中,而另一部分設置在電路的周圍。不論閘控電路的結構為何,每一閘控電路具有部分的分配電路,用以傳送控制字元PG_CNTRL<16:0>的每一位元至電源閘控裝置的相對應控制端。分配電路一般具有許多緩衝器或傳送器…等等,用以維持控制字元的每一位元的信號正確性。 The gate control circuit (e.g., 202-205) shown in Figure 2 is disposed in a discrete manner around a large circuit (e.g., core 101), but is not intended to limit the invention. For example, the same or different gating circuits may be fully integrated into the circuit or core, or some of the gating circuits may be integrated into the circuit while another portion is placed around the circuit. Regardless of the structure of the gate control circuit, each gate control circuit has a partial distribution circuit for transmitting each bit of the control character PG_CNTRL<16:0> to the corresponding control terminal of the power gating device. The distribution circuit typically has a number of buffers or transmitters, etc., to maintain the signal correctness of each bit of the control character.

第3圖係為本發明之閘控電路PG_GATE_TOP202的簡單示意圖,閘控電路PG_GATE_TOP202~205的動作原理相同。閘控電路PG_GATE_TOP202具有四獨立並大致相同的側方塊301。側方塊301藉由控制字元PG_CNTRL(如在PG_CNTRL<16:0>及PG_CNTRLA<16:0>之間),以菊花鍊(daisy chained)方式串接在一起。每一側方塊301透過相對應的輸入端I<16:0>接收控制字元PG_CNTRL或一緩衝結果,並透過輸出端O<16:0>輸出緩衝結果。 Fig. 3 is a simplified schematic diagram of the gate control circuit PG_GATE_TOP202 of the present invention, and the operation principle of the gate control circuit PG_GATE_TOP202~205 is the same. The gate control circuit PG_GATE_TOP 202 has four independent and substantially identical side blocks 301. The side block 301 is daisy chained together by the control character PG_CNTRL (as between PG_CNTRL<16:0> and PG_CNTRLA<16:0>). Each side block 301 receives the control character PG_CNTRL or a buffered result through the corresponding input terminal I<16:0>, and outputs the buffering result through the output terminal O<16:0>.

每一側方塊301更包括輸入端IN及輸出端OUT。輸入端IN耦接整合供給匯流排109,用以接收電壓VDD0。輸出端 OUT耦接閘控供給匯流排206,用以產生電壓VDD1。一般而言,控制字元PG_CNTRL<16:0>的每一位元導通耦接在VDD0與VDD1之間的裝置,並可得知供給電壓之間的容值或是阻抗值。當許多裝置(或是所有裝置)被導通時,電容為最大值,並且阻抗最小,因此,電壓VDD1有效地被箝制到電壓VDD0,假設,電壓VDD1約等於電壓VDD0。在電源閘控操作的局部或全部時間下,關閉所有裝置,因此,相對於電壓VDD0,電壓VDD1的位準下降。 Each side block 301 further includes an input terminal IN and an output terminal OUT. The input terminal IN is coupled to the integrated supply bus 109 for receiving the voltage VDD0. Output The OUT is coupled to the gate supply bus 206 for generating a voltage VDD1. In general, each bit of the control character PG_CNTRL<16:0> is coupled to a device between VDD0 and VDD1, and can know the capacitance or impedance value between the supply voltages. When many devices (or all devices) are turned on, the capacitance is at a maximum and the impedance is minimized, and therefore, the voltage VDD1 is effectively clamped to the voltage VDD0, assuming that the voltage VDD1 is approximately equal to the voltage VDD0. At some or all of the time of the power gating operation, all devices are turned off, and therefore, the level of the voltage VDD1 falls with respect to the voltage VDD0.

第4圖為本發明之側方塊301的一可能實施例。側方塊301具有兩獨立且相同的閘方塊401。閘方塊401透過控制字元的高位元部分PG_CNTRL<16:13>或是高位元部分PG_CNTRL<16:13>的緩衝結果,以菊花鍊的方式連接在一起。每一閘方塊401包括控制字元輸入端I<16:13>以及控制字元輸出端O<16:13>。側方塊301更具有其它閘方塊403。閘方塊403透過輸入端I<12:0>接收控制字元的低位元部分PG_CNTRL<12:0>,並透過輸出端O<12:0>輸出低位元部分PG_CNTRL<12:0>的緩衝結果。閘方塊401及403均具有輸入端IN及輸出端OUT。輸入端IN耦接整合供給匯流排109,用以接收電壓VDD0。輸出端OUT耦接閘控供給匯流排206,用以提供電壓VDD1。 Figure 4 is a possible embodiment of a side block 301 of the present invention. Side block 301 has two separate and identical gate blocks 401. The gate block 401 is daisy-chained together by the buffering result of the high-order portion PG_CNTRL<16:13> of the control character or the high-order portion PG_CNTRL<16:13>. Each gate block 401 includes a control character input I<16:13> and a control word output O<16:13>. Side block 301 has other gate blocks 403. The gate block 403 receives the low-order portion PG_CNTRL<12:0> of the control character through the input terminal I<12:0>, and outputs the buffer result of the low-order portion PG_CNTRL<12:0> through the output terminal O<12:0>. . Each of the gate blocks 401 and 403 has an input terminal IN and an output terminal OUT. The input terminal IN is coupled to the integrated supply bus 109 for receiving the voltage VDD0. The output terminal OUT is coupled to the gate supply bus bar 206 for providing the voltage VDD1.

第5圖係為用以處理控制字元的高位元部分的閘方塊401的一可能實施例。控制字元的最高控制位元PG_CNTRL<16>控制緩衝結果I<16>。藉由緩衝器組501(如8個串聯的緩衝器)處理最高控制位元PG_CNTRL<16>,並提供一 緩衝輸出控制位準O<16>。複數PMOS電晶體組502並聯在一起,並且每一PMOS電晶體組502的閘極耦接一相對應的緩衝器501的輸出端,用以接收一相對應的緩衝結果(最高控制位元PG_CNTRL<16>的緩衝結果)。PMOS電晶體組502的源極接收電壓VDD0,其汲極提供電壓VDD1。在本實施例中,當最高控制位元PG_CNTRL<16>被觸發至低位準時,PMOS電晶體組502被導通,用以在電壓VDD0與VDD1之間,提供一相對應的電流路徑。當最高控制位元PG_CNTRL<16>被觸發至高位準(或是無效位準)時,PMOS電晶體組502不被導通。 Figure 5 is a possible embodiment of a gate block 401 for processing the high bit portion of the control character. The highest control bit PG_CNTRL<16> of the control character controls the buffer result I<16>. The highest control bit PG_CNTRL<16> is processed by the buffer group 501 (such as 8 serial buffers), and a The buffer output control level is O<16>. The plurality of PMOS transistor groups 502 are connected in parallel, and the gate of each PMOS transistor group 502 is coupled to the output of a corresponding buffer 501 for receiving a corresponding buffering result (the highest control bit PG_CNTRL< 16> buffering results). The source of the PMOS transistor group 502 receives the voltage VDD0, and its drain provides the voltage VDD1. In the present embodiment, when the highest control bit PG_CNTRL<16> is triggered to the low level, the PMOS transistor group 502 is turned on to provide a corresponding current path between the voltages VDD0 and VDD1. When the highest control bit PG_CNTRL<16> is triggered to a high level (or an invalid level), the PMOS transistor group 502 is not turned on.

在一實施例中,每一PMOS電晶體組502具有768個並聯的PMOS電晶體。每一閘方塊401具有8個PMOS電晶體組502,每一側方塊301具有2個閘方塊401,並且每一閘控電路202~205均具有4個側方塊,故總共具有196,608個PMOS電晶體(大均200K個電晶體)並聯在一起,並且受控於最高控制位元PG_CNTRL<16>。由於閘控電路、側方塊及閘方塊係分散在核心101的周圍,並且每一核心周圍均具有相同的架構,因此,微處理器100的每一核心係被相當大數量的PMOS裝置所圍繞,該等PMOS裝置受控於最高控制位元PG_CNTRL<16>。在一實施例中,每一PMOS電晶體的尺寸大約2微米(micron),因此,電晶體材料大約需393216微米(大約400K微米),並由最高控制位元PG_CNTRL<16>所控制。 In one embodiment, each PMOS transistor group 502 has 768 parallel PMOS transistors. Each gate block 401 has eight PMOS transistor groups 502, each side block 301 has two gate blocks 401, and each of the gate control circuits 202-205 has four side blocks, so that there are a total of 196,608 PMOS transistors. (large average 200K transistors) are connected in parallel and are controlled by the highest control bit PG_CNTRL<16>. Since the gate control circuit, side blocks, and gate blocks are dispersed around the core 101 and have the same architecture around each core, each core of the microprocessor 100 is surrounded by a relatively large number of PMOS devices. The PMOS devices are controlled by the highest control bit PG_CNTRL<16>. In one embodiment, each PMOS transistor is approximately 2 microns in micron size, and therefore, the transistor material is approximately 393216 microns (about 400 K microns) and is controlled by the highest control bit PG_CNTRL<16>.

控制字元的最高控制位元PG_CNTRL<16>的下一最高有效控制位元PG_CNTRL<15>控制緩衝結果I<15>,藉由反相器組503緩衝控制位元PG_CNTRL<15>。反相器組503的輸 出端耦接PMOS電晶體組504。PMOS電晶體組504裡的電晶體並聯在一起,並且其閘極耦接相對應反相器組的輸出端,其源極接收電壓VDD0,其汲極產生電壓VDD1。在本實施例中,當控制位元PG_CNTRL<15>為低位準時,導通PMOS電晶體組504裡的每一電晶體,用以在VDD1與VDD0之間提供電流路徑。當控制位元PG_CNTRL<15>為高位準時,關閉PMOS電晶體組504裡的每一電晶體。 The next most significant control bit PG_CNTRL<15> of the highest control bit PG_CNTRL<16> of the control character controls the buffer result I<15>, and the control bit PG_CNTRL<15> is buffered by the inverter group 503. Inverter group 503 The output is coupled to the PMOS transistor group 504. The transistors in the PMOS transistor group 504 are connected in parallel, and their gates are coupled to the output terminals of the corresponding inverter group, the source thereof receives the voltage VDD0, and the drain thereof generates the voltage VDD1. In the present embodiment, when the control bit PG_CNTRL<15> is low, each transistor in the PMOS transistor group 504 is turned on to provide a current path between VDD1 and VDD0. When the control bit PG_CNTRL<15> is at a high level, each transistor in the PMOS transistor group 504 is turned off.

在一可能實施例中,每一PMOS電晶體組504具有64個並聯的PMOS電晶體。每一閘方塊401具有4個PMOS電晶體組504,每一側方塊301具有2個閘方塊401,並且每一閘控電路202~205均具有4個側方塊,故總共具有8,192個PMOS電晶體並聯在一起,並且受控於控制位元PG_CNTRL<15>。在一實施例中,每一PMOS電晶體的尺寸大約2微米(micron),因此,電晶體材料大約需16384微米,並由控制位元PG_CNTRL<15>所控制。因此,雖然受控於控制位元PG_CNTRL<15>的PMOS裝置的數量極小於受控於控制位元PG_CNTRL<16>的PMOS裝置的數量,但微處理器100的每一核心的周圍仍具有許多PMOS裝置,該等PMOS裝置受控於控制位元PG_CNTRL<15>。 In one possible embodiment, each PMOS transistor group 504 has 64 parallel PMOS transistors. Each gate block 401 has four PMOS transistor groups 504, each side block 301 has two gate blocks 401, and each of the gate control circuits 202-205 has four side blocks, so that there are a total of 8,192 PMOS transistors. Parallel to each other and controlled by the control bit PG_CNTRL<15>. In one embodiment, each PMOS transistor has a size of about 2 micron, and therefore, the transistor material requires approximately 16384 microns and is controlled by control bit PG_CNTRL<15>. Therefore, although the number of PMOS devices controlled by the control bit PG_CNTRL<15> is extremely smaller than the number of PMOS devices controlled by the control bit PG_CNTRL<16>, there are still many surrounding each core of the microprocessor 100. PMOS devices that are controlled by control bit PG_CNTRL<15>.

控制字元的控制位元PG_CNTRL<15>的下一最高有效控制位元PG_CNTRL<14>控制緩衝結果I<14>,藉由反相器組505緩衝控制位元PG_CNTRL<14>。反相器組505的輸出端耦接PMOS電晶體組506。PMOS電晶體組506裡的電晶體並聯在一起,並且其閘極耦接相對應反相器組的輸出端,其源極接收電壓VDD0,其汲極提供電壓VDD1。在本實施例中,當控制位 元PG_CNTRL<14>為低位準時,PMOS電晶體組506裡的每一電晶體被導通,用以在VDD1與VDD0之間提供電流路徑。當控制位元PG_CNTRL<14>為高位準時,PMOS電晶體組506裡的每一電晶體不導通。 The next most significant control bit PG_CNTRL<14> of the control bit PG_CNTRL<15> of the control character controls the buffer result I<14>, and the control group PG_CNTRL<14> is buffered by the inverter group 505. The output of the inverter group 505 is coupled to the PMOS transistor group 506. The transistors in the PMOS transistor group 506 are connected in parallel, and their gates are coupled to the output terminals of the corresponding inverter group, the source thereof receives the voltage VDD0, and the drain thereof supplies the voltage VDD1. In this embodiment, when the control bit When the PG_CNTRL<14> is low, each transistor in the PMOS transistor group 506 is turned on to provide a current path between VDD1 and VDD0. When the control bit PG_CNTRL<14> is at a high level, each of the transistors in the PMOS transistor group 506 is not turned on.

在一可能實施例中,PMOS電晶體組506具有64個並聯的PMOS電晶體。每一閘方塊401具有2個PMOS電晶體組504,每一側方塊301具有2個閘方塊401,並且每一閘控電路202~205均具有4個側方塊,故總共具有4,096個PMOS電晶體並聯在一起,並且受控於控制位元PG_CNTRL<14>。在一實施例中,每一PMOS電晶體的尺寸大約2微米(micron),因此,電晶體材料大約需8192微米,並由控制位元PG_CNTRL<14>所控制。因此,雖然受控於控制位元PG_CNTRL<14>的PMOS裝置的數量係為受控於控制位元PG_CNTRL<15>的PMOS裝置的數量的一半,但微處理器100的每一核心的周圍仍具有許多PMOS裝置,該等PMOS裝置受控於控制位元PG_CNTRL<14>。 In one possible embodiment, PMOS transistor group 506 has 64 parallel PMOS transistors. Each gate block 401 has two PMOS transistor groups 504, each side block 301 has two gate blocks 401, and each of the gate control circuits 202-205 has four side blocks, so that there are a total of 4,096 PMOS transistors. Parallel to each other and controlled by the control bit PG_CNTRL<14>. In one embodiment, each PMOS transistor has a size of about 2 micron, and therefore, the transistor material is about 8192 microns and is controlled by control bit PG_CNTRL<14>. Therefore, although the number of PMOS devices controlled by the control bit PG_CNTRL<14> is half the number of PMOS devices controlled by the control bit PG_CNTRL<15>, the periphery of each core of the microprocessor 100 remains There are a number of PMOS devices that are controlled by the control bit PG_CNTRL<14>.

控制字元的控制位元PG_CNTRL<14>的下一最高有效控制位元PG_CNTRL<13>控制緩衝結果I<13>,反相器組507緩衝控制位元PG_CNTRL<13>。反相器組507的輸出端耦接PMOS電晶體組508。不同之處在於PMOS電晶體組508只具有64個PMOS電晶體。在本實施例中,總共具有2,048個PMOS電晶體並聯在一起,並且受控於控制位元PG_CNTRL<13>。在一實施例中,電晶體組508的每一PMOS電晶體的尺寸大約2微米(micron),因此,電晶體材料大約需4096微米,並由控制位元PG_CNTRL<13>所控制。因此,雖然受控於控制位元 PG_CNTRL<13>的PMOS裝置的數量係為受控於控制位元PG_CNTRL<14>的PMOS裝置的數量的一半,但微處理器100的每一核心的周圍仍具有許多PMOS裝置,該等PMOS裝置受控於控制位元PG_CNTRL<13>。 The next most significant control bit PG_CNTRL<13> of the control bit PG_CNTRL<14> of the control character controls the buffer result I<13>, and the inverter group 507 buffers the control bit PG_CNTRL<13>. The output of the inverter group 507 is coupled to the PMOS transistor group 508. The difference is that the PMOS transistor group 508 has only 64 PMOS transistors. In the present embodiment, a total of 2,048 PMOS transistors are connected in parallel and controlled by the control bit PG_CNTRL<13>. In one embodiment, each PMOS transistor of transistor group 508 is approximately 2 microns in micron size, and thus the transistor material is approximately 4096 microns and is controlled by control bit PG_CNTRL<13>. Therefore, although controlled by control bits The number of PMOS devices of PG_CNTRL<13> is half of the number of PMOS devices controlled by the control bit PG_CNTRL<14>, but there are still many PMOS devices around each core of the microprocessor 100, and the PMOS devices Controlled by the control bit PG_CNTRL<13>.

控制字元的最高有效位元,即控制位元PG_CNTRL<16>大約控制分散在核心101周圍的閘控電路202~205裡的200K個PMOS電晶體502,大約需要400K微米的電晶體材料。控制位元PG_CNTRL<15:13>係為二進制格式,其中控制位元PG_CNTRL<15>控制大約16,384微米的電晶體材料,控制位元PG_CNTRL<14>控制大約8,192微米的電晶體材料,控制位元PG_CNTRL<13>控制大約4,096微米的電晶體材料。在本實施例中,當相對應的控制位元被觸發至低位準時,便可導通相對應的PMOS電晶體;當控制位元被觸發至高位準時,便不導通相對應的PMOS電晶體。 The most significant bit of the control character, control bit PG_CNTRL<16>, controls approximately 200K PMOS transistors 502 dispersed in gate circuits 202-205 around core 101, requiring approximately 400K micron of transistor material. Control bits PG_CNTRL<15:13> are in binary format, with control bit PG_CNTRL<15> controlling approximately 16,384 micron transistor material, control bit PG_CNTRL<14> controlling approximately 8,192 micron transistor material, control bit PG_CNTRL<13> controls approximately 4,096 microns of transistor material. In this embodiment, when the corresponding control bit is triggered to the low level, the corresponding PMOS transistor can be turned on; when the control bit is triggered to the high level, the corresponding PMOS transistor is not turned on.

第6圖係為接收控制字元的低位元部分的閘方塊403的一可能實施例。閘方塊403繼續處理剩下的位元PG_CNTRL<12:0>(除了控制位元PG_CNTRL<16>以外,控制位元PG_CNTRL<15:00>均為二進制格式)。針對控制位元PG_CNTRL<12:0>而言,每一位元所控制的PMOS電晶體數量及/或電晶體材料係為上一個位元所控制的PMOS電晶體數量及/或電晶體材料的一半。此外,每一PMOS電晶體的閘極係由相對應的控制位元所控制,其源極接收電壓VDD0,其汲極提供電壓VDD1。 Figure 6 is a possible embodiment of a gate block 403 that receives the lower bit portion of the control character. Gate block 403 continues to process the remaining bits PG_CNTRL<12:0> (except for control bit PG_CNTRL<16>, control bits PG_CNTRL<15:00> are in binary format). For the control bit PG_CNTRL<12:0>, the number of PMOS transistors controlled by each bit and/or the transistor material is the number of PMOS transistors controlled by the previous bit and/or the transistor material. half. In addition, the gate of each PMOS transistor is controlled by a corresponding control bit, the source of which receives the voltage VDD0 and the drain of which provides the voltage VDD1.

控制字元的其它位元PG_CNTRL<12:0>在閘方塊 403裡的結構相似於控制位元PG_CNTRL<13>,並包括反相器組601以及PMOS電晶體組602,除了PMOS電晶體的數量及/或尺寸會被調整,用以延續二進制格式。反相器組601的尺寸係取決於每一設計裡的電晶體材料的數量。 The other bits of the control character PG_CNTRL<12:0> are in the gate block. The structure in 403 is similar to the control bit PG_CNTRL<13> and includes an inverter bank 601 and a PMOS transistor group 602, except that the number and/or size of the PMOS transistors are adjusted to continue the binary format. The size of the inverter bank 601 depends on the amount of transistor material in each design.

控制字元的控制位元PG_CNTRL<12>控制緩衝結果I<12>,其連接反相器組601以及PMOS電晶體組602。PMOS電晶體組602具有64個PMOS電晶體。控制位元PG_CNTRL<12>的結構相似於控制位元PG_CNTRL<13>的結構。在每一側方塊301中,具有2個閘方塊401以及1個閘方塊403,因此,控制位元PG_CNTRL<12>所控制的電晶體數量係為控制位元PG_CNTRL<13>所控制的電晶體數量的一半,用以繼續二進制格式。接下來的控制位元PG_CNTRL<11:6>的每一位元具有相同的架構,只是控制的電晶體數量係為上一位元的一半。如圖所示,緩衝控制位元I<11>控制PMOS電晶體組602裡的32個PMOS電晶體;緩衝控制位元I<10>控制PMOS電晶體組602裡的16個PMOS電晶體;緩衝控制位元I<9>控制PMOS電晶體組602裡的8個PMOS電晶體;緩衝控制位元I<8>控制PMOS電晶體組602裡的4個PMOS電晶體;緩衝控制位元I<7>控制PMOS電晶體組602裡的2個PMOS電晶體;緩衝控制位元I<6>控制PMOS電晶體組602裡的1個PMOS電晶體。 The control bit PG_CNTRL<12> of the control character controls the buffer result I<12>, which is connected to the inverter group 601 and the PMOS transistor group 602. The PMOS transistor group 602 has 64 PMOS transistors. The structure of the control bit PG_CNTRL<12> is similar to the structure of the control bit PG_CNTRL<13>. In each side block 301, there are two gate blocks 401 and one gate block 403. Therefore, the number of transistors controlled by the control bit PG_CNTRL<12> is the transistor controlled by the control bit PG_CNTRL<13>. Half the number to continue the binary format. Each bit of the next control bit PG_CNTRL<11:6> has the same architecture, except that the number of controlled transistors is half of the upper one. As shown, the buffer control bit I<11> controls 32 PMOS transistors in the PMOS transistor group 602; the buffer control bit I<10> controls 16 PMOS transistors in the PMOS transistor group 602; Control bit I<9> controls eight PMOS transistors in PMOS transistor group 602; buffer control bit I<8> controls four PMOS transistors in PMOS transistor group 602; buffer control bit I<7 > Controls two PMOS transistors in PMOS transistor group 602; buffer control bit I<6> controls one PMOS transistor in PMOS transistor group 602.

下一控制位元I<5>只控制一半的PMOS電晶體的一半,如PMOS電晶體604。在一可能實施例中,PMOS電晶體604的寬度只有PMOS電晶體602的一半寬度,故相較於PMOS電晶體602,PMOS電晶體604只需一半的電晶體材料。下一控 制位元I<4>只控制1/4個PMOS電晶體,如PMOS電晶體606。在一可能實施例中,PMOS電晶體606只有PMOS電晶體604的一半寬度,故只具有一半的電晶體材料。下一控制位元I<3>只控制1/8個PMOS電晶體,如PMOS電晶體608。在一可能實施例中,PMOS電晶體608只有PMOS電晶體606的一半寬度,故只具有一半的電晶體材料。剩下控制位元I<2:0>只控制1/16、1/32及1/64個PMOS電晶體,如PMOS電晶體610、612、614。雖然每一連續電晶體的寬度只有一半,但長度卻多一倍。因此,PMOS電晶體610、612及614的寬度相似於PMOS電晶體608的寬度,除了PMOS電晶體610的長度是PMOS電晶體608的長度的二倍,PMOS電晶體612的長度是PMOS電晶體608的長度的四倍,PMOS電晶體614的長度是PMOS電晶體608的長度的八倍。 The next control bit I<5> controls only half of half of the PMOS transistors, such as PMOS transistor 604. In one possible embodiment, the width of the PMOS transistor 604 is only half the width of the PMOS transistor 602, so the PMOS transistor 604 requires only half of the transistor material compared to the PMOS transistor 602. Next control The bit element I<4> controls only 1/4 PMOS transistors, such as PMOS transistor 606. In one possible embodiment, PMOS transistor 606 has only half the width of PMOS transistor 604 and therefore has only half of the transistor material. The next control bit I<3> controls only 1/8 PMOS transistors, such as PMOS transistor 608. In one possible embodiment, PMOS transistor 608 has only half the width of PMOS transistor 606 and therefore has only half of the transistor material. The remaining control bits I<2:0> control only 1/16, 1/32, and 1/64 PMOS transistors, such as PMOS transistors 610, 612, and 614. Although each continuous transistor has a width of only half, it is twice as long. Therefore, the widths of the PMOS transistors 610, 612, and 614 are similar to the width of the PMOS transistor 608 except that the length of the PMOS transistor 610 is twice the length of the PMOS transistor 608, and the length of the PMOS transistor 612 is the PMOS transistor 608. Four times the length, the length of the PMOS transistor 614 is eight times the length of the PMOS transistor 608.

在本實施例中,控制位元PG_CNTRL<12>控制2048微米的電晶體材料,控制位元PG_CNTRL<11>控制1024微米的電晶體材料,控制位元PG_CNTRL<10>控制512微米的電晶體材料,控制位元PG_CNTRL<9>控制256微米的電晶體材料,控制位元PG_CNTRL<8>控制128微米的電晶體材料,控制位元PG_CNTRL<7>控制64微米的電晶體材料,控制位元PG_CNTRL<6>控制32微米的電晶體材料,控制位元PG_CNTRL<5>控制16微米的電晶體材料,控制位元PG_CNTRL<4>控制8微米的電晶體材料,控制位元PG_CNTRL<3>控制4微米的電晶體材料,控制位元PG_CNTRL<2>控制2微米的電晶體材料,控制位元PG_CNTRL<1>控制1微米的電晶體材料,控制位元 PG_CNTRL<0>控制1/2微米的電晶體材料。 In this embodiment, the control bit PG_CNTRL<12> controls the 2048 micron transistor material, the control bit PG_CNTRL<11> controls the 1024 micron transistor material, and the control bit PG_CNTRL<10> controls the 512 micron transistor material. The control bit PG_CNTRL<9> controls the 256 micron transistor material, the control bit PG_CNTRL<8> controls the 128 micron transistor material, the control bit PG_CNTRL<7> controls the 64 micron transistor material, and the control bit PG_CNTRL <6> Control 32 micron transistor material, control bit PG_CNTRL<5> controls 16 micron transistor material, control bit PG_CNTRL<4> controls 8 micron transistor material, control bit PG_CNTRL<3> control 4 Micron transistor material, control bit PG_CNTRL<2> controls 2 micron transistor material, control bit PG_CNTRL<1> controls 1 micron transistor material, control bit PG_CNTRL<0> controls the 1/2 micron transistor material.

在本實施例中,每一分支的PMOS電晶體的尺寸逐漸減少,到控制位元PG_CNTRL<6>時,只剩下單一電晶體。因此,可調整PMOS電晶體的寬度-長度比例(W/L),用以減少電晶體材料,以最小化二進制圖案。對於下一控制位元PG_CNTRL<5>而言,其寬度已被減少一半,控制位元PG_CNTRL<4>及PG_CNTRL<3>的寬度再被減少一半。因此,對於剩下的2位元而言,長度參數是為可變的,用以完成二進制圖案。 In this embodiment, the size of the PMOS transistor of each branch is gradually reduced, and when the control bit PG_CNTRL<6> is left, only a single transistor remains. Therefore, the width-to-length ratio (W/L) of the PMOS transistor can be adjusted to reduce the transistor material to minimize the binary pattern. For the next control bit PG_CNTRL<5>, its width has been reduced by half, and the widths of the control bits PG_CNTRL<4> and PG_CNTRL<3> are further reduced by half. Therefore, for the remaining 2 bits, the length parameter is variable to complete the binary pattern.

上述的控制位元及PMOS裝置的特定結構與二進制分散圖案係為一可能實施方式,也可以利用其它變化實現。一般而言,許多裝置可分散在核心的周圍,並耦接在電壓VDD0與VDD1之間,並在進行電源閘控時,藉由導通或不導通裝置,以數位化地切換供給電壓之間的電流路徑的大小。在一數位化控制方法中,係改變電壓VDD1相對於VDD0的位準。在一可能實施例中,在電源閘控操作時,電壓VDD1的最終位準必須足以保留核心資訊,用以降低漏電流。 The specific structure and binary dispersion pattern of the above control bits and PMOS devices are one possible implementation, and may also be implemented by other variations. In general, many devices can be distributed around the core and coupled between the voltages VDD0 and VDD1, and when the power gating is performed, the conduction voltage is digitally switched between the supply voltages by conducting or non-conducting devices. The size of the current path. In a digital control method, the level of the voltage VDD1 with respect to VDD0 is changed. In a possible embodiment, the final level of voltage VDD1 must be sufficient to preserve core information during power gating operation to reduce leakage current.

請參考第2圖,在正常操作下,電源閘控系統201將控制字元PG_CNTRL設定成一預設數值,用以將電壓VDD1箝制在電壓VDD0。在一實施例中,控制字元的位元PG_CNTRL<16、11:0>為低位準,用以導通相對應的PMOS電晶體,而控制字元的其餘位元PG_CNTRL<15:12>為高位準,也就是控制位元PG_CNTRL<16:0>為一初始數值01111000000000000b,其中b係為二進制表示法。如上所述, 電源控制器110會等核心101進入閒置模式,或是命令核心101進入閒置模式後,然後再關掉核心101的功能時脈,並致能信號PGATE1,用以對核心101進行電源閘控操作。當信號PGATE1被觸發時,電源閘控操作被初始化,故控制字元的最高位元PG_CNTRL<16>會被上拉至高位準,用以關閉主要的PMOS電晶體(如約200K)。由於核心101為閒置狀態,並且產生漏電流,因此,當控制位元PG_CNTRL<16>關閉電壓VDD0與VDD1之間的大部分電晶體材料時,電壓VDD1的位準不會明顯地下降。此時,只有位元PG_CNTRL<11:0>導通約4096微米的電晶體材料,用以維持電壓VDD1充分接近電壓VDD0。應該注意的是阻抗,在電壓VDD0與VDD1之間的阻抗將被增加,因而可能造成電壓VDD0與VDD1之間電壓的些微變化。 Referring to FIG. 2, under normal operation, the power gating system 201 sets the control character PG_CNTRL to a preset value for clamping the voltage VDD1 to the voltage VDD0. In an embodiment, the bit PG_CNTRL<16, 11:0> of the control character is a low level for turning on the corresponding PMOS transistor, and the remaining bits of the control character PG_CNTRL<15:12> are high. The control bit PG_CNTRL<16:0> is an initial value of 01111000000000000b, where b is a binary representation. As mentioned above, The power controller 110 waits for the core 101 to enter the idle mode, or commands the core 101 to enter the idle mode, then turns off the function clock of the core 101, and enables the signal PGATE1 to perform power gating operation on the core 101. When the signal PGATE1 is triggered, the power gating operation is initialized, so the highest bit PG_CNTRL<16> of the control character is pulled up to the high level to turn off the main PMOS transistor (eg, about 200K). Since the core 101 is in an idle state and a leakage current is generated, when the control bit PG_CNTRL<16> turns off most of the transistor material between the voltages VDD0 and VDD1, the level of the voltage VDD1 does not significantly drop. At this time, only the bit PG_CNTRL<11:0> turns on the transistor material of about 4096 micrometers to maintain the voltage VDD1 sufficiently close to the voltage VDD0. It should be noted that the impedance, the impedance between the voltages VDD0 and VDD1 will be increased, and thus may cause a slight change in the voltage between the voltages VDD0 and VDD1.

電源閘控系統201接著開始數位化控制控制字元的位元PG_CNTRL<11:0>,用以將閘控供給電壓VDD1的位準減少至最終電壓位準。在每一連續步驟中,電壓VDD0與VDD1之間的阻抗會增加,因此,電壓VDD1會減少,直到達一最終電壓位準。如上所述,當電壓VDD1等於最終電壓位準時,可大大地減少漏電流,並可維持核心101進入電源閘控之前的狀態。 The power gating system 201 then begins the bit PG_CNTRL<11:0> of the digital control control character to reduce the level of the gating supply voltage VDD1 to the final voltage level. In each successive step, the impedance between voltages VDD0 and VDD1 increases, so voltage VDD1 decreases until a final voltage level is reached. As described above, when the voltage VDD1 is equal to the final voltage level, the leakage current can be greatly reduced, and the state before the core 101 enters the power gating can be maintained.

第1圖所示之電源閘系統105~108的每一者利用字元PG_CNTRL的每一位元選擇控制PMOS裝置,用以進行數位化控制,其中PMOS裝置係圍繞在微處理器100的每一核心周圍。在一簡單的數位控制架構中,每隔一固定時間間隔,便將控制字元PG_CNTRL減去一固定數位調整數值,用以達到最終 電壓位準。閘控供給電壓VDD1一般係隨著控制字元PG_CNTRL的數值而變化,故當控制字元PG_CNTRL減少時,閘控電壓VDD1也會減少。在電源閘控操作下,藉由比較電壓VDD1_FB與PG_VREF_L,便可得知是否到達最終電壓位準,並在達到最終電壓位準時,維持控制字元PG_CNTRL的數值。然而,在本實施例中,控制字元PG_CNTRL可能隨著操作時脈的頻率而變化,並隨著閘控電壓的任何進一步的調整而作出反應。 Each of the power gate systems 105-108 shown in FIG. 1 selects a control PMOS device for each bit control using each bit of the character PG_CNTRL, wherein the PMOS device surrounds each of the microprocessors 100. Around the core. In a simple digital control architecture, at a fixed time interval, the control character PG_CNTRL is subtracted from a fixed digit adjustment value to achieve the final Voltage level. The gate supply voltage VDD1 generally varies with the value of the control word PG_CNTRL, so when the control word PG_CNTRL is decreased, the gate voltage VDD1 is also reduced. Under the power gating operation, by comparing the voltages VDD1_FB and PG_VREF_L, it is known whether the final voltage level is reached, and when the final voltage level is reached, the value of the control word PG_CNTRL is maintained. However, in the present embodiment, the control character PG_CNTRL may vary with the frequency of the operating clock and react with any further adjustment of the gate voltage.

然而,在關閉PMOS裝置時,電壓VDD0與VDD1之間的阻抗將會增加(但容值卻會維持在相同的值),因此,在調整電壓VDD1時,RC時間係數會被增加。在本實施例中,在每次新的調整中,需要較長的時間才能改變並穩定電壓VDD1的位準。當調整的數值太大時,或是調整的時間間隔太短時,電壓VDD1就有可能無法到達最終電壓位準。必須了解的是,在進行電源閘控操作時,若需要維持核心資訊時,則閘控電壓就不能小於一最終電壓位準,因若小於最終電壓位準,就無法保留核心101的狀態資訊。因此,調整數值必須夠小,及/或調整的時間間隔必須夠長,用以避免電壓VDD1無法等於最終電壓位準。 However, when the PMOS device is turned off, the impedance between the voltages VDD0 and VDD1 will increase (but the capacitance will remain at the same value), so the RC time coefficient will be increased when the voltage VDD1 is adjusted. In the present embodiment, in each new adjustment, it takes a long time to change and stabilize the level of the voltage VDD1. When the adjusted value is too large, or the adjustment interval is too short, the voltage VDD1 may not reach the final voltage level. It must be understood that, in the case of power gating operation, if the core information needs to be maintained, the gate voltage cannot be less than a final voltage level, because if it is less than the final voltage level, the state information of the core 101 cannot be retained. Therefore, the adjustment value must be small enough, and/or the adjustment interval must be long enough to avoid the voltage VDD1 cannot be equal to the final voltage level.

在另一實施例中,調整數值係與控制數值之間具有一呈比例關係。在此例中,當控制數值減少時,調整數值也會根據一固定調整增益而呈比例下降。在本實施例中,一開始會快速地大幅度調整控制數值,然後再逐漸減少控制數值。閘控供給電壓VDD1將根據控制數值的變化而變化。在上述的數 位化實施例中,可藉由右移控制字元,用以進行一比例式調整,控制字元便可呈比例地下降,並可得到調整數值。在一實施例中,控制字元被右移6次,用以提供一調整數值,其係為控制字元的1/64,再從控制字元減去右移的結果,用以比例式地減少控制字元。 In another embodiment, the adjustment value has a proportional relationship with the control value. In this example, when the control value decreases, the adjustment value will also decrease proportionally according to a fixed adjustment gain. In the present embodiment, the control value is quickly and greatly adjusted at the beginning, and then the control value is gradually reduced. The gate supply voltage VDD1 will vary according to the change in the control value. In the above number In the bitwise embodiment, the control character can be shifted to the right for a proportional adjustment, the control character can be proportionally decreased, and the adjustment value can be obtained. In one embodiment, the control character is shifted right 6 times to provide an adjustment value, which is 1/64 of the control character, and then subtracts the result of the right shift from the control character for proportionally Reduce control characters.

在另一實施例中,用以調整電壓VDD1的調整期間的長短可能連續地或週期性地被調整。在一實施例中,當決定調整時間的電源閘控時脈的週期增加時,調整週期也會隨著增加,因此,當電壓VDD1達最終電壓位準時,調整的頻率最小。在一可能實施例中,先產生一時脈,其具有已知的週期,再利用該時脈產生複數時脈信號,每一時脈信號具有不同的週期。在進行調整時,藉由選擇不同的時脈信號,改變調整週期。在另一實施例中,可利用振盪器…等等,調整時脈信號的頻率。在其它實施例中,藉由改變時脈的週期,便可隨著時間改變(如增加)調整期間。 In another embodiment, the length of the adjustment period used to adjust the voltage VDD1 may be adjusted continuously or periodically. In an embodiment, when the period of the power gating clock that determines the adjustment time increases, the adjustment period also increases, and therefore, when the voltage VDD1 reaches the final voltage level, the adjusted frequency is minimized. In a possible embodiment, a clock is generated, which has a known period, and the clock is used to generate a complex clock signal, each clock signal having a different period. When making adjustments, the adjustment period is changed by selecting different clock signals. In another embodiment, the frequency of the clock signal can be adjusted using an oscillator, etc. In other embodiments, the adjustment period can be changed (e.g., increased) over time by changing the period of the clock.

在其它實施例中,也可在開始或離開電源閘控操作時,隨著時間,同時改變調整數值及調整週期。 In other embodiments, the adjustment value and the adjustment period may be changed simultaneously with time while starting or leaving the power gating operation.

在電源閘控操作的一開始或離開電源閘控操作時,預先設定調整數值及/或調整週期,用以提供一固定的電壓調整曲線。在其它實施例中,根據至少一監控輸入而動態地調整調整數值及/或調整週期。在一實施例中,監控閘控供給電壓VDD1,並將監控結果與至少一臨界電壓位準(如上述參考電壓組PG_VREF<1:N>之參考電壓)相比較,再根據比較結果調整調整數值及/或調整週期。在另一實施例中,根據控制字元 本身,便可得到調整數值及/或調整週期,例如控制字元等於一特定預設的控制字元。舉例而言,當控制字元為一初始值時,利用位元PG_CNTRL<11:0>導通相對應的PMOS電晶體,而控制字元的高位元部分PG_CNTRL<15:12>關閉相對應的PMOS電晶體,用以調整調整數值及/或調整週期。 The adjustment value and/or the adjustment period are preset to provide a fixed voltage adjustment curve at the beginning of the power gating operation or when leaving the power gating operation. In other embodiments, the adjustment values and/or adjustment periods are dynamically adjusted based on at least one of the monitoring inputs. In an embodiment, the gate supply voltage VDD1 is monitored, and the monitoring result is compared with at least one threshold voltage level (such as the reference voltage of the reference voltage group PG_VREF<1:N>), and the adjustment value is adjusted according to the comparison result. And / or adjustment cycle. In another embodiment, according to the control character In itself, an adjustment value and/or an adjustment period can be obtained, for example, the control character is equal to a specific preset control character. For example, when the control character is an initial value, the corresponding PMOS transistor is turned on by the bit PG_CNTRL<11:0>, and the high-order portion of the control character PG_CNTRL<15:12> turns off the corresponding PMOS. A transistor that adjusts the adjustment value and/or adjustment period.

在另一可能實施例中,監控臨界電壓位準及控制字元的臨界數值,也可得到調整數值及/或調整週期。本發明對於進行數位化的電源閘控操作提供了許多變化情況。 In another possible embodiment, the threshold value and the critical value of the control character are monitored, and the adjustment value and/or the adjustment period are also obtained. The present invention provides a number of variations to the digital power gating operation.

第7A及7B圖係為本發明之電源閘控系統201的一可能實施例。電源閘控系統201具有一數位調整器。數位調整器數位化地調整控制字元PG_CNTRL,用以控制閘控供給匯流排206的電壓位準。閘控供給匯流排206提供閘控供給電壓VDD1。在電源閘控操作的部分或所有時間下,或是在電源恢復操作下(如第8圖的增量控制字元調整器801),逐漸加上或減去一數位調整數值,用以進行數位化調整,或是利用較大的電壓位移(根據整合供給電壓VDD0的變化),加上一較大的數位調整數值,以進行數位化調整。數位調整數值的大小可能係取決於閘控狀態(閘控操作或恢復操作)、電壓VDD1及控制字元本身。在連續調整週期中,調整率可能是取決於信號PG_CLK的週期,信號PG_CLK的週期可能也是取決於閘控狀態(閘控操作或恢復操作)、電壓VDD1及控制字元本身。第7A及7B圖所顯示的電源閘控系統201只是用以簡單地表現出相關的功能操作,並非用以限制本發明。舉例而言,在某些特定的架構中,許多控制信號可能具有許多版本,包括與可用的時脈信號同步…等 等。這些特定控制信號的版本並未在此說明,因對於完成本發明而言,這些控制信號並非必要。 7A and 7B are a possible embodiment of the power gating system 201 of the present invention. The power gating system 201 has a digital adjuster. The digital adjuster digitally adjusts the control character PG_CNTRL to control the voltage level of the gated supply bus 206. The gated supply busbar 206 provides a gated supply voltage VDD1. At some or all times of the power gating operation, or under power recovery operation (such as the incremental control character adjuster 801 of Figure 8), a digital adjustment value is gradually added or subtracted for digital digitization. The adjustment is made, or a large voltage shift (according to the change of the integrated supply voltage VDD0), plus a large digit adjustment value for digital adjustment. The size of the digital adjustment value may depend on the gate control state (gate operation or recovery operation), voltage VDD1, and control character itself. In the continuous adjustment period, the adjustment rate may be dependent on the period of the signal PG_CLK, and the period of the signal PG_CLK may also depend on the gate control state (gate operation or recovery operation), the voltage VDD1, and the control character itself. The power gating system 201 shown in Figures 7A and 7B is merely used to simply show related functional operations and is not intended to limit the invention. For example, in some specific architectures, many control signals may have many versions, including synchronization with available clock signals...etc. Wait. The versions of these particular control signals are not described herein as these control signals are not necessary to complete the present invention.

電源閘控系統201包括恢復邏輯701。恢復邏輯701接收信號PG_KILL_CORE1及PGATE1,並提供信號RESUME。在局部的電源閘控操作中,電源控制器110觸發信號PGATE1,用以令電源閘控電路105將電壓VDD1的位準減少至一最終電壓位準,如一狀態保留位準。預設的最終電壓位準可為任何穩定的電壓位準,以達到上述目的。電源控制器110控制最終電壓位準的方法係選擇性地程式化參考電壓組PG_VREF<1:N>裡的至少一參考電壓,用以控制電源閘控處理。上述的電壓位準係為一狀態保留位準(即HIGH被觸發),其可降低漏電流,用以在一低電源狀態下,減少功率損耗。狀態保留電壓位準足以保留資料,用以維持微處理器100的核心101的狀態。當信號PGATE1接著被無效化時,恢復邏輯701觸發信號RESUME,用以離開電源閘控操作,並初始化恢復操作,用以將電壓VDD1的位準增加至電壓VDD0的位準。 The power gating system 201 includes recovery logic 701. Recovery logic 701 receives signals PG_KILL_CORE1 and PGATE1 and provides a signal RESUME. In a partial power gating operation, the power controller 110 triggers a signal PGATE1 to cause the power gating circuit 105 to reduce the level of the voltage VDD1 to a final voltage level, such as a state retention level. The preset final voltage level can be any stable voltage level to achieve the above objectives. The method by which the power controller 110 controls the final voltage level selectively programs at least one reference voltage in the reference voltage group PG_VREF<1:N> for controlling the power gating process. The above voltage level is a state reserved level (ie, HIGH is triggered), which reduces leakage current for reducing power loss in a low power state. The state retention voltage level is sufficient to retain data to maintain the state of core 101 of microprocessor 100. When the signal PGATE1 is subsequently invalidated, the recovery logic 701 triggers the signal RESUME to leave the power gating operation and initiates a recovery operation to increase the level of the voltage VDD1 to the level of the voltage VDD0.

在核心101進入全電源閘控操作時,電源控制器110觸發信號PG_KILL_CORE1,電源閘電路105將電壓VDD1的位準減少至約等於電壓VSS0的位準(如接地)。在全電源閘控操作下,功率的損耗最低,但會遺失核心101的狀態以及所有可恢復核心101的資訊。在一可能實施例中,信號PG_KILL_CORE1無效化(或不觸發)控制字元PG_CNTRL<16:0>的所有位元,用以關閉所有PMOS裝置,用以將核心101與供給電壓VDD0相隔離。在一可能實施例中,雖 然信號PG_KILL_CORE1的優先權大於電源閘控操作,但信號PG_KILL_CORE1的觸發亦可觸發信號PGATE1。當信號PG_KILL_CORE1接著被無效化時,信號PGATE1也會被無效化,而信號RESUME被觸發,用以離開全電源閘控操作,並初始化恢復操作。 When the core 101 enters the full power gating operation, the power controller 110 triggers the signal PG_KILL_CORE1, and the power gate circuit 105 reduces the level of the voltage VDD1 to a level approximately equal to the voltage VSS0 (eg, ground). Under full power gating operation, power loss is minimal, but the state of core 101 and all recoverable core 101 information are lost. In a possible embodiment, signal PG_KILL_CORE1 invalidates (or does not trigger) all of the bits of control character PG_CNTRL<16:0> to turn off all PMOS devices to isolate core 101 from supply voltage VDD0. In a possible embodiment, though However, the priority of the signal PG_KILL_CORE1 is greater than the power gating operation, but the triggering of the signal PG_KILL_CORE1 can also trigger the signal PGATE1. When the signal PG_KILL_CORE1 is subsequently invalidated, the signal PGATE1 is also invalidated, and the signal RESUME is triggered to leave the full power gating operation and initiate the recovery operation.

恢復邏輯701更接收一信號PG16並提供電源就緒信號PGOOD1。信號PG16實質上就是控制字元的最高有效位元PG_CNTRL<16>。當信號PG16被觸發至低位準時,表示已完成恢復處理,並且恢復邏輯701將信號PGOOD1觸發至高位準,用以通知電源控制器110已完成恢復處理。 Recovery logic 701 further receives a signal PG16 and provides a power ready signal PGOOD1. The signal PG16 is essentially the most significant bit PG_CNTRL<16> of the control character. When the signal PG16 is triggered to the low level, it indicates that the recovery process has been completed, and the recovery logic 701 triggers the signal PGOOD1 to a high level to notify the power controller 110 that the recovery process has been completed.

電源閘控系統201包括一OR邏輯703。OR邏輯703接收信號組V_DOWN<4:0>,並提供一信號V_DWN。當信號組V_DOWN<4:0>中之至少一信號被觸發時,表示電壓VDD0的位準開始下降,如進入一低電源模式。此時,信號V_DWN被觸發。 The power gating system 201 includes an OR logic 703. The OR logic 703 receives the signal group V_DOWN<4:0> and provides a signal V_DWN. When at least one of the signal groups V_DOWN<4:0> is triggered, it indicates that the level of the voltage VDD0 starts to drop, such as entering a low power mode. At this time, the signal V_DWN is triggered.

電源閘控系統201具有一電壓比較組705,用以將電壓VDD1_FB的位準與參考電壓組PG_VREF<1:N>的每一參考電壓相比較,並提供相對應的比較信號CMP1~CMPN。比較信號通常係被無效化至高位準。當電壓VDD1_FB的位準符合條件時,比較信號便會被觸發至低位準。每一電壓比較器(未顯示)可為任何適合的方式所實現,如偵測放大器…等等。如上所述,電壓VDD1_FB係為電壓VDD1的回授結果。當電壓VDD1小於相對應的參考電壓時,比較信號CMP1~CMPN會被觸發至低位準。因此,當電壓VDD1小於參考電壓PG_VREF<1>時,比 較信號CMP1會被觸發至低位準;當電壓VDD1小於參考電壓PG_VREF<2>時,比較信號CMP2會被觸發至低位準;其餘依此類推;當電壓VDD1小於參考電壓PG_VREF<N>時,比較信號CMPN會被觸發至低位準。在一可能實施例中,參考電壓PG_VREF<1>與信號PG_VREF_H相同,都是用以表示一高於狀態保留電壓位準的電壓位準。當電壓VDD1小於信號PG_VREF_H時,信號HIER會被觸發至低位準。同樣地,參考電壓PG_VREF<2>與信號PG_VREF_L相同,都是用以表示狀態保留電壓位準。當電壓VDD1小於信號PG_VREF_L時,臨界信號HIGH會被觸發至低位準。可以了解的是,在電壓閘控期間,可利用任意數量的參考電壓或臨界電壓位準與電壓VDD1相比較。 The power gating system 201 has a voltage comparison group 705 for comparing the level of the voltage VDD1_FB with each reference voltage of the reference voltage group PG_VREF<1:N> and providing corresponding comparison signals CMP1 CMPNHN. The comparison signal is usually invalidated to a high level. When the level of the voltage VDD1_FB meets the condition, the comparison signal is triggered to the low level. Each voltage comparator (not shown) can be implemented in any suitable manner, such as a sense amplifier...etc. As described above, the voltage VDD1_FB is a feedback result of the voltage VDD1. When the voltage VDD1 is less than the corresponding reference voltage, the comparison signals CMP1~CMPN are triggered to the low level. Therefore, when the voltage VDD1 is smaller than the reference voltage PG_VREF<1>, the ratio The comparison signal CMP1 will be triggered to the low level; when the voltage VDD1 is less than the reference voltage PG_VREF<2>, the comparison signal CMP2 will be triggered to the low level; the rest and so on; when the voltage VDD1 is less than the reference voltage PG_VREF<N>, the comparison The signal CMPN will be triggered to a low level. In a possible embodiment, the reference voltage PG_VREF<1> is the same as the signal PG_VREF_H, and is used to indicate a voltage level higher than the state retention voltage level. When the voltage VDD1 is less than the signal PG_VREF_H, the signal HIER will be triggered to a low level. Similarly, the reference voltage PG_VREF<2> is the same as the signal PG_VREF_L and is used to indicate the state retention voltage level. When the voltage VDD1 is less than the signal PG_VREF_L, the critical signal HIGH will be triggered to a low level. It can be appreciated that during voltage gating, any number of reference voltages or threshold voltage levels can be utilized to compare with voltage VDD1.

電源閘控系統201更包括一時脈控制器706。在數位電源閘控期間,時脈控制器706控制時脈信號PG_CLK的週期。在一可能實施例中,時脈控制器706包括一時脈產生器707、一除頻器709、一時脈選擇方塊711以及一時間解碼器712。在本實施例中,時脈產生器707接收時脈信號EESDCLK及數值FSB<3:0>,並輸出時脈信號CLK20。時脈信號EESDCLK可能係由一外部源所接收,或是由微處理器100內部所產生。數值FSB<3:0>表示微處理器系統的一匯流排時脈的頻率。在本實施例中,時脈信號EESDCLK可為任何已知的頻率,並且時脈產生器707利用時脈信號EESDCLK與數值FSB<3:0>,產生時脈信號CLK20,時脈信號CLK20具有大約20ns的週期時間。可了解的是,已知的週期時間20奈秒(ns)可為其它任意值,並且 可利用其它合適已知的週期時間取代。 The power gating system 201 further includes a clock controller 706. During digital power gating, the clock controller 706 controls the period of the clock signal PG_CLK. In a possible embodiment, the clock controller 706 includes a clock generator 707, a frequency divider 709, a clock selection block 711, and a time decoder 712. In the present embodiment, the clock generator 707 receives the clock signal EESDCLK and the value FSB<3:0>, and outputs the clock signal CLK20. The clock signal EESDCLK may be received by an external source or generated internally by the microprocessor 100. The value FSB<3:0> represents the frequency of a bus clock of the microprocessor system. In this embodiment, the clock signal EESDCLK can be any known frequency, and the clock generator 707 generates the clock signal CLK20 using the clock signal EESDCLK and the value FSB<3:0>, and the clock signal CLK20 has approximately 20 ns cycle time. It can be understood that the known cycle time 20 nanoseconds (ns) can be any other value, and Other suitable known cycle times can be substituted.

時脈信號CLK20係提供予除頻器709。除頻器709產生時脈信號C20NS、C40NC、…、C2.6MS。時脈信號C20NS、C40NC、…、C2.6MS具有相對應的時脈週期,用於電源閘控功能。除頻器709將時脈信號CLK20的週期與參數20、21、22、23、…、217相乘,用以使時脈信號C20NS、C40NC、…、C2.6MS分別具有20ns、40ns、80ns、160ns、…、2.6ms的週期時間,時脈信號C2.6MS的週期時間為2.6ms。在本實施例中,雖然利用20個可能的控制位元控制18個時脈參數,但並非用以限制本發明。在其它實施例中,如果需要,也可利用多個控制位元控制相同的時脈頻率。在一可能實施例中,除頻器709具有串列連接的T型正反器(toggle flip-flop)或T型暫存器…等等(未顯示)。每一閂鎖器的週期時間係為上一閂鎖器的兩倍。時脈信號C20NS、C40NC、…、C2.6MS係提供予時脈選擇方塊711。時脈選擇方塊711根據20位元的時間數值PG_TIME<19:0>,將所接收到的時脈信號之一者作為信號PG_CLK,並輸出信號PG_CLK。在同一時間下,時間數值PG_TIME<19:0>只會有一個位元被觸發,用以從相對應的時脈週期中選擇一相對應的時脈信號。時脈選擇方塊711可能整合至少一多工器或其它選擇邏輯(如NAND/NOR)。 The clock signal CLK20 is supplied to the frequency divider 709. The frequency divider 709 generates clock signals C20NS, C40NC, ..., C2.6MS. The clock signals C20NS, C40NC, ..., C2.6MS have corresponding clock cycles for the power gating function. The frequency divider 709 multiplies the period of the clock signal CLK20 by the parameters 2 0 , 2 1 , 2 2 , 2 3 , ..., 2 17 to make the clock signals C20NS, C40NC, ..., C2.6MS have 20 ns, respectively. The cycle time of 40ns, 80ns, 160ns, ..., 2.6ms, the cycle time of the clock signal C2.6MS is 2.6ms. In the present embodiment, although 18 clock parameters are controlled by 20 possible control bits, it is not intended to limit the present invention. In other embodiments, multiple control bits can also be utilized to control the same clock frequency if desired. In one possible embodiment, the frequency divider 709 has a toggle flip-flop or a T-type register, etc. (not shown) connected in series. Each latch has twice the cycle time of the previous latch. The clock signals C20NS, C40NC, ..., C2.6MS are provided to the clock selection block 711. The clock selection block 711 uses one of the received clock signals as the signal PG_CLK according to the 20-bit time value PG_TIME<19:0>, and outputs the signal PG_CLK. At the same time, only one bit of the time value PG_TIME<19:0> is triggered to select a corresponding clock signal from the corresponding clock cycle. The clock selection block 711 may integrate at least one multiplexer or other selection logic (e.g., NAND/NOR).

根據數值PG_TIME<19:0>,信號PG_CLK可具有一週期時間,該週期時間係為週期時間20ns、40ns、80ns、160ns、…、2.6ms之一者。在一可能實施例中,在同一時間,數值PG_TIME<19:0>只會有一位元被觸發,用以從18個週期時 間裡選擇一者作為信號PG_CLK的週期時間。在一可能實施例中,數值PG_TIME<19:0>的低選擇位元(最接近最右邊有效位元)係對應具有最小週期時間的信號PG_CLK(具有最大的頻率)。當選擇位元往左移時,信號PG_CLK的週期時間變大。換句話說,數值PG_TIME<19:0>的最低有效位元係對應最小的週期時間(頻率最大),而數值PG_TIME<19:0>的最高有效位元係對應最大的週期時間(頻率最小)。更進一來說,在電源閘控及恢復操作下,信號PG_CLK的週期時間決定調整時間。 According to the value PG_TIME<19:0>, the signal PG_CLK may have a cycle time which is one of cycle times 20 ns, 40 ns, 80 ns, 160 ns, ..., 2.6 ms. In a possible embodiment, at the same time, the value PG_TIME<19:0> will only be triggered by one bit, from 18 cycles. One is selected as the cycle time of the signal PG_CLK. In a possible embodiment, the low select bit (closest to the rightmost significant bit) of the value PG_TIME<19:0> corresponds to the signal PG_CLK (having the largest frequency) with the smallest cycle time. When the selected bit shifts to the left, the cycle time of the signal PG_CLK becomes larger. In other words, the least significant bit of the value PG_TIME<19:0> corresponds to the smallest cycle time (maximum frequency), and the most significant bit of the value PG_TIME<19:0> corresponds to the maximum cycle time (minimum frequency) . Further, in the power gating and recovery operation, the cycle time of the signal PG_CLK determines the adjustment time.

時脈產生器707可能更接收信號PGATE、V_DWN、PG16及PG_KILL_CORE1,用以控制信號PG_CLK的操作。在另一可能實施例中,可能係提供這些信號的至少一者。另外,除頻器709及/或時脈選擇方塊711執行相同的功能。在正常操作下,信號PGATE1不會被觸發,故信號PG_CLK不會動作,並且會被維持在一就緒狀態數值,如一就緒低邏輯或是邏輯0。當信號PGATE1被觸發,用以初始化電源閘控操作時,信號PG16被無效化(如上拉至高位準),用以關閉相關的PMOS裝置,並且信號PG_CLK具有一選擇頻率。當信號PGATE1被無效化時,恢復邏輯701觸發信號RESUME,用以使電壓VDD1恢復到它正常操作電壓位準。在完成恢復處理後,信號PG16會被觸發至低位準,用以停止時脈信號PG_CLK的作動。 The clock generator 707 may further receive signals PGATE, V_DWN, PG16, and PG_KILL_CORE1 for controlling the operation of the signal PG_CLK. In another possible embodiment, at least one of these signals may be provided. Additionally, the frequency divider 709 and/or the clock selection block 711 perform the same function. Under normal operation, the signal PGATE1 will not be triggered, so the signal PG_CLK will not operate and will be maintained at a ready state value, such as a ready low logic or a logic zero. When signal PGATE1 is asserted to initiate a power gating operation, signal PG16 is deasserted (pushed to a high level as above) to turn off the associated PMOS device, and signal PG_CLK has a selected frequency. When signal PGATE1 is deasserted, recovery logic 701 triggers RESUME to restore voltage VDD1 to its normal operating voltage level. After the recovery process is completed, the signal PG16 is triggered to the low level to stop the operation of the clock signal PG_CLK.

在進行電源閘控時,當信號V_DWN被觸發時,信號PG_CLK會短暫地位於它的就緒狀態數值,直到電壓調整後,並且當信號V_DWN被無效化時,信號PG_CLK重新被啟動。由於信號PG_KILL_CORE1的權重高於電源閘控操作,故 可藉由關閉耦接在電壓VDD0與VDD1之間的PMOS裝置,用以將核心101與電壓VDD0相隔離。當信號PG_KILL_CORE1被觸發時,則停止信號PG_CLK的動作(因此,信號PG_CLK會被觸發至它的就緒狀態數值)。當信號PG_KILL_CORE1接著被無效化時,信號PG_CLK會再次被啟動,並且初始化恢復操作,用以將電壓VDD1恢復到它的正常操作電壓位準。 When power gating is performed, when the signal V_DWN is triggered, the signal PG_CLK is briefly at its ready state value until the voltage is adjusted, and when the signal V_DWN is deactivated, the signal PG_CLK is re-started. Since the weight of the signal PG_KILL_CORE1 is higher than the power gating operation, The core 101 can be isolated from the voltage VDD0 by turning off the PMOS device coupled between the voltages VDD0 and VDD1. When the signal PG_KILL_CORE1 is triggered, the action of the signal PG_CLK is stopped (thus, the signal PG_CLK is triggered to its ready state value). When the signal PG_KILL_CORE1 is subsequently invalidated, the signal PG_CLK is again activated and a recovery operation is initiated to restore the voltage VDD1 to its normal operating voltage level.

時間解碼器712接收一控制字元數值PG<15:0>、反相信號HIER或HIERB、信號RESUME以及許多保除絲(或掃描)係數(如PU_FU_HIERB、PG_FU_ENT<10:5>、PG_FU_RES_PER<1:0>、PG_FU_CONST_RES_CLK),並藉由觸發數值PG_TIME<19:0>中之一位元,用以在20ns~2.6ms之間選擇一者作為信號PG_CLK的週期時間。反相器710接收信號HIER,並輸出信號HIERB。在電源閘控操作下以及在離開電源閘控操作進入恢復操作下,信號PG_CLK的週期時間決定控制字元PG_CNTRL<16:0>的調整週期。 The time decoder 712 receives a control character value PG<15:0>, an inverted signal HIER or HIERB, a signal RESUME, and a number of guaranteed wire (or scan) coefficients (eg, PU_FU_HIERB, PG_FU_ENT<10:5>, PG_FU_RES_PER<1). :0>, PG_FU_CONST_RES_CLK), and one of the trigger values PG_TIME<19:0> is used to select one of the cycle times between 20ns and 2.6ms as the signal PG_CLK. Inverter 710 receives signal HIER and outputs a signal HIERB. The cycle time of the signal PG_CLK determines the adjustment period of the control character PG_CNTRL<16:0> under power gating operation and upon exiting the power gating operation into recovery operation.

根據控制字元的本身,也可調整信號PG_CLK的週期。如上所述,在電源閘控下,控制字元的最高位元PG_CNTRL<16>被無效化(如觸發至高位準)並且控制字元的位元PG_CNTRL<11:0>被初始化(如觸發至低位準)時,關閉許多PMOS電晶體。選擇信號PG_CLK的一初始週期以及一差異數值,用以在信號PG_CLK動作時,逐漸減少控制字元。當控制字元被減少至一預設數值時,信號PG_CLK的週期可能會被調整至最慢的調整週期,該預設數值係事先被程式化至時間解碼器712之中。舉例而言,當控制字元的位元PG_CNTRL<11>為 高位準(無效化)時,信號PG_CLK的週期可能增加一倍。當控制字元的位元PG_CNTRL<10>為高位準(無效化)時,信號PG_CLK的週期可能再被增加一倍。因此,控制字元的本身係用以調整信號PG_CLK的週期。控制字元可能被程式化成任何數值,用以選擇相對應的時脈週期。 The period of the signal PG_CLK can also be adjusted according to the control character itself. As described above, under power gating, the highest bit PG_CNTRL<16> of the control character is invalidated (eg, triggered to a high level) and the bit PG_CNTRL<11:0> of the control character is initialized (eg, triggered to When the low level is), many PMOS transistors are turned off. An initial period of the signal PG_CLK and a difference value are selected to gradually reduce the control word when the signal PG_CLK is activated. When the control character is reduced to a predetermined value, the period of the signal PG_CLK may be adjusted to the slowest adjustment period, which is previously programmed into the time decoder 712. For example, when the bit PG_CNTRL<11> of the control character is When the level is high (invalid), the period of the signal PG_CLK may be doubled. When the bit PG_CNTRL<10> of the control character is high (invalid), the period of the signal PG_CLK may be doubled again. Therefore, the control character itself is used to adjust the period of the signal PG_CLK. Control characters may be programmed into any value to select the corresponding clock cycle.

信號HIER表示電壓VDD1已低於預設臨界電壓值,信號HIER可能用以調整信號PG_CLK的週期。舉例而言,當信號HIER被觸發至低位準時,表示電壓VDD1已達到最高臨界電壓位準,因此,信號PG_CLK的時脈週期可能會隨著電壓VDD1的位準接近最終電壓位準而增加,最終電壓位準如符號HIGH所示,係用以保留核心101的資料及狀態。舉例而言,在部分實施例中,電壓VDD1由1.05V下降至最終電壓位準450mV,此時信號HIER大約被設定成550mV。信號HIGH可能被設定成用以表示最終電壓位準為450mV。因此,當電壓VDD1達信號HIER所表示的臨界電壓位準550mV時,減少信號PG_CLK的週期,用以降低調整頻率,以降低電壓VDD1低於最終電壓位準的可能性。在一可能實施例中,在電壓VDD1達到信號HIER所表示的臨界電壓位準時,信號PG_CLK的週期變成原本的四倍。 The signal HIER indicates that the voltage VDD1 has fallen below the preset threshold voltage, and the signal HIER may be used to adjust the period of the signal PG_CLK. For example, when the signal HIER is triggered to the low level, it indicates that the voltage VDD1 has reached the highest threshold voltage level. Therefore, the clock period of the signal PG_CLK may increase as the level of the voltage VDD1 approaches the final voltage level, and finally The voltage level is indicated by the symbol HIGH to preserve the data and status of the core 101. For example, in some embodiments, voltage VDD1 drops from 1.05V to a final voltage level of 450mV, at which time signal HIER is set to approximately 550mV. The signal HIGH may be set to indicate a final voltage level of 450 mV. Therefore, when the voltage VDD1 reaches the threshold voltage level of 550 mV represented by the signal HIER, the period of the signal PG_CLK is decreased to lower the adjustment frequency to lower the possibility that the voltage VDD1 is lower than the final voltage level. In a possible embodiment, the period of the signal PG_CLK becomes four times the original when the voltage VDD1 reaches the threshold voltage level indicated by the signal HIER.

在其它實施例中,可利用其它數量的臨界電壓調整信號PG_CLK的週期。舉例而言,在電壓VDD1每下降100mV時,信號PG_CLK的週期可能增加一倍。 In other embodiments, other numbers of threshold voltage adjustment cycles PG_CLK may be utilized. For example, the cycle of the signal PG_CLK may be doubled every time the voltage VDD1 drops by 100 mV.

當信號RESUME被觸發,用以離開電源閘控時,信號PG_CLK可能也會被調整。舉例而言,當信號RESUME被觸 發時,可減少信號PG_CLK的週期時間,用以加快電壓VDD1回到正常電壓位準的速度。舉例而言,當信號RESUME被觸發時,所選擇的加總數值會被加到控制字元的位元PG<15:0>,用以更新控制字元PG_CNTRL<16:0>。然而,需注意的是,由於可能會引起侵入電流或是引起一電流脈衝進入核心101,因此調整動作不應該太快,因電流所造成的電荷共享將影響周圍核心102~104至少一者的操作。因此,當核心101的上電速度太快時,會造成電壓VDD0下降,因而影響其它核心或周圍電路。 When the signal RESUME is triggered to leave the power gating, the signal PG_CLK may also be adjusted. For example, when the signal RESUME is touched When transmitting, the cycle time of the signal PG_CLK can be reduced to speed up the return of the voltage VDD1 to the normal voltage level. For example, when the signal RESUME is triggered, the selected total value is added to the bit PG<15:0> of the control character to update the control character PG_CNTRL<16:0>. However, it should be noted that since the inrush current may be caused or a current pulse is caused to enter the core 101, the adjustment action should not be too fast, and the charge sharing caused by the current will affect the operation of at least one of the surrounding cores 102-104. . Therefore, when the power-up speed of the core 101 is too fast, the voltage VDD0 is lowered, thereby affecting other cores or surrounding circuits.

電源閘控系統201更包括一電源閘控制器713,用以提供控制字元PG_CNTRL<16:0>及PG<15:0>,字元PG<15:0>係為控制字元PG_CNTRL<16:0>的較低16位元,稍後將說明於第11圖中。電源閘控制器713接收信號PG_FU_X、PGATE1、RESUME、PG_KILL_CORE1、V_DOWN<4:0>、V_DWN、CMP1~CMPN(包括HIGH及HIER)及PG_CLK。如上所述,信號PG_FU_X包括保險絲或掃描數值PG_FU_ADD_GN、PG_FU_SUB_GN、PG_FU_HIERB、PG_FU_ENT<10:5>、PG_FU_RESUME_GN<1:0>、PG_FU_RES_PER<1:0>、PG_FU_CONST_RES_CLK及PG_FU_RESUME_STOP,用以調整電源控制處理。 The power gating system 201 further includes a power gate controller 713 for providing control characters PG_CNTRL<16:0> and PG<15:0>, and the character PG<15:0> is the control character PG_CNTRL<16. The lower 16 bits of :0> will be explained later in Fig. 11. The power gate controller 713 receives signals PG_FU_X, PGATE1, RESUME, PG_KILL_CORE1, V_DOWN<4:0>, V_DWN, CMP1~CMPN (including HIGH and HIER), and PG_CLK. As described above, the signal PG_FU_X includes the fuse or scan values PG_FU_ADD_GN, PG_FU_SUB_GN, PG_FU_HIERB, PG_FU_ENT<10:5>, PG_FU_RESUME_GN<1:0>, PG_FU_RES_PER<1:0>, PG_FU_CONST_RES_CLK, and PG_FU_RESUME_STOP for adjusting the power control process.

第8圖係為電源閘控制器713的一可能實施例。電源閘控制器713包括一增量控制字元調整器801、一整合控制字元調整器803、一暫存器組805以及一控制字元邏輯807。暫存器組805具有複數暫存器,每一暫存器對應控制字元較低16位元中之一相對應位元。增量控制字元調整器801接收及控制字 元邏輯807所產生的字元PG<15:0>,並將字元PG<15:0>加上或減去一調整數值,用以產生並輸出控制字元數值OPB<15:0>。控制字元邏輯807判斷控制字元PG_CNTRL<16>的最高有效位元,稍後將說明。 Figure 8 is a possible embodiment of a power gate controller 713. The power gate controller 713 includes an incremental control character adjuster 801, an integrated control word adjuster 803, a register set 805, and a control character logic 807. The register group 805 has a plurality of registers, and each register corresponds to one of the lower 16 bits of the control character. Incremental control character adjuster 801 receives and controls words The character PG<15:0> generated by the meta-logic 807 adds or subtracts an adjustment value to the character PG<15:0> for generating and outputting the control character value OPB<15:0>. The control character logic 807 determines the most significant bit of the control character PG_CNTRL<16>, which will be described later.

調整數值係為一加總數值或是一差異數值是取決於欲於增加或減少控制字元PG_CNTRL<16:0>。應當注意的是,在本實施例中,為了控制P通道(如PMOS)的電晶體,故控制字元PG_CNTRL<16:0>的位元係為反相,而控制字元PG_CNTRL<16:0>的增量或減量係取於P通道的電晶體的大小。為了進行電源閘控,便需對控制字元進行減量,例如根據信號PGATE1是否被觸發,或是完全地被無效化,例如根據信號PG_KILL_CORE1是否被觸發,而減少電源閘控電壓VDD1的電壓。藉由增量控制字元,用以增加電壓VDD1的位準,如根據信號RESUME重回正常操作。在一可能實施例中,加總數值與差異數值均與控制字元的目前數值呈比例關係,如根據控制字元右移的次數y,利用2y分配控制字元,用以判斷調整數值。數值PG_FU_SUB_GN可能用以改變或調整右移的次數y,用以在電源閘控操作下,調整調整的增益。加總數值可能由相似的方法所定義,可能利用信號PG_FU_ADD_GN調整加總數值。 The adjustment value is a plus total value or a difference value depending on whether the control character PG_CNTRL<16:0> is to be increased or decreased. It should be noted that in the present embodiment, in order to control the transistor of the P channel (such as PMOS), the bit of the control character PG_CNTRL<16:0> is inverted, and the control character PG_CNTRL<16:0 The increment or decrement of > is taken from the size of the transistor of the P channel. In order to perform power gating, the control character is decremented, for example, depending on whether the signal PGATE1 is triggered or completely deactivated, for example, depending on whether the signal PG_KILL_CORE1 is triggered, and the voltage of the power gating voltage VDD1 is reduced. By incrementing the control word, it is used to increase the level of the voltage VDD1, such as returning to normal operation according to the signal RESUME. In a possible embodiment, the sum total value and the difference value are proportional to the current value of the control character. For example, according to the number y of the control character right shift, the 2y allocation control character is used to determine the adjustment value. The value PG_FU_SUB_GN may be used to change or adjust the number of right shifts y to adjust the adjusted gain under power gating operation. The total value may be defined by a similar method, and the total value may be adjusted using the signal PG_FU_ADD_GN.

反相器810反相臨界信號HIGH,用以提供一反相臨界信號HIGHB。反相器812反相信號PG_KILL_CORE1,用以提供一反相中止信號KILLB。增量控制字元調整器801接收信號PG_KILL_CORE1、反相中止信號KILLB與信號RESUME。在本實施例中,符號裡有“B”是表示其為一反相版本,並不需要 多加解釋。信號HIGHB、KILLB及RESUME用以選擇一加總數值或一差異數值,用以增加或減少控制字元。舉例而言,在電源閘控下,選擇差異數值,用以減少控制字元及電壓VDD1。當電壓VDD1到達最終電壓位準時,信號HIGH及HIGHB會轉變成反態。若選擇加總數值,則係用以增加控制字元及電壓VDD1。當電壓VDD1大於最終值時,信號HIGH及HIGHB會再次轉態,並且再次選擇差異數值。在本實施例中,上述操作可能會重覆進行,直到信號RESUME被觸發。當信號HIGH的狀態可保留資料時,加總數值可能大於差異數值,用以減少振盪器的頻率。當信號RESUME接著被觸發時,被選擇到的加總數值將增加電壓VDD1的位準,使其恢復到正常位準。信號KILLB表示欲進行全電源閘控操作,此時可忽略信號HIGHB。 The inverter 810 inverts the critical signal HIGH to provide an inverted critical signal HIGHB. The inverter 812 inverts the signal PG_KILL_CORE1 to provide an inverted suspension signal KILLB. The incremental control character adjuster 801 receives the signal PG_KILL_CORE1, the inverted suspension signal KILLB, and the signal RESUME. In this embodiment, the "B" in the symbol indicates that it is an inverted version and does not need to be More explanation. The signals HIGHB, KILLB, and RESUME are used to select an added total value or a difference value to increase or decrease the control character. For example, under power gating, the difference value is selected to reduce the control word and voltage VDD1. When the voltage VDD1 reaches the final voltage level, the signals HIGH and HIGHB will be converted to the opposite state. If the total value is selected, it is used to increase the control character and voltage VDD1. When the voltage VDD1 is greater than the final value, the signals HIGH and HIGHB will transition again and the difference value will be selected again. In this embodiment, the above operation may be repeated until the signal RESUME is triggered. When the state of the signal HIGH can retain data, the total value may be greater than the difference value to reduce the frequency of the oscillator. When the signal RESUME is then triggered, the selected total value will increase the level of the voltage VDD1 to return it to the normal level. The signal KILLB indicates that the full power gating operation is to be performed, and the signal HIGHB can be ignored at this time.

整合控制字元調整器803接收調整控制字元OPB<15:0>。當信號組V_DOWN<4:0>的一位元被觸發時,如符號V_DWN所示,整合控制字元調整器803調整控制字元一次。舉例而言,在電源閘控下,預計調降電壓VDD0時,需整合調整增加控制字元的增量,以避免電壓VDD1低於最終電壓位準而造成核心101的狀態遺失。當信號組V_DOWN<4:0>之一位元被觸發時,信號V_DWN也會被觸發,並且整合控制字元調整器803根據信號組V_DOWN<4:0>之被觸發的特定位元,調整控制字元。 The integrated control character adjuster 803 receives the adjustment control characters OPB<15:0>. When a bit of the signal group V_DOWN<4:0> is triggered, as shown by the symbol V_DWN, the integrated control word adjuster 803 adjusts the control character once. For example, under power gating, when the voltage VDD0 is expected to be reduced, it is necessary to integrate and adjust the increment of the control word to avoid the voltage VDD1 being lower than the final voltage level and causing the state of the core 101 to be lost. When one of the signal groups V_DOWN<4:0> is triggered, the signal V_DWN is also triggered, and the integrated control character adjuster 803 adjusts according to the specific bit that is triggered by the signal group V_DOWN<4:0>. Control character.

在本實施例中,整合控制字元調整器803輸出三個不同的控制字元數值,包括一反相清除數值CB<15:0>、一設定數值S<15:0>以及一資料數值D<15:0>。反相清除數值 CB<15:0>、設定數值S<15:0>以及資料數值D<15:0>分別被輸入至暫存器組805的反相清除輸入端CB、設定輸入端S以及資料輸入端D。當信號PG_CLK並未動作時,在初始化及整合控制字元調整時,反相清除數值CB<15:0>與設定數值S<15:0>並非同步輸入至暫存器組805。當信號PG_CLK動作時,資料數值D<15:0>係同步輸入至暫存器組805。整合控制字元調整器803更利用信號PG_KILL_CORE1及PGATE1,用以產生信號GATE及其反相結果,如GATEB。控制字元邏輯807接收信號GATE。信號GATE與GATEB係用以產生一初始控制字元。 In this embodiment, the integrated control character adjuster 803 outputs three different control character values, including an inverted clear value CB<15:0>, a set value S<15:0>, and a data value D. <15:0>. Inverted clear value CB<15:0>, set value S<15:0>, and data value D<15:0> are respectively input to the inverting clear input terminal CB of the register group 805, the set input terminal S, and the data input terminal D. . When the signal PG_CLK is not active, the inverted clear value CB<15:0> and the set value S<15:0> are not synchronously input to the register group 805 during initialization and integration of the control character adjustment. When the signal PG_CLK is activated, the data value D<15:0> is synchronously input to the register group 805. The integrated control character adjuster 803 further utilizes the signals PG_KILL_CORE1 and PGATE1 to generate the signal GATE and its inverted result, such as GATEB. Control character logic 807 receives signal GATE. Signals GATE and GATEB are used to generate an initial control character.

暫存器組805接收信號PG_CLK並輸出調整後的控制字元的“暫存”結果,如符號ROPB<15:0>所示,控制字元可能完整地被調整或否。控制字元邏輯807接收控制字元ROPB<15:0>。控制字元ROPB<15:0>係非同步地被設定成一初始數值。在調整的全部過程中,當信號PG_CLK停止時,利用反相清除數值CB<15:0>與設定數值S<15:0>非同步地更新控制字元ROPB<15:0>。在電源閘控及恢復操作下,利用資料數值D<15:0>,使控制字元ROPB<15:0>隨著信號PG_CLK同步被更新。 The register set 805 receives the signal PG_CLK and outputs the "temporary" result of the adjusted control character, as indicated by the symbol ROPB<15:0>, the control character may be completely adjusted or not. Control character logic 807 receives control characters ROPB<15:0>. The control character ROPB<15:0> is set asynchronously to an initial value. In the entire process of adjustment, when the signal PG_CLK is stopped, the control word ROPB<15:0> is updated asynchronously with the inverted value CB<15:0> and the set value S<15:0>. Under power gating and recovery operations, the data value D<15:0> is used to cause the control word ROPB<15:0> to be updated as the signal PG_CLK is synchronized.

控制字元邏輯807包括一邏輯電路,用以將控制字元ROPB<15:0>轉換成控制字元的低位元,如PG_CNTRL<15:0>。控制字元邏輯807包括一邏輯電路,其係根據信號PG_KILL_CORE1、RESUME、PGATE1以及PG_FU_RESUME_STOP,產生控制字元PG_CNTRL<16:0>的最高位元PG_CNTRL<16>(如PG16)。控制字元邏輯807利用控制 字元ROPB<15:0>及PG_KILL_CORE1,產生數值PG<15:0>,並將數值PG<15:0>提供予增量控制字元調整器801以及時間解碼器712。 Control character logic 807 includes a logic circuit for converting control characters ROPB<15:0> into lower bits of control characters, such as PG_CNTRL<15:0>. The control character logic 807 includes a logic circuit that generates the highest bit PG_CNTRL<16> (e.g., PG16) of the control character PG_CNTRL<16:0> based on the signals PG_KILL_CORE1, RESUME, PGATE1, and PG_FU_RESUME_STOP. Control character logic 807 utilizes control The characters ROPB<15:0> and PG_KILL_CORE1 generate the value PG<15:0> and provide the value PG<15:0> to the incremental control character adjuster 801 and the time decoder 712.

第9圖係為本發明之增量控制字元調整器801之一可能實施例。增量控制字元調整器801可能具有一限流901。限流901係作為一防護,以避免電流過高或過低。如下所述,數值PG<15:0>右移了一選擇次數,用以產生調整數值。當數值PG<15:0>到達一特定低數值時,可能會讓電壓VDD1的改變量超出預期。舉例而言,當電壓VDD1在低位準時,若微量減少數值PG<15:0>,則可能使電壓VDD1低於能夠維持資料的最小位準。在本實施例中,限流901限制了數值PG<15:0>的最小數值為1111111111100000b(如31的反相數位碼)。 Figure 9 is a possible embodiment of an incremental control character adjuster 801 of the present invention. The incremental control character adjuster 801 may have a current limit 901. Current limiting 901 is used as a shield to prevent current from being too high or too low. As described below, the value PG<15:0> is shifted right by a selection number to generate an adjustment value. When the value PG<15:0> reaches a certain low value, the amount of change in the voltage VDD1 may be exceeded. For example, when the voltage VDD1 is at a low level, if the micro-reduction value PG<15:0>, the voltage VDD1 may be made lower than the minimum level at which data can be maintained. In the present embodiment, the current limit 901 limits the minimum value of the value PG<15:0> to 1111111111100000b (such as the inverted digit code of 31).

限流901接收數值PG<15:0>並提供許多限定數值PGTWO、PGTHREE、PGFOUR、PGFIVE及PGSIX。每一限定數值係用以取代一位移後的數值的最低有效位元(LSB),用以預防位移後的數值低於一預設最小位準。在一可能實施例中,預設最小位準具有32個數位碼。特定的限定數值係根據位移數值的右移次數。舉例而言,限定數值PGTWO係用以進行二次的右移;限定數值PGTHREE係用以進行三次的右移;限定數值PGFOUR係用以進行四次的右移;限定數值PGFIVE係用以進行五次的右移;限定數值PGSIX係用以進行六次的右移。 Current limit 901 receives the value PG<15:0> and provides a number of defined values PGTWO, PGTHREE, PGFOUR, PGFIVE, and PGSIX. Each defined value is used to replace the least significant bit (LSB) of a shifted value to prevent the post-displacement value from being below a predetermined minimum level. In a possible embodiment, the preset minimum level has 32 digit codes. The specific limit value is the number of right shifts based on the displacement value. For example, the defined value PGTWO is used to perform a second right shift; the limited value PGTHREE is used to perform a three-right shift; the limited value PGFOUR is used to perform a four-right shift; the defined value PGFIVE is used to perform five Second right shift; the limited value PGSIX is used to make six right shifts.

多工器(MUX)903具有一輸入端0、一輸入端1、一選擇輸入端S以及一輸出端。輸入端0接收數值<*6>VSS0、PG<15:7>、PGSIX。輸入端1接收數值<*5>VSS0、PG<15:5>。 選擇輸入端S接收信號PG_FU_SUB_GN。多工器903的輸出端提供一差異數值SUB<15:0>。在本實施例中,雖然只顯示單一多工器903,但多工器903內部的符號“X16”係表示16個並聯的多工器接,每一多工器處理16位元中的1位元。相同的標記方式也應用在其它多工器、閂鎖、暫存器及邏輯閘。符號“<*6>VSS0”係表示6個邏輯0,用以形成最左邊位元的數值,接著是PG<15:0>的較高9位元,即PG<15:7>,接著是數值PGSIX,數值PGSIX作為最終數值的最低有效位元。<*6>VSS0、PG<15:7>及PGSIX係為數值PG<15:0>右移6次後的結果,並在最左側插入6個邏輯0,再利用數值PGSIX作為最終數值的最低有效位元。最終數值係表示控制字元PG<15:0>的數值的1/64(即減少至預設限定數值)。數值<*5>VSS0、PG<15:5>係以相同方法形成,差別只在於右移5位元,並且沒有使用限定數值。因此,數值<*5>VSS0:PG<15:5>係表示控制字元PG<15:0>的1/32。 The multiplexer (MUX) 903 has an input terminal 0, an input terminal 1, a selection input terminal S, and an output terminal. Input 0 receives the value <*6>VSS0, PG<15:7>, PGSIX. Input 1 receives the value <*5>VSS0, PG<15:5>. The input terminal S is selected to receive the signal PG_FU_SUB_GN. The output of the multiplexer 903 provides a difference value SUB<15:0>. In the present embodiment, although only the single multiplexer 903 is displayed, the symbol "X16" inside the multiplexer 903 represents 16 parallel multiplexers, and each multiplexer processes 1 of 16 bits. Bit. The same marking method is also applied to other multiplexers, latches, scratchpads, and logic gates. The symbol "<*6>VSS0" represents 6 logical zeros to form the value of the leftmost bit, followed by the upper 9 bits of PG<15:0>, ie PG<15:7>, followed by The value PGSIX, the value PGSIX as the least significant bit of the final value. <*6> VSS0, PG<15:7> and PGSIX are the results of the value PG<15:0> shifted to the right six times, and six logical zeros are inserted on the leftmost side, and the value PGSIX is used as the lowest value of the final value. Valid bit. The final value represents 1/64 of the value of the control character PG<15:0> (ie, reduced to a preset limit value). The values <*5>VSS0, PG<15:5> are formed in the same way, the difference is only that the right bit is shifted by 5 bits, and the limit value is not used. Therefore, the value <*5>VSS0:PG<15:5> indicates 1/32 of the control character PG<15:0>.

預設數值PG_FU_SUB_GN係為邏輯0,故數值<*6>VSS0、PG<15:7>及PGSIX係作為差異數值SUB<15:0>的預設數值(如多工器903的反相輸出),其表示控制字元數值PG<15:0>的數值的1/64的增益,也就是減少的調整數值。當預設數值PG_FU_SUB_GN被觸發成邏輯1時,數值<*5>VSS0:PG<15:5>會被作為差異數值SUB<15:0>(反相後),其表示控制字元數值PG<15:0>的數值的1/32的增益,也就是減少的調整數值。 The preset value PG_FU_SUB_GN is logic 0, so the values <*6>VSS0, PG<15:7> and PGSIX are preset values of the difference value SUB<15:0> (such as the inverted output of the multiplexer 903). , which represents a gain of 1/64 of the value of the control character value PG<15:0>, that is, the reduced adjustment value. When the preset value PG_FU_SUB_GN is triggered to logic 1, the value <*5>VSS0:PG<15:5> will be used as the difference value SUB<15:0> (after inversion), which indicates the control character value PG< The gain of 1/32 of the value of 15:0>, that is, the reduced adjustment value.

16位元的減法器905的輸入端A接收控制字元 PG<15:0>,其輸入端B接收差異數值SUB<15:0>。減法器905將輸入端A的數值減去輸入端B的數值,並由輸出端提供差異數值FSUB<15:0>。在本實施例中,根據差增益數值PG_FU_SUB_GN,差異數值FSUB<15:0>係表示控制字元PG<15:0>的1/64或是1/32。 The input terminal A of the 16-bit subtractor 905 receives the control character PG<15:0>, whose input B receives the difference value SUB<15:0>. The subtracter 905 subtracts the value of the input terminal A from the value of the input terminal B, and provides the difference value FSUB<15:0> from the output terminal. In the present embodiment, based on the difference gain value PG_FU_SUB_GN, the difference value FSUB<15:0> indicates 1/64 or 1/32 of the control character PG<15:0>.

加總增益也可以相同的方式定義,但在電源閘控及恢復操作下,會產生大量的加總增益以供選擇。多工器907具有輸入端0~3,分別接收增益數值<*2>VSS0:PG<15:3>:PGTWO、<*3>VSS0:PG<15:4>:PGTHREE、<*4>VSS0:PG<15:5>:PGFOUR及<*5>VSS0:PG<15:6>:PGFIVE,上述增益數值分別代表1/4、1/8、1/16及1/32的加值增益,並且每一者具有相對應的最低有效位元限制數值。多工器907輸出反相的位元,並提供予反相器909。反相器909反相多工器907的輸出,並將反相結果作為一加總數值ADD<15:0>。多工器907與903具有相同的特性,多工器907具有符號“X16”,其係用以表示16個並聯的多工器。同樣地,反相器909具有“X16”的符號,也是表示16個並聯的反相器。加值解碼器911根據信號RESUME、PG_FU_ADD_GN及PG_FU_RESUME_GN<1:0>選擇一增益數值。加值解碼器911觸發輸出信號S0~S3之一者。多工器907根據輸出信號S0~S3的觸發狀況,輸出輸入端0~3之一者所接收到的增益數值。 The sum gain can also be defined in the same way, but under power gating and recovery operations, a large amount of sum gain is generated for selection. The multiplexer 907 has input terminals 0 to 3, respectively receiving gain values <*2>VSS0: PG<15:3>: PGTWO, <*3> VSS0: PG<15:4>: PGTHREE, <*4> VSS0 : PG<15:5>: PGFOUR and <*5>VSS0: PG<15:6>: PGFIVE, the above gain values represent the added gain of 1/4, 1/8, 1/16 and 1/32, respectively. And each has a corresponding least significant bit limit value. The multiplexer 907 outputs the inverted bit and supplies it to the inverter 909. The inverter 909 inverts the output of the multiplexer 907 and takes the inverted result as an added total value ADD<15:0>. The multiplexers 907 and 903 have the same characteristics, and the multiplexer 907 has the symbol "X16" which is used to represent 16 parallel multiplexers. Similarly, inverter 909 has the sign "X16" and also represents 16 parallel inverters. The value-added decoder 911 selects a gain value based on the signals RESUME, PG_FU_ADD_GN, and PG_FU_RESUME_GN<1:0>. The value-added decoder 911 triggers one of the output signals S0 to S3. The multiplexer 907 outputs a gain value received by one of the input terminals 0 to 3 in accordance with the trigger condition of the output signals S0 to S3.

加值解碼器911根據信號RESUME、PG_FU_ADD_GN及PG_FU_RESUME_GN<1:0>,觸發輸出信號 S0~S3之一者,用以選擇加值增益。信號PG_FU_RESUME_GN<1:0>只適用在當信號RESUME被觸發為邏輯1的恢復操作中。信號PG_FU_ADD_GN只適用在當信號RESUME被觸發為邏輯0的電源閘控操作中。 The value-added decoder 911 triggers an output signal according to the signals RESUME, PG_FU_ADD_GN, and PG_FU_RESUME_GN<1:0>. One of S0~S3 is used to select the value-added gain. The signal PG_FU_RESUME_GN<1:0> only applies to recovery operations when the signal RESUME is triggered to a logic 1. The signal PG_FU_ADD_GN is only used in power gating operations when the signal RESUME is triggered to logic 0.

當信號RESUME及PG_FU_ADD_GN均為邏輯0時,信號PG_FU_RESUME_GN<1:0>可為邏輯0或1,也就是未知狀態(don’t care),因此,加值解碼器911的輸入信號的位元值為00XX,因此,加值解碼器911觸發輸出信號S3,用以選擇加值增益<*5>VSS0:PG<15:6>:PGFIVE,也是就1/32增益,用以進行電源閘控操作。當信號RESUME為邏輯0並且信號PG_FU_ADD_GN為邏輯1時,加值解碼器911的輸入信號的位元值為01XX,因此,加值解碼器911觸發輸出信號S2,用以選擇加值增益<*4>VSS0:PG<15:5>:PGFOUR,也就是1/16增益,以進行電源閘控操作。 When the signals RESUME and PG_FU_ADD_GN are both logic 0, the signal PG_FU_RESUME_GN<1:0> may be logic 0 or 1, that is, the unknown state (don't care), therefore, the bit value of the input signal of the value-added decoder 911 00XX, therefore, the value-added decoder 911 triggers the output signal S3 for selecting the value-added gain <*5>VSS0: PG<15:6>: PGFIVE, which is also the 1/32 gain for power gating operation. . When the signal RESUME is logic 0 and the signal PG_FU_ADD_GN is logic 1, the bit value of the input signal of the value-added decoder 911 is 01XX, therefore, the value-added decoder 911 triggers the output signal S2 for selecting the value-added gain <*4 >VSS0: PG<15:5>: PGFOUR, which is 1/16 gain for power gating operation.

當信號RESUME為邏輯1時,信號PG_FU_RESUME_GN<1:0>的位元值用以決定在恢復操作下的加總數值的增益。在本實施例中,信號PG_FU_RESUME_GN<1:0>的位元值分別為00、01、10及11時,將使得加值解碼器911分別觸發輸出信號S3~S1,用以分別選擇<*5>VSS0:PG<15:6>:PGFIVE(或是1/32增益)、<*4>VSS0:PG<15:5>:PGFOUR(或是1/16增益)、<*3>VSS0:PG<15:4>:PGTHREE(或是1/8增益)及<*2>VSS0:PG<15:3>:PGTWO(或是1/4增益)。 When the signal RESUME is logic 1, the bit value of the signal PG_FU_RESUME_GN<1:0> is used to determine the gain of the added total value under the recovery operation. In this embodiment, when the bit values of the signals PG_FU_RESUME_GN<1:0> are 00, 01, 10, and 11, respectively, the value-added decoder 911 triggers the output signals S3 to S1 to select <*5, respectively. >VSS0:PG<15:6>: PGFIVE (or 1/32 gain), <*4>VSS0: PG<15:5>: PGFOUR (or 1/16 gain), <*3>VSS0: PG <15:4>: PGTHREE (or 1/8 gain) and <*2>VSS0: PG<15:3>: PGTWO (or 1/4 gain).

16位元的加法器913的輸入端A接收控制字元數值 PG<15:0>,其輸入端B接收加值數值ADD<15:0>。加法器913將輸入端A及B所接收到的數值進行加總(A+B),用以提供並輸出加總數值FADD<15:0>。應該注意的是,為了控制PMOS裝置,控制字元的位元值為反相值,故可藉由反相器909,助於加法運算。 The input terminal A of the 16-bit adder 913 receives the control character value PG<15:0>, whose input B receives the added value ADD<15:0>. The adder 913 adds up the values received by the inputs A and B (A+B) to provide and output the added total value FADD<15:0>. It should be noted that in order to control the PMOS device, the bit value of the control word is an inverted value, so that the addition can be facilitated by the inverter 909.

2輸入-多工器915的輸入端0接收加總數值FADD<15:0>,其輸入端1接收差異值FSUB<15:0>,其反相輸出端提供數值OPB<15:0>。2輸入-多工器915的符號“X16”係表示多工器915係由16個並聯的多工器所構成。2輸入-AND閘917接收信號KILLB及HIGHB,並提供輸出信號予2輸入-NOR閘919之其中一輸入端。2輸入-NOR閘919的另一輸入端接收信號RESUME,其輸出端耦接2輸入-多工器915的選擇輸入端S。因此,當信號RESUME為邏輯1時,2輸入-多工器915將加總數值FADD<15:0>反相後再輸出,用以在恢復操作中,增加控制字元PG_CNTRL<16:0>。當信號RESUME為邏輯0時,只要信號KILLB及HIGHB不均為高位準,2輸入-多工器915將差異值FSUB<15:0>反相後再輸出。當信號PG_KILL_CORE1被觸發成高位準時,信號KILLB為低位準,用以進行全電源閘控操作。當電壓VDD1降到足以保留資料的最終臨界位準時,信號HIGH為低位準,因此,信號HIGHB為高位準,因此,2輸入-多工器915選擇加總數值,以預防電壓VDD1再減少。 The input 0 of the 2-input-multiplexer 915 receives the summed value FADD<15:0>, its input 1 receives the difference value FSUB<15:0>, and its inverted output provides the value OPB<15:0>. The symbol "X16" of the 2-input-multiplexer 915 indicates that the multiplexer 915 is composed of 16 parallel multiplexers. The 2-input-AND gate 917 receives the signals KILLB and HIGHB and provides an output signal to one of the inputs of the 2-input-NOR gate 919. The other input of the 2-input-NOR gate 919 receives the signal RESUME, the output of which is coupled to the selection input S of the 2-input-multiplexer 915. Therefore, when the signal RESUME is logic 1, the 2-input-multiplexer 915 inverts the added total value FADD<15:0> and outputs it to increase the control character PG_CNTRL<16:0> in the recovery operation. . When the signal RESUME is logic 0, as long as the signals KILLB and HIGHB are not all high levels, the 2-input-multiplexer 915 inverts the difference value FSUB<15:0> and outputs it. When the signal PG_KILL_CORE1 is triggered to a high level, the signal KILLB is at a low level for full power gating operation. When the voltage VDD1 falls enough to retain the final critical level of the data, the signal HIGH is at a low level, and therefore, the signal HIGHB is at a high level. Therefore, the 2-input-multiplexer 915 selects the summed value to prevent the voltage VDD1 from decreasing again.

第10A及10B圖係為本發明之整合控制字元調整器803之一可能實施例。調整控制字元數值OPB<15:0>係提供予閂鎖組1001的輸入端D,閂鎖組1001具有16個閂鎖器。閂鎖組 1001的輸出端Q輸出閂鎖結果OOPB<15:0>。閂鎖組1001的反相時脈輸入端CK接收信號V_DWN。當信號V_DWN被觸發至低位準時,閂鎖組1001為導通模式,不處理控制字元數值OPB<15:0>,並直接將控制字元數值OPB<15:0>作為閂鎖結果OOPB<15:0>輸出。當信號V_DWN被觸發至高位準時,閂鎖組1001切換成隔離模式,不管控制字元數值OPB<15:0>如何變化,輸出端的閂鎖結果OOPB<15:0>固定不變。 10A and 10B are diagrams of one possible embodiment of the integrated control character adjuster 803 of the present invention. The adjustment control character value OPB<15:0> is provided to the input D of the latch group 1001, and the latch group 1001 has 16 latches. Latch group The output Q of 1001 outputs the latch result OOPB<15:0>. The inverting clock input CK of the latch group 1001 receives the signal V_DWN. When the signal V_DWN is triggered to the low level, the latch group 1001 is in the on mode, the control character value OPB<15:0> is not processed, and the control character value OPB<15:0> is directly used as the latch result OOPB<15. :0>Output. When the signal V_DWN is triggered to the high level, the latch group 1001 switches to the isolated mode, and the latched result OOPB<15:0> of the output is fixed regardless of how the control character value OPB<15:0> changes.

閂鎖結果OOPB<15:0>的位元經16個反相器1003反相後,成為另一調整控制字元數值VOP<15:0>。將數值VOP<15:0>右移一次,並補上VSS0(邏輯0),便可形成數值VSS0:VOP<15:1>,其中VOP<15:1>係作為數值VSS0:VOP<15:1>的低15位元。因此,數值VSS0:VOP<15:1>係為數值VOP<15:0>的1/2。16位元的加法器1005的輸入端A<15:0>接收數值VOP<15:0>,其輸入端B<15:0>接收數值VSS0:VOP<15:1>,其輸出端提供數值DOP<15:0>,其係為原始數值VOP<15:0>的1.5倍。 The bit of the latch result OOPB<15:0> is inverted by the 16 inverters 1003 to become another adjustment control character value VOP<15:0>. The value VOP<15:0> is shifted right once and VSS0 (logic 0) is added to form the value VSS0:VOP<15:1>, where VOP<15:1> is the value VSS0:VOP<15: 1> lower 15 bits. Therefore, the value VSS0:VOP<15:1> is 1/2 of the value VOP<15:0>. The input terminal A<15:0> of the 16-bit adder 1005 receives the value VOP<15:0>, Its input B<15:0> receives the value VSS0:VOP<15:1>, and its output provides the value DOP<15:0>, which is 1.5 times the original value VOP<15:0>.

藉由數值VOP<15:0>與DOP<15:0>,便可提供原始數值VOP<15:0>的1.5倍、2倍、3倍、4倍及6倍數值。如上所述,數值DOP<15:0>係為數值VOP<15:0>的1.5倍。數值VOP<14:0>係為數值VOP<15:0>左移1位元的結果,並在最低有效位元補上電壓VDD0。因此,數值VOP<14:0>:VDD0係為數值VOP<15:0>的2倍。同樣地,數值DOP<14:0>:VDD0係為數值DOP<15:0>左移1位元的結果,故為VOP<15:0>的3倍。另外,數值VOP<13:0>:<*2>VDD0係表示VOP<15:0>左移2位元的結 果,並在最右側補上2個VDD0,因此,其可代表數值VOP<15:0>的4倍。同樣地,數值DOP<13:0>:<*2>VDD0係表示數值VOP<15:0>的6倍。 With the values VOP<15:0> and DOP<15:0>, 1.5, 2, 3, 4, and 6 times the original value VOP<15:0> can be provided. As described above, the value DOP<15:0> is 1.5 times the value VOP<15:0>. The value VOP<14:0> is the result of shifting the value VOP<15:0> to the left by 1 bit, and adding the voltage VDD0 to the least significant bit. Therefore, the value VOP<14:0>: VDD0 is twice the value VOP<15:0>. Similarly, the value DOP<14:0>: VDD0 is the result of shifting the value DOP<15:0> to the left by 1 bit, so it is 3 times VOP<15:0>. In addition, the value VOP<13:0>:<*2>VDD0 indicates that the VOP<15:0> is shifted to the left by 2 bits. If you add 2 VDD0 to the far right, it can represent 4 times the value VOP<15:0>. Similarly, the value DOP<13:0>:<*2>VDD0 represents six times the value VOP<15:0>.

多工器1007、1009及1011的輸入端0~4分別接收數值VOP<15:0>的1.5倍、2倍、3倍、4倍及6倍的數值。多工器1007、1009及1011的符號“X16”係表示16個並聯的多工器。多工器1011的輸入端5接收原始數值VOP<15:0>。2輸入-NOR閘1013接收信號PG_KILL_CORE1及PGATE1,並輸出一反相閘信號GATEB。反相器1015接收反相閘信號GATEB並產生信號GATE。多工器1007的輸入端5接收數值<*4>VSS0:<*12>GATEB。多工器1009的輸入端5接收數值<*4>GATE:<*12>VDD0。多工器1007、1009及1011的輸出端分別提供反相的清除數值CB<15:0>、設定數值S<15:0>以及資料數值D<15:0>予前文的暫存器組805。 The input terminals 0 to 4 of the multiplexers 1007, 1009, and 1011 receive values of 1.5 times, 2 times, 3 times, 4 times, and 6 times of the value VOP<15:0>, respectively. The symbol "X16" of the multiplexers 1007, 1009, and 1011 indicates 16 parallel multiplexers. The input 5 of the multiplexer 1011 receives the original value VOP<15:0>. The 2-input-NOR gate 1013 receives the signals PG_KILL_CORE1 and PGATE1 and outputs an inverted gate signal GATEB. Inverter 1015 receives the inverted gate signal GATEB and produces a signal GATE. The input 5 of the multiplexer 1007 receives the value <*4>VSS0:<*12>GATEB. The input 5 of the multiplexer 1009 receives the value <*4>GATE:<*12>VDD0. The outputs of the multiplexers 1007, 1009, and 1011 respectively provide inverted clear values CB<15:0>, set values S<15:0>, and data values D<15:0> to the previous register group 805. .

V_DOWN解碼器1017接收信號組V_DOWN<4:0>,並輸出信號S0~S5予多工器1007、1009及1011的輸入端S0~S5。信號組V_DOWN<4:0>係為優先解碼,故在同一時間下,若信號組V_DOWN<4:0>的多位元被觸發,則只會觸發信號組V_DOWN<4:0>的最高一位元。因此,V_DOWN解碼器1017對信號組V_DOWN<4:0>的最高位元進行解碼,並觸發相對應的輸出信號S0~S5,用以調整一次控制字元。當信號V_DOWN<0>被觸發時,則選擇信號S0,用以進行1.5倍的調整。當信號V_DOWN<1>被觸發時,則選擇信號S1,用以進行2倍的調整。當信號V_DOWN<2>被觸發時,則選擇信號S2,用 以進行3倍的調整。當信號V_DOWN<3>被觸發時,則選擇信號S3,用以進行4倍的調整。當信號V_DOWN<4>被觸發時,則選擇信號S4,用以進行6倍的調整。當信號組V_DOWN<4:0>沒有位元被觸發時,觸發信號S5。多工器1007、1009及1011根據被觸發的信號S0~S5,對相對應的輸入端所接收到的信號進行反相,再輸出反相結果。 The V_DOWN decoder 1017 receives the signal group V_DOWN<4:0> and outputs signals S0 to S5 to the input terminals S0 to S5 of the multiplexers 1007, 1009 and 1011. The signal group V_DOWN<4:0> is the priority decoding. Therefore, if the multi-bit of the signal group V_DOWN<4:0> is triggered at the same time, only the highest one of the signal group V_DOWN<4:0> is triggered. Bit. Therefore, the V_DOWN decoder 1017 decodes the highest bit of the signal group V_DOWN<4:0> and triggers the corresponding output signals S0~S5 for adjusting the control character once. When the signal V_DOWN<0> is triggered, the signal S0 is selected for 1.5 times adjustment. When the signal V_DOWN<1> is triggered, the signal S1 is selected for a 2x adjustment. When the signal V_DOWN<2> is triggered, the signal S2 is selected for use. To make a 3x adjustment. When the signal V_DOWN<3> is triggered, the signal S3 is selected for 4 times adjustment. When the signal V_DOWN<4> is triggered, the signal S4 is selected for 6 times adjustment. When no signal is triggered by the signal group V_DOWN<4:0>, the signal S5 is triggered. The multiplexers 1007, 1009, and 1011 invert the signals received by the corresponding input terminals according to the triggered signals S0 to S5, and output the inverted result.

整合控制字元調整器803已敍述於第8及10圖。在上電予微處理器100及/或核心101時,或是在重置微處理器100及/或核心101時,信號組V_DOWN<4:0>不會有位元被觸發,因此,不會觸發信號V_DWN。閂鎖器1001為傳送狀態,信號PG_CLK維持在低位準,因此,暫存器組805不動作,並且V_DOWN解碼器1017觸發多工器1007、1009及1011的信號S5。由於暫存器組805不動作,因此,資料輸出D<15:0>被無效化。此外,多工器1007及1009的輸入端5亦可耦接暫存器組805的反相清除輸入端及設定輸入端,用以將信號ROPB<15:0>初始化成1111000000000000b。由於多工器1007及1009的輸入端5是同時被觸發,故來自多工器1007的反相清除位元將使暫存器組805傳送邏輯0,共且自多工器1009的設定位元將使暫存器組805傳送邏輯1。 The integrated control character adjuster 803 has been described in Figures 8 and 10. When powering up the microprocessor 100 and/or the core 101, or when resetting the microprocessor 100 and/or the core 101, the signal group V_DOWN<4:0> will not have a bit triggered, therefore, no The signal V_DWN is triggered. The latch 1001 is in the transmit state, the signal PG_CLK is maintained at a low level, therefore, the register set 805 is inactive, and the V_DOWN decoder 1017 triggers the signal S5 of the multiplexers 1007, 1009, and 1011. Since the register group 805 does not operate, the data output D<15:0> is invalidated. In addition, the input terminals 5 of the multiplexers 1007 and 1009 can also be coupled to the inverting clear input terminal and the set input terminal of the register group 805 for initializing the signal ROPB<15:0> to 1111000000000000b. Since the input terminals 5 of the multiplexers 1007 and 1009 are simultaneously triggered, the inverted clear bit from the multiplexer 1007 will cause the register group 805 to transmit a logic 0, a total of the set bits from the multiplexer 1009. Scratchpad group 805 will be caused to transfer a logic one.

在本實施例中,信號PG_CNTRL的最高有效位元,即信號PG16,控制一特定數量的PMOS裝置,而其它的PMOS裝置係由信號PG_CNTRL<15:0>所控制。在本實例中,信號PG_CNTRL<15:0>為二進制加權。在此例中,在正常操作下,信號PG16控制重要的PMOS裝置(如最多數量),用以有效地將 閘控供給匯流排206箝制在整合供給匯流排109,而其它的PMOS裝置對於電壓VDD1的位準影響較低。當信號PGATE1被觸發,用以初始化電源閘控操作時,信號PG16被無效化,用以清除電壓箝制效應,適當數量的PMOS裝置持續被導通,用以使電壓VDD1的位準約略等於電壓VDD0的位準。雖然控制字元的低位元PG_CNTRL<15:0>係用於進行電源閘控操作,但在其它實施例中,可能使用其它數量的位元。 In the present embodiment, the most significant bit of signal PG_CNTRL, signal PG16, controls a particular number of PMOS devices, while the other PMOS devices are controlled by signals PG_CNTRL<15:0>. In this example, the signal PG_CNTRL<15:0> is binary weighted. In this example, under normal operation, signal PG16 controls the important PMOS devices (eg, the maximum number) to effectively The gated supply busbar 206 is clamped to the integrated supply busbar 109, while the other PMOS devices have a lower impact on the level of the voltage VDD1. When the signal PGATE1 is triggered to initialize the power gating operation, the signal PG16 is deactivated to remove the voltage clamping effect, and an appropriate number of PMOS devices are continuously turned on to make the level of the voltage VDD1 approximately equal to the voltage VDD0. Level. While the lower bits PG_CNTRL<15:0> of the control character are used for power gating operations, in other embodiments, other numbers of bits may be used.

在本實施例中,在正常操作下,控制字元PG_CNTRL<16:0>係為01111000000000000b,故控制字元的低位元PG_CNTRL<11:0>為低位準,而中間位元PG_CNTRL<15:12>為高位準,因此,在正常操作下,一特定數量的PMOS裝置被導通。在本實施例中,在上電、重置及正常操作下,閘控供給匯流排206上的位準有效地被箝制成整合供給匯流排109上的位準,並且核心101可能正常工作。當信號PGATE1被觸發,用以初始化電源閘控時,信號PG16會被無效化,在初始化電源閘控時,原本因低位元PG_CNTRL<11:0>而導通的PMOS裝置不再導通。因此,減少了被導通的PMOS裝置的數量,用以在電源閘控操作下,將電壓VDD1的位準減少至最終電壓位準,並可保留核心的狀態資訊。在另一實施例中,在電源閘控功能下,控制字元PG_CNTRL<16:0>的初始值可能會被調整,用以控制更多或更少的PMOS裝置。 In this embodiment, under normal operation, the control character PG_CNTRL<16:0> is 01111000000000000b, so the lower bits of the control character PG_CNTRL<11:0> are low level, and the middle bit PG_CNTRL<15:12 > is high level, therefore, under normal operation, a certain number of PMOS devices are turned on. In this embodiment, under power up, reset, and normal operation, the levels on the gated supply busbar 206 are effectively clamped to the level on the integrated supply busbar 109, and the core 101 may function properly. When the signal PGATE1 is triggered to initialize the power gating, the signal PG16 will be invalidated. When the power gating is initialized, the PMOS device that was originally turned on due to the low bit PG_CNTRL<11:0> is no longer turned on. Therefore, the number of turned-on PMOS devices is reduced to reduce the level of the voltage VDD1 to the final voltage level under power gating operation, and the core state information can be retained. In another embodiment, under the power gating function, the initial values of the control characters PG_CNTRL<16:0> may be adjusted to control more or fewer PMOS devices.

當信號PGATE1被觸發,用以進行電源閘控操作時,若信號組V_DOWN<4:0>仍維持在未觸發狀態時,閂鎖器1001維持在導通狀態,並且信號PG_CLK維持相同的頻率,用 以在電源閘控時,降低控制字元PG_CNTRL<16:0>的大小。因此,電壓VDD1的位準相似於電壓VDD0。V_DOWN解碼器1017觸發信號S5,由於信號PG_CLK為有效狀態,故選擇多工器1011的輸入端5的數值VOP<15:0>作為資料數值D<15:0>,並提供予暫存器組805的資料輸入端。在本實施例中,在正常電源閘控操作下,藉由連續觸發的信號PG_CLK,調整並更新信號ROPB<15:0>、PG<15:0>及PG_CNTRL<15:0>,直到電壓VDD1的位準到達最終電壓位準。 When the signal PGATE1 is triggered for power gating operation, if the signal group V_DOWN<4:0> remains in the untriggered state, the latch 1001 remains in the on state, and the signal PG_CLK maintains the same frequency, To reduce the size of the control character PG_CNTRL<16:0> during power gating. Therefore, the level of the voltage VDD1 is similar to the voltage VDD0. The V_DOWN decoder 1017 triggers the signal S5. Since the signal PG_CLK is in an active state, the value VOP<15:0> of the input terminal 5 of the multiplexer 1011 is selected as the data value D<15:0>, and is supplied to the register group. 805 data input. In this embodiment, under normal power gating operation, the signals ROPB<15:0>, PG<15:0>, and PG_CNTRL<15:0> are adjusted and updated by the continuously triggered signal PG_CLK until the voltage VDD1 The level reaches the final voltage level.

在電源閘控下,不論信號組V_DOWN<4:0>的哪個位元被觸發,信號V_DWN均會被觸發,使得閂鎖器1001維持本身的輸出信號,並且暫時中止信號PG_CLK。V_DOWN解碼器1017觸發輸出信號S0~S5之一者,並且多工器1007及1009根據被觸發的信號,輸出輸入端0~4之一者的信號,用以利用不同倍數(如:1.5、2、3、4、6倍)的控制字元,非同步地更新暫存器組805,因而更新控制字元PG_CNTRL<16:0>。如上所述,當信號組V_DOWN<4:0>的一位元被更新時,表示電壓VDD0位準的調降,因此,更新控制字元PG_CNTRL<16:0>,並增加電壓VDD1的位準一次,以避免電壓VDD1的位準過低。 Under power gating, regardless of which bit of the signal group V_DOWN<4:0> is triggered, the signal V_DWN is triggered, causing the latch 1001 to maintain its own output signal and temporarily abort the signal PG_CLK. The V_DOWN decoder 1017 triggers one of the output signals S0~S5, and the multiplexers 1007 and 1009 output signals of one of the input terminals 0~4 according to the triggered signal, so as to utilize different multiples (eg, 1.5, 2). The control characters of 3, 4, and 6 times update the register group 805 asynchronously, thus updating the control characters PG_CNTRL<16:0>. As described above, when a bit of the signal group V_DOWN<4:0> is updated, it indicates a voltage level VDD0 level down, therefore, the control word PG_CNTRL<16:0> is updated, and the level of the voltage VDD1 is increased. Once, to avoid the level of voltage VDD1 being too low.

第11圖係為控制字元邏輯807的一可能實施方式。如上所述,控制字元邏輯807接收暫存器組805所暫存的控制字元ROPB<15:0>。反相器1101接收信號RESUME。反相器1101的輸出端耦接一對2輸入-NOR閘1103及1105。2輸入-NOR閘1103的另一輸入端接收信號ROPB<14>。2輸入-NOR閘1105的另一輸入端接收信號ROPB<13>。2輸入-NOR閘1103及1105 的輸出端分別耦接2輸入-多工器1107的輸入端1及0。2輸入-多工器1107的輸入端S接收信號PG_FU_RESUME_STOP,其輸出端提供一停止信號STP。設定-重置(set-reset;SR)閂鎖器1109的重置輸入端R接收停止信號STP。SR閂鎖器1109的設定輸入端S接收信號GATE。信號GATE用以觸發SR閂鎖器1109的輸出端Q所輸出的控制位元ROPB<16>。信號ROPB<15:0>及ROPB<16>係由數值ROPB<16:>所提供,用以產生上述的控制字元PG_CNTRL<16:0>。 Figure 11 is a possible implementation of control character logic 807. As described above, control character logic 807 receives the control character ROPB<15:0> temporarily stored by register group 805. The inverter 1101 receives the signal RESUME. The output of the inverter 1101 is coupled to a pair of 2-input-NOR gates 1103 and 1105. The other input of the 2-input-NOR gate 1103 receives the signal ROPB<14>. The other input of the 2-input-NOR gate 1105 receives the signal ROPB<13>. 2 input -NOR gates 1103 and 1105 The output terminals are respectively coupled to the input terminals 1 and 0 of the 2-input-multiplexer 1107. The input terminal S of the 2-input-multiplexer 1107 receives the signal PG_FU_RESUME_STOP, and the output terminal thereof provides a stop signal STP. The reset input terminal R of the set-reset (SR) latch 1109 receives the stop signal STP. The set input S of the SR latch 1109 receives the signal GATE. The signal GATE is used to trigger the control bit ROPB<16> output by the output terminal Q of the SR latch 1109. The signals ROPB<15:0> and ROPB<16> are provided by the value ROPB<16:> to generate the above control character PG_CNTRL<16:0>.

在操作時,信號GATE、RESUME及ROPB<16>被初始成低位準,並且信號ROPB<13>及ROPB<14>被初始成高位準。由於信號ROPB<16>在正常操作下被設定成低位準,因此,信號PG_CNTRL<16>(即最高有效位元PG16)會被下拉至低位準,因而導通最多的PMOS裝置,用以令電壓VDD1的位準等於電壓VDD0的位準。當信號RESUME為低位準時,不論信號PG_FU_RESUME_STOP的位準為何,2輸入-多工器1017令停止信號STP為低準。在初始化電源閘控操作時,信號GATE會被設定成高位準,因此,SR閂鎖器1109將信號ROPB<16>觸發成高位準,故不導通相當數量的PMOS裝置,該等PMOS裝置係耦接在電壓VDD0與VDD1之間。然而,由於核心101已進入閒置模式,因此,電壓VDD1不會有相當大的變化。當信號PG_KILL_CORE1或PGATE1被觸發至低位準,用以停止電源閘控操作並回到正常操作時,信號GATE改變成低位準,而信號RESUME改變成高位準。信號ROPB<13>及ROPB<14>仍為高位準,因此,停止信號STP維持在低位準。 In operation, the signals GATE, RESUME, and ROPB<16> are initially lowered to a low level, and the signals ROPB<13> and ROPB<14> are initially initialized to a high level. Since the signal ROPB<16> is set to a low level under normal operation, the signal PG_CNTRL<16> (ie, the most significant bit PG16) is pulled down to the low level, thus turning on the most PMOS device for the voltage VDD1. The level of the level is equal to the level of the voltage VDD0. When the signal RESUME is low, the 2-input-multiplexer 1017 causes the stop signal STP to be low, regardless of the level of the signal PG_FU_RESUME_STOP. When the power gating operation is initialized, the signal GATE is set to a high level. Therefore, the SR latch 1109 triggers the signal ROPB<16> to a high level, so that a considerable number of PMOS devices are not turned on, and the PMOS devices are coupled. Connected between the voltage VDD0 and VDD1. However, since the core 101 has entered the idle mode, the voltage VDD1 does not vary considerably. When the signal PG_KILL_CORE1 or PGATE1 is triggered to the low level to stop the power gating operation and return to normal operation, the signal GATE changes to a low level, and the signal RESUME changes to a high level. The signals ROPB<13> and ROPB<14> are still at a high level, and therefore, the stop signal STP is maintained at a low level.

在一預設狀態下,信號PG_FU_RESUME_STOP為低位準,因此,2輸入-多工器1107選擇2輸入-NOR閘1105的輸出信號,也就是輸出信號ROPB<13>的反相結果。信號ROPB<15:0>會被增加,直到信號ROPB<13>被觸發至低位準,因此,停止信號STP被觸發成高位準,用以重置SR閂鎖器1109,並且信號ROPB<16>回到低位準,因而將信號PG_CNTRL<16>設置成低位準,用以導通許多PMOS裝置,並將電壓VDD1的位準箝制成電壓VDD0的位準。若號PG_FU_RESUME_STOP被程式化成高位準,2輸入-多工器1107選擇2輸入-NOR閘1103的輸出信號,因此,2輸入-多工器1107輸出信號ROPB<14>的反相結果。在其它較長的恢復處理中,操作是相同的,除了停止信號STP不會被設定成高位準,直到信號ROPB<14>被設定成低位準。停止信號係取決於控制字元裡被選擇的位元PG_CNTRL<16>,其表示一最小停止數值。換句話說,一旦控制字元變成特定數值時,就可有效地中止恢復處理,因此,可能繼續正常操作。 In a preset state, the signal PG_FU_RESUME_STOP is at a low level. Therefore, the 2-input-multiplexer 1107 selects the output signal of the 2-input-NOR gate 1105, that is, the inverted result of the output signal ROPB<13>. The signal ROPB<15:0> will be incremented until the signal ROPB<13> is triggered to a low level, therefore, the stop signal STP is triggered to a high level to reset the SR latch 1109, and the signal ROPB<16> Returning to the low level, the signal PG_CNTRL<16> is set to a low level to turn on a number of PMOS devices and clamp the level of the voltage VDD1 to the level of the voltage VDD0. If the number PG_FU_RESUME_STOP is programmed to a high level, the 2-input-multiplexer 1107 selects the output signal of the 2-input-NOR gate 1103. Therefore, the 2-input-multiplexer 1107 outputs the inverted result of the signal ROPB<14>. In other longer recovery processes, the operation is the same except that the stop signal STP is not set to a high level until the signal ROPB<14> is set to a low level. The stop signal is determined by the selected bit PG_CNTRL<16> in the control character, which represents a minimum stop value. In other words, once the control character becomes a specific value, the recovery process can be effectively suspended, and therefore, normal operation may continue.

一旦停止信號STP為高位準時,SR閂鎖器1109將信號ROPB<16>由高位準下拉至低位準,用以將電壓VDD1的位準箝制回電壓VDD0的位準,並進入正常操作。信號RESUME回到低位準,並且整合控制字元調整器803再次將控制字元初始化成01111000000000000b,使得信號ROPB<13>及ROPB<14>回到高位準。在一可能實施例中,當恢復操作根據控制字元的數值而被中止,可利用一程式化數值,如保險絲或掃描…等等,重置控制字元。因此,在正常操作下,電壓VDD1的位準 回到它原本設定的位準。 Once the stop signal STP is high, the SR latch 1109 pulls the signal ROPB<16> from the high level to the low level to clamp the level of the voltage VDD1 back to the level of the voltage VDD0 and enter normal operation. The signal RESUME returns to the low level, and the integrated control character adjuster 803 initializes the control character to 01111000000000000b again, causing the signals ROPB<13> and ROPB<14> to return to the high level. In a possible embodiment, when the recovery operation is aborted based on the value of the control character, the control character can be reset using a stylized value such as a fuse or scan. Therefore, under normal operation, the level of voltage VDD1 Go back to the level it was originally set to.

在另一可能實施例中,為了控制信號ROPB<16>,可提供信號PGATE1予時脈控制器706,其可提供一同步暫存結果(如PGATE1R;未顯示)。當信號PGATE1變化至高位準時,同步暫存結果,如PGATE1R,也變化至高位準,直到恢復操作的尾端,信號PG16為高位準時,信號PGATE1都不會回到低位準。在本實施例中,信號PGATE1R(代替信號PGATE1)被提供予2輸入-NOR閘1013的輸入端,用以改變信號GATE。2輸入-多工器1107的輸出信號也會被反相,並且可利用一AND閘(未顯示)取代SR閂鎖器1109,用以接收信號GATE及STP。在此例中,信號STP會被上拉至高位準(而不是低位準)。由於在正常操作下,信號GATE為低位準,故信號ROPB<16>也為低位準。在電源閘控時,信號PGATE1被上拉至高位準,故信號GATE改變至高位準,由於信號STP也為高位準,因此,信號ROPB<16>被上拉至高位準。在初始化恢復操作時,當信號PGATE1被無效化至低位準,信號GATE1維持在高位準(因是由PGATE1R所控制,而不是PGATE1),信號STP也為高位準。當信號STP被下拉至低位準,用以停止恢復操作時,信號ROPB<16>也會被觸發至低位準。信號ROPB<16>為低位準時,將下拉信號PG16為低位準,使得信號GATE回到低位準,用以維持信號ROPB<16>在低位準。控制字元PG_CNTRL<16:0>回到化初始值,使得信號ROPB<13>及ROPB<14>均為高位準,因此,信號STP被上拉回到高位準(在另一實施例中,2輸入-多工器1107的輸出會被反相,也就是反相第11圖裡的信號STP的狀態)。 In another possible embodiment, to control signal ROPB<16>, signal PGATE1 may be provided to clock controller 706, which may provide a synchronous temporary result (e.g., PGATE1R; not shown). When the signal PGATE1 changes to a high level, the synchronous temporary storage result, such as PGATE1R, also changes to a high level until the end of the recovery operation, when the signal PG16 is at a high level, the signal PGATE1 does not return to the low level. In the present embodiment, the signal PGATE1R (instead of the signal PGATE1) is supplied to the input of the 2-input-NOR gate 1013 for changing the signal GATE. The output signal of the 2-input-multiplexer 1107 is also inverted, and an AND gate (not shown) can be used in place of the SR latch 1109 for receiving signals GATE and STP. In this case, the signal STP will be pulled up to a high level (rather than a low level). Since the signal GATE is at a low level under normal operation, the signal ROPB<16> is also a low level. During power gating, the signal PGATE1 is pulled up to a high level, so the signal GATE changes to a high level. Since the signal STP is also at a high level, the signal ROPB<16> is pulled up to a high level. During the initialization recovery operation, when the signal PGATE1 is deactivated to a low level, the signal GATE1 is maintained at a high level (because it is controlled by PGATE1R, not PGATE1), and the signal STP is also at a high level. When the signal STP is pulled down to the low level to stop the recovery operation, the signal ROPB<16> is also triggered to the low level. When the signal ROPB<16> is low, the pull-down signal PG16 is at a low level, so that the signal GATE returns to a low level to maintain the signal ROPB<16> at a low level. The control character PG_CNTRL<16:0> is returned to the initial value such that the signals ROPB<13> and ROPB<14> are both high level, and therefore, the signal STP is pulled back to a high level (in another embodiment, The output of the 2-input-multiplexer 1107 is inverted, that is, the state of the signal STP in the inverted Figure 11).

反相器812反相信號PG_KILL_CORE1,用以產生信號KILLB。反相器1111反相信號KILLB,用以產生信號KILL。反相器1113反相信號KILL,用以產生另一反相結果,如KILLBB。NAND閘組1115具有7個NAND閘(以符號“X7”表示),每一NAND閘接收信號ROPB<6:0>的一相對應位元,每一NAND閘接收信號KILLBB。NAND閘組1115產生低位元信號PG<6:0>。NOR閘組1117具有9個NOR閘(以符號“X9”表示),每一NOR閘接收信號ROPB<15:7>的一相對應位元,所有NOR閘接收信號KILL。NAND閘組1117提供高位元信號PG<15:7>。當信號PG_KILL_CORE1為低位準時,控制數值PG<15:0>為信號ROPB<15:0>的反相結果,也就是控制字元PG_CNTRL<15:0>。當信號PG_KILL_CORE1為高位準時,低位元信號PG<6:0>會被觸發成高位準,而高位準信號PG<15:7>會被下拉至低位準,因此,信號PG<15:0>會被設定成一初始值0000000001111111b。進一步來說,信號PG<15:0>的初始值係用以在全電源閘控操作後,恢復操作下,當信號PG_KILL_CORE1接著被下拉回低位準時,初始化控制字元PG_CNTRL<16:0>。如第8圖所示,整合後的控制字元PG<15:0>提供予遞增控制字元調整器801以及時間解碼器712。邏輯閘1115及1117係根據邏輯閘812、1111、1113的反相結果KILLBB與KILL而動作,並根據信號ROPB選擇控制字元的位元,用以形成初始化邏輯,其可在全電源閘控操作下,當代表恢復操作的信號RESUME被觸發時,初始化控制字元的數值。 The inverter 812 inverts the signal PG_KILL_CORE1 to generate the signal KILLB. The inverter 1111 inverts the signal KILLB for generating the signal KILL. The inverter 1113 inverts the signal KILL to generate another inverted result, such as KILLBB. The NAND gate group 1115 has seven NAND gates (denoted by the symbol "X7"), each NAND gate receives a corresponding bit of the signal ROPB<6:0>, and each NAND gate receives the signal KILLBB. The NAND gate group 1115 generates a low bit signal PG<6:0>. The NOR gate set 1117 has nine NOR gates (denoted by the symbol "X9"), each NOR gate receives a corresponding bit of the signal ROPB<15:7>, and all NOR gates receive the signal KILL. The NAND gate group 1117 provides a high bit signal PG<15:7>. When the signal PG_KILL_CORE1 is low, the control value PG<15:0> is the inverted result of the signal ROPB<15:0>, that is, the control character PG_CNTRL<15:0>. When the signal PG_KILL_CORE1 is high, the low bit signal PG<6:0> will be triggered to a high level, and the high level signal PG<15:7> will be pulled down to the low level. Therefore, the signal PG<15:0> will be It is set to an initial value of 0000000001111111b. Further, the initial value of the signal PG<15:0> is used to restore the operation after the full power gating operation, and when the signal PG_KILL_CORE1 is subsequently pulled back to the low level, the control character PG_CNTRL<16:0> is initialized. As shown in FIG. 8, the integrated control character PG<15:0> is supplied to the incremental control character adjuster 801 and the time decoder 712. Logic gates 1115 and 1117 operate according to the inverted results KILLBB and KILL of logic gates 812, 1111, 1113, and select the bits of the control character according to signal ROPB to form initialization logic, which can be operated at full power gate Next, when the signal RESUME representing the recovery operation is triggered, the value of the control character is initialized.

信號ROPB<15:0>與ROPB<16>構成數值 ROPB<16:0>,其係用以產生控制字元PG_CNTRL<16:0>。AND閘組1119具有16個AND閘,每一AND閘接收數值ROPB<16:0>之一相對應位元。反相器組1121處理數值ROPB<16:0>,並將處理結果提供予AND閘組1119的另一輸入端。反相器組1121具有16個並聯的反相器。總共有6個反相器組1121串聯在一起,用以延遲相對應的信號,其係透過反相器組傳送。雖然數值ROPB<16:0>的每一位元被6個反相器所延遲,但並非用以限制本發明,在其它實施例中,可對每一位元進行不同程度的延遲。OR閘組1123具有16個OR閘,而AND閘組1119的輸出耦接相對應的OR閘。OR閘組1123的所有OR閘接收信號KILL。OR閘組1123的輸出端提供控制字元PG_CNTRL<16:0>。 Signals ROPB<15:0> and ROPB<16> form a value ROPB<16:0>, which is used to generate the control character PG_CNTRL<16:0>. The AND gate group 1119 has 16 AND gates, and each AND gate receives one of the corresponding values of the value ROPB<16:0>. The inverter group 1121 processes the value ROPB<16:0> and supplies the processing result to the other input of the AND gate group 1119. The inverter group 1121 has 16 inverters connected in parallel. A total of six inverter groups 1121 are connected in series to delay the corresponding signals, which are transmitted through the inverter group. Although each bit of the value ROPB<16:0> is delayed by 6 inverters, it is not intended to limit the invention, and in other embodiments, each bit can be delayed to varying degrees. The OR gate group 1123 has 16 OR gates, and the output of the AND gate group 1119 is coupled to the corresponding OR gate. All OR gates of the OR gate group 1123 receive the signal KILL. The output of OR gate group 1123 provides control characters PG_CNTRL<16:0>.

當控制字元PG_CNTRL<16:0>的某一位元為數值1時,其係關閉相對應的PMOS裝置,若為數值0,則是導通相對應的PMOS裝置。如果數值ROPB<16:0>的某一位元由數值0改變成數值1時,控制字元PG_CNTRL<16:0>的相對應位元也會改變,故可關閉相對應的PMOS裝置,用以降低電壓VDD1的位準。同樣地,若數值ROPB<16:0>的某一位元由數值1改變成數值0時,控制字元PG_CNTRL<16:0>的相對應位元也會改變,因而導通相對應的PMOS裝置,用以增加電壓VDD1的位準。 When a bit of the control character PG_CNTRL<16:0> is a value of 1, it closes the corresponding PMOS device, and if it is a value of 0, it turns on the corresponding PMOS device. If a bit of the value ROPB<16:0> is changed from the value 0 to the value 1, the corresponding bit of the control character PG_CNTRL<16:0> also changes, so the corresponding PMOS device can be turned off. To lower the level of the voltage VDD1. Similarly, if a bit of the value ROPB<16:0> is changed from the value 1 to the value 0, the corresponding bit of the control character PG_CNTRL<16:0> also changes, thereby turning on the corresponding PMOS device. To increase the level of the voltage VDD1.

在同一時間下,改變多個位元以降低控制字元PG_CNTRL<16:0>時,可能會解決一問題。具體而言,當控制字元PG_CNTRL<16:0>的許多位元同時從一數值變化成另一數值時,可能造成控制字元PG_CNTRL的數值被0取代。被取代的位元將不導通PMOS裝置,因而使得電壓VDD1的位準短暫地 下降。電壓VDD1的短暫下降,可能低於最終電壓位準。在這樣的考量下,如果電壓VDD1的位準過低,可能無法保留核心101的狀態資訊。 At the same time, when changing multiple bits to lower the control character PG_CNTRL<16:0>, a problem may be solved. Specifically, when many bits of the control character PG_CNTRL<16:0> are simultaneously changed from one value to another value, the value of the control character PG_CNTRL may be replaced by 0. The replaced bit will not turn on the PMOS device, thus making the level of the voltage VDD1 briefly decline. A brief drop in voltage VDD1 may be lower than the final voltage level. Under such considerations, if the level of the voltage VDD1 is too low, the state information of the core 101 may not be retained.

反相器組1121與AND閘組1119可預防上述問題。當AND閘組1119處理信號時,若部分位元快速地由數值1變化至數值0時,可藉由反相器組1121將這些位元再由數值0變化成數值1。在本實施例中,要被導通的PMOS電晶體會在關閉前先快速地被導通。因此,當控制字元被更新時,可先短暫地增加電壓VDD1的位準。短暫地增加電壓VDD1的位準所造成的影響小於短暫地減少電壓VDD1的位準所造成的影響。 The inverter group 1121 and the AND gate group 1119 can prevent the above problem. When the AND gate group 1119 processes the signal, if some of the bits quickly change from the value 1 to the value 0, the bits can be changed from the value 0 to the value 1 by the inverter group 1121. In this embodiment, the PMOS transistor to be turned on is quickly turned on before being turned off. Therefore, when the control character is updated, the level of the voltage VDD1 can be briefly increased first. The effect of temporarily increasing the level of the voltage VDD1 is less than the effect of temporarily reducing the level of the voltage VDD1.

第12圖係為本發明之時間解碼器712之一可能實施例。單熱點解碼器1201的輸入端接收信號PG<15:0>,並將信號PG<15:0>解碼成數值PGT<15:0>。如上所述,控制字元ROPB<15:0>的初始值係為1111000000000000b,經過邏輯閘1115及1117反相後,因此,在電源閘控下,控制字元ROPB<15:0>的初始值係為0000111111111111b。在解碼處理時,同一時間下,數值PGT<15:0>只有一位元會被觸發成高位準,而其它位元為低位準,其中高位準表示信號PG<15:0>中最重要的位元的位置,也就是被觸發成高位準至邏輯1的位元的位置。另外,數值PGT<15:0>的位元數量與信號PG<15:0>的位元數量有關。在本實施例中,當信號PG<15:0>的初始值為0000111111111111b時,則數值PGT<15:0>的初始值為0000000000010000b,其中數值PGT<15:0>的第4位元PGT<4>為高位準,而其它位元為低位準。藉由讀取數值PG,便可得知 數值PGT,如位元PGT<4>係表示數值PG<15:0>的位元從左邊開始數,第五個位元就是最重要位元。在全電源閘控操作下,當信號PG_KILL_CORE1為高位準時,信號PG<15:0>變成0000000001111111b,因此,數值PGT<15:0>為0000000001000000b。數值PGT<15:0>係用以產生時間數值PG_TIME<19:0>,用以根據操作模式以及控制字元,選擇信號PG_CLK的頻率。單熱點解碼器1201可以標準NOR/NAND閘所實現,或其它相似的電路所實現。 Figure 12 is a possible embodiment of a time decoder 712 of the present invention. The input of the single hot spot decoder 1201 receives the signal PG<15:0> and decodes the signal PG<15:0> into the value PGT<15:0>. As described above, the initial value of the control character ROPB<15:0> is 1111000000000000b, after the logic gates 1115 and 1117 are inverted, therefore, under the power gating, the initial value of the control character ROPB<15:0> It is 0000111111111111b. In the decoding process, at the same time, only one bit of the value PGT<15:0> will be triggered to a high level, while other bits are low level, wherein the high level indicates the most important signal PG<15:0> The position of the bit, that is, the position of the bit that is triggered to a high level to a logical one. In addition, the number of bits of the value PGT<15:0> is related to the number of bits of the signal PG<15:0>. In the present embodiment, when the initial value of the signal PG<15:0> is 0000111111111111b, the initial value of the value PGT<15:0> is 0000000000010000b, and the fourth bit PGT< of the value PGT<15:0> 4> is high, while other bits are low. By reading the value PG, you can know The value PGT, such as the bit PGT<4>, indicates that the bit of the value PG<15:0> is counted from the left, and the fifth bit is the most significant bit. Under full power gating operation, when the signal PG_KILL_CORE1 is high, the signal PG<15:0> becomes 0000000001111111b, so the value PGT<15:0> is 0000000001000000b. The value PGT<15:0> is used to generate the time value PG_TIME<19:0> for selecting the frequency of the signal PG_CLK according to the operation mode and the control character. The single hotspot decoder 1201 can be implemented with standard NOR/NAND gates, or other similar circuits.

時脈位移器1203接收信號組PG<15:0>的高位元信號PG<15:6>以及數值PG_FU_ENT<10:5>,用以提供相對應的數值FIVE、SIX、SEVEN、EIGHT、NINE及TEN,用以對時間數值進行位移,也就是調整時脈信號PG_CLK的週期。數值PG_FU_ENT<10:5>可能係由保險絲…等等所程式化,用以根據一特定架構的許多參數,如電壓供給容值…等等對時間信號PG_CLK的時間基數進行位移。舉例而言,當控制字元的數值減少時,特定位元所對應的PMOS裝置被關閉,故信號PG_FU_ENT<10:5>藉由係數2,對信號PG_CLK的頻率進行位移,用以補償相對應的RC時間係數(如增加信號PG_CLK的週期,用以減緩調整的反應)。如上所述,C係為核心101的總容值,而R係為耦接在電壓VDD0與VDD1之間的電源閘控裝置(如PMOS裝置、502、504、506、508及601)的阻抗值。在關閉或開啟電源閘控裝置時,將會改變RC時間係數。 The clock shifter 1203 receives the high bit signal PG<15:6> of the signal group PG<15:0> and the value PG_FU_ENT<10:5> to provide corresponding values FIVE, SIX, SEVEN, EIGHT, NINE and TEN is used to shift the time value, that is, to adjust the period of the clock signal PG_CLK. The value PG_FU_ENT<10:5> may be programmed by a fuse, etc., to shift the time base of the time signal PG_CLK according to a number of parameters of a particular architecture, such as voltage supply capacitance. For example, when the value of the control character is decreased, the PMOS device corresponding to the specific bit is turned off, so the signal PG_FU_ENT<10:5> is shifted by the coefficient 2 to compensate the corresponding frequency of the signal PG_CLK. The RC time coefficient (such as increasing the period of the signal PG_CLK to slow down the adjusted response). As described above, C is the total capacitance of the core 101, and R is the impedance value of the power gating devices (such as PMOS devices, 502, 504, 506, 508, and 601) coupled between the voltages VDD0 and VDD1. . The RC time factor will be changed when the power gating device is turned off or on.

預設時脈選擇電路1205接收信號PGT<15:0及RESUME,並在局部的電源閘控及恢復操作下,輸出預設時間 數值DTIME<19:0>。在局部的電源閘控及恢復操作下,預設時間數值係用以對信號PG_CLK進行預設週期調整。在電源閘控下,亦會對時脈週期進行調整,如對電壓VDD1的特定位準及/或控制字元PG_CNTRL特定數值進行調整。數值DTIME<19:0>係用以在恢復操作下,選擇信號PG_CLK,而並非使用一固定的恢復時脈。另外,在電源閘控下(信號RESUME被無效化),當信號HIERB被觸發至高位準時,則不需考慮數值DTIME<19:0>。 The preset clock selection circuit 1205 receives the signals PGT<15:0 and RESUME, and outputs a preset time under partial power gating and recovery operations. The value DTIME<19:0>. In the local power gating and recovery operation, the preset time value is used to preset the period of the signal PG_CLK. Under power gating, the clock cycle is also adjusted, such as adjusting the specific level of voltage VDD1 and/or the specific value of control character PG_CNTRL. The value DTIME<19:0> is used to select the signal PG_CLK under recovery operation instead of using a fixed recovery clock. In addition, under power gating (signal RESUME is deactivated), when the signal HIERB is triggered to a high level, the value DTIME<19:0> is not considered.

信號組PG_FU_RES_PER<1:0>係為一可程式化2位元數值(藉由保險絲或掃描方式程式化),其可在離開電源閘控操作,並在恢復操作下(當信號RESUME被觸發),調整信號PG_CLK的週期。一固定恢復時脈選擇電路1207接收信號組PG_FU_RES_PER<1:0>,用以產生一數值PGTIMEB<6:3>。當藉由保險絲…等等方式,將信號PG_FU_CONST_RES_CLK設定成高位準時,則選擇可程式固定恢復時脈週期,並忽略正常恢復時脈。 The signal group PG_FU_RES_PER<1:0> is a programmable 2-bit value (programmed by fuse or scan mode), which can be operated away from the power gate and under recovery operation (when the signal RESUME is triggered) , adjust the period of the signal PG_CLK. A fixed recovery clock selection circuit 1207 receives the signal group PG_FU_RES_PER<1:0> for generating a value PGTIMEB<6:3>. When the signal PG_FU_CONST_RES_CLK is set to a high level by means of a fuse, etc., a programmable fixed recovery clock period is selected, and the normal recovery clock is ignored.

時脈週期選擇器1209接收數值FIVE、SIX、SEVEN、EIGHT、NINE及TEN以及數值PG_FU_HIERB、RESUME、HIERB、PG_FU_CNST_RES_CLK、DTIME<19:0>以及PGTIMEB<6:0>,並產生數值PG_TIME<19:0>,用以選擇信號PG_CLK的週期。反相器1211反相信號RESUME,用以產生信號RESUMEB,並將信號RESUMEB提供予時脈週期選擇器1209。 The clock cycle selector 1209 receives the values FIVE, SIX, SEVEN, EIGHT, NINE, and TEN and the values PG_FU_HIERB, RESUME, HIERB, PG_FU_CNST_RES_CLK, DTIME<19:0>, and PGTIMEB<6:0>, and generates the value PG_TIME<19: 0>, used to select the period of the signal PG_CLK. Inverter 1211 inverts signal RESUME for generating signal RESUMEB and provides signal RESUMEB to clock cycle selector 1209.

第13圖係為本發明之時脈位移器1203的一可能實 施例。時脈位移器1203包括具有6個反相器的反相器組1301、具有2個反相器的反相器組1303、NOR閘1305、1307、1309、1311、1319、1321、1323、1325、1327、1329及NAND閘1313、1315及1317。具有6個反相器的反相器組1301反相信號組PG_FU_ENT<10:5>的每一信號,用以產生相對應的反相數值ENB<10:5>。具有2個反相器的反相器組1302反相數值ENB<7:6>,用以產生相對應的反相數值ENBB<7:6>。NOR閘1305接收位元PG<15:13>。NOR閘1307接收位元PG<12:10>。NOR閘1309接收位元PG<9:8>。NOR閘1311接收位元PG<7:6>。 Figure 13 is a possible embodiment of the clock shifter 1203 of the present invention. Example. The clock shifter 1203 includes an inverter group 1301 having six inverters, an inverter group 1303 having two inverters, NOR gates 1305, 1307, 1309, 1311, 1319, 1321, 1323, 1325, 1327, 1329 and NAND gates 1313, 1315 and 1317. Each of the inverter group 1301 having six inverters inverts the signal group PG_FU_ENT<10:5> to generate a corresponding inverted value ENB<10:5>. The inverter group 1302 having two inverters has inverted values ENB<7:6> for generating corresponding inverted values ENBB<7:6>. The NOR gate 1305 receives the bit PG<15:13>. The NOR gate 1307 receives bits PG<12:10>. The NOR gate 1309 receives the bit PG<9:8>. The NOR gate 1311 receives the bits PG<7:6>.

NOR閘1305的輸出端耦接NAND閘1313、1315及1317的輸入端。NOR閘1307的輸出端耦接NAND閘1313、1315及1317的輸入端。NOR閘1309的輸出端耦接NAND閘1315及1317的輸入端。NOR閘1311的輸出端耦接NAND閘1317的輸入端。NAND閘1313、1315及1317分別輸出信號TENB、EIGHTB及SIXB。 The output of the NOR gate 1305 is coupled to the input terminals of the NAND gates 1313, 1315, and 1317. The output of the NOR gate 1307 is coupled to the input terminals of the NAND gates 1313, 1315, and 1317. The output of NOR gate 1309 is coupled to the inputs of NAND gates 1315 and 1317. The output of the NOR gate 1311 is coupled to the input of the NAND gate 1317. The NAND gates 1313, 1315, and 1317 output signals TENB, EIGHTB, and SIXB, respectively.

NOR閘1319接收信號ENBB<6>及SIXB,並輸出信號SIX。NOR閘1321接收信號ENB<8>及EIGHTB,並輸出信號EIGHT。NOR閘1323接收信號ENB<10>及TENB,並輸出信號TEN。NOR閘1325接收信號ENB<9>、TENB及PG<9>,並輸出信號NINE。NOR閘1327接收信號ENBB<7>、EIGHTB及PG<7>,並輸出信號SEVEN。NOR閘13295接收信號ENB<5>、SIXB及PG<5>,並輸出信號FIVE。 The NOR gate 1319 receives the signals ENBB<6> and SIXB and outputs a signal SIX. The NOR gate 1321 receives the signals ENB<8> and EIGHTB and outputs a signal EIGHT. The NOR gate 1323 receives the signals ENB<10> and TENB and outputs a signal TEN. The NOR gate 1325 receives signals ENB<9>, TENB, and PG<9>, and outputs a signal NINE. The NOR gate 1327 receives signals ENBB<7>, EIGHTB, and PG<7>, and outputs a signal SEVEN. The NOR gate 13295 receives the signals ENB<5>, SIXB, and PG<5>, and outputs a signal FIVE.

在本實施例中,根據信號組PG<15:6>的特定數值,可利用信號組PG_FU_ENT<10:5>調整信號PG_CLK的週 期,其中信號組PG<15:6>與控制字元PG_CNTRL<15:6>的相對應位元有關。時脈週期選擇器1209根據數值FIVE~TEN,執行所需的時脈位移(用以增加時脈週期)。位元組PG_FU_ENT<7:6>係為事先預設,因此,即使後來可藉由熔斷相對應的保險絲或設定掃描方式清除位元PG_FU_ENT<7:6>,但在預設條件下,數值SIX及SEVEN將被觸發,用以進行位移。 In the present embodiment, the signal PG_FU_ENT<10:5> can be used to adjust the circumference of the signal PG_CLK according to the specific value of the signal group PG<15:6>. Period, where the signal group PG<15:6> is related to the corresponding bit of the control character PG_CNTRL<15:6>. The clock cycle selector 1209 performs the required clock shift (to increase the clock period) based on the values FIVE~TEN. The byte PG_FU_ENT<7:6> is preset in advance, so even if the corresponding PG_FU_ENT<7:6> can be cleared by blowing the corresponding fuse or setting the scanning mode, under the preset condition, the value SIX And SEVEN will be triggered for displacement.

第14圖為本發明之預設時脈選擇電路1205的一可能實施例。預設時脈選擇電路1205包括一解碼器1420及一多工器1413,其中符號“X20”係表示20個並聯的多工器。對於解碼器1420而言,NOR閘1401接收數值PGT的高位元部分PGT<15:13>。NOR閘1403接收數值PGT的下一高位元部分PGT<12:10>。NOR閘1405接收數值PGT的低位元部分PGT<5:3>。NOR閘1407接收數值PGT的下一低位元部分PGT<2:0>。NAND閘1409的輸入端耦接NOR閘1401及1403的輸出端。NAND閘1411的輸入端耦接NOR閘1405及1407的輸出端。NAND閘1409提供信號PGTHI。NAND閘1411提供信號PGTLO。 Figure 14 is a diagram of a possible embodiment of a preset clock selection circuit 1205 of the present invention. The preset clock selection circuit 1205 includes a decoder 1420 and a multiplexer 1413, wherein the symbol "X20" represents 20 parallel multiplexers. For the decoder 1420, the NOR gate 1401 receives the high-order portion PGT<15:13> of the value PGT. The NOR gate 1403 receives the next high-order portion PGT<12:10> of the value PGT. The NOR gate 1405 receives the lower bit portion PGT<5:3> of the value PGT. The NOR gate 1407 receives the next lower bit portion PGT<2:0> of the value PGT. The input of the NAND gate 1409 is coupled to the output terminals of the NOR gates 1401 and 1403. The input terminal of the NAND gate 1411 is coupled to the output terminals of the NOR gates 1405 and 1407. NAND gate 1409 provides signal PGTHI. The NAND gate 1411 provides the signal PGTLO.

多工器1413的輸入端0接收第一數值<*4>VSS0:PGT<15:0>,其輸入端1接收第二數值<*14>VSS0:PGTLO:PGT<6>:PGT<7>:PGT<8>:PGT<9>:PGHI。多工器1413的選擇輸入端S接收信號RESUME,其輸出端提供數值DTIME<19:0>。第一數值共有20個位元,其中16個位元即為PGT<15:0>的16位元,並在最左側加入4個邏輯0(VSS0)。第二數值也有20個位元,14個高位元為邏輯0(VSS0),接下來是 PGTLO、PGT<6>、PGT<7>、PGT<8>、PGT<9>、PGHI。當信號RESUME為低位準時(如在電源閘控操作下),將第一數值作為數值DTIME<19:0>。當信號RESUME為高位準時,將第二數值作為數值DTIME<19:0>。 The input terminal 0 of the multiplexer 1413 receives the first value <*4>VSS0:PGT<15:0>, and its input terminal 1 receives the second value <*14>VSS0: PGTLO: PGT<6>: PGT<7> :PGT<8>: PGT<9>: PGHI. The select input S of the multiplexer 1413 receives the signal RESUME, and its output provides the value DTIME<19:0>. The first value has a total of 20 bits, of which 16 bits are 16 bits of PGT<15:0>, and 4 logical 0s (VSS0) are added to the leftmost side. The second value also has 20 bits, and the 14 high bits are logic 0 (VSS0), followed by PGTLO, PGT<6>, PGT<7>, PGT<8>, PGT<9>, PGHI. When the signal RESUME is low (as in power gating operation), the first value is taken as the value DTIME<19:0>. When the signal RESUME is high, the second value is taken as the value DTIME<19:0>.

第15圖為本發明之固定恢復時脈選擇電路1207之一可能實施例。反相器對1501接收位元組PG_FU_RES_PER<1:0>,並提供相對應的反相數值RPERB<1:0>。反相器對1503接收反相數值RPERB<1:0>,並輸出相對應的非反相數值RPER<1:0>。NAND閘1505接收位元RPERB<0>及RPERB<1>,並輸出位元PGTIMEB<5>。NAND閘1507接收位元RPERB<0>及RPER<1>,並輸出位元PGTIMEB<3>。NAND閘1509接收位元RPER<0>及RPERB<1>,並輸出位元PGTIMEB<4>。NAND閘1511接收位元RPER<0>及RPER<1>,並輸出位元PGTIMEB<6>。時脈週期選擇器1209提供數值PGTIMEB<6:3>。當信號PG_FU_CONST_RES_CLK被觸發時,時脈週期選擇器1209根據PG_FU_RES_PER<1:0>選擇固定週期的PG_CLK。 Figure 15 is a possible embodiment of one of the fixed recovery clock selection circuits 1207 of the present invention. The inverter pair 1501 receives the byte PG_FU_RES_PER<1:0> and provides a corresponding inverted value RPERB<1:0>. The inverter pair 1503 receives the inverted value RPERB<1:0> and outputs a corresponding non-inverted value RPER<1:0>. The NAND gate 1505 receives the bits RPERB<0> and RPERB<1>, and outputs the bit PGTIMEB<5>. The NAND gate 1507 receives the bits RPERB<0> and RPER<1>, and outputs the bit PGTIMEB<3>. The NAND gate 1509 receives the bits RPER<0> and RPERB<1>, and outputs the bit PGTIMEB<4>. The NAND gate 1511 receives the bits RPER<0> and RPER<1>, and outputs the bit PGTIMEB<6>. The clock cycle selector 1209 provides the value PGTIMEB<6:3>. When the signal PG_FU_CONST_RES_CLK is triggered, the clock cycle selector 1209 selects the fixed period PG_CLK according to PG_FU_RES_PER<1:0>.

如第15圖所示,當位元PG_FU_RES_PER<1:0>為10b時,位元PGTIMEB<3>被觸發。當位元PG_FU_RES_PER<1:0>為01b時,位元PGTIMEB<4>被觸發。當位元PG_FU_RES_PER<1:0>為00b時,位元PGTIMEB<5>被觸發。當位元PG_FU_RES_PER<1:0>為11b時,位元PGTIMEB<6>被觸發。因此,在恢復操作中,信號PG_CLK的固定週期係根據一簡單的解碼功能。 As shown in Fig. 15, when the bit PG_FU_RES_PER<1:0> is 10b, the bit PGTIMEB<3> is triggered. When the bit PG_FU_RES_PER<1:0> is 01b, the bit PGTIMEB<4> is triggered. When the bit PG_FU_RES_PER<1:0> is 00b, the bit PGTIMEB<5> is triggered. When the bit PG_FU_RES_PER<1:0> is 11b, the bit PGTIMEB<6> is triggered. Therefore, in the recovery operation, the fixed period of the signal PG_CLK is based on a simple decoding function.

第16A及16B圖為本發明之時脈週期選擇器1209的一可能實施例。如圖所示,時脈週期選擇器1209包括許多具有2輸入端的多工器,如1601、1603、1605、1607、1609、1611、1613、1615及1617。每一多工器具有符號“X20”,其係表示每一多工器的具有20個輸入端,用以接收具有20個位元的時間數值PG_TIME<19:0>。多工器1601在輸出信號時,並不會對信號進行反相處理,而其它多工器1603~1617在輸出信號前,將對信號進行反相處理。時脈週期選擇器1209更包括許多具有2輸入端的AND閘,如1602、1604、1606、1608、1610、1612、1614及1616。多工器1601的選擇輸入端S接收信號PG_FU_HIERB。AND閘1602的輸入端接收信號HIERB及RESUMEB,其輸出端耦接多工器1603的選擇輸入端S。AND閘1604的輸入端接收數值TEN及信號RESUMEB,其輸出端耦接多工器1605的選擇輸入端S。AND閘1606的輸入端接收數值NINE及信號RESUMEB,其輸出端耦接多工器1607的選擇輸入端S。AND閘1608的輸入端接收數值EIGHT及信號RESUMEB,其輸出端耦接多工器1609的選擇輸入端S。AND閘1610的輸入端接收數值SEVEN及信號RESUMEB,其輸出端耦接多工器1611的選擇輸入端S。AND閘1612的輸入端接收數值SIX及信號RESUMEB,其輸出端耦接多工器1613的選擇輸入端S。AND閘1614的輸入端接收數值FIVE及信號RESUMEB,其輸出端耦接多工器1615的選擇輸入端S。AND閘1616的輸入端接收信號RESUME及PG_FU_CONST_RES_CLK,其輸出端耦接多工器1617的選擇輸入端S。 16A and 16B are diagrams showing a possible embodiment of the clock cycle selector 1209 of the present invention. As shown, the clock cycle selector 1209 includes a plurality of multiplexers having two inputs, such as 1601, 1603, 1605, 1607, 1609, 1611, 1613, 1615, and 1617. Each multiplexer has the symbol "X20" which indicates that each multiplexer has 20 inputs for receiving a time value PG_TIME<19:0> having 20 bits. When the multiplexer 1601 outputs a signal, the signal is not inverted, and the other multiplexers 1603~1617 will invert the signal before outputting the signal. The clock cycle selector 1209 further includes a plurality of AND gates having 2 inputs, such as 1602, 1604, 1606, 1608, 1610, 1612, 1614, and 1616. The selection input S of the multiplexer 1601 receives the signal PG_FU_HIERB. The input of the AND gate 1602 receives the signals HIERB and RESUMEB, and its output is coupled to the selection input S of the multiplexer 1603. The input of the AND gate 1604 receives the value TEN and the signal RESUMEB, and its output is coupled to the selection input S of the multiplexer 1605. The input of the AND gate 1606 receives the value NINE and the signal RESUMEB, and its output is coupled to the selection input S of the multiplexer 1607. The input of the AND gate 1608 receives the value EIGHT and the signal RESUMEB, and its output is coupled to the selection input S of the multiplexer 1609. The input terminal of the AND gate 1610 receives the value SEVEN and the signal RESUMEB, and its output terminal is coupled to the selection input terminal S of the multiplexer 1611. The input terminal of the AND gate 1612 receives the value SIX and the signal RESUMEB, and its output terminal is coupled to the selection input terminal S of the multiplexer 1613. The input of the AND gate 1614 receives the value FIVE and the signal RESUMEB, and its output is coupled to the selection input S of the multiplexer 1615. The input of the AND gate 1616 receives the signals RESUME and PG_FU_CONST_RES_CLK, and its output is coupled to the selection input S of the multiplexer 1617.

多工器1601的輸入端0接收一數值<*2>VSS0:PGT<15:0>:<*2>VSS0,其輸入端1接收一數值<*3>VSS0:PGT<15:0>:VSS0,其輸出端耦接下一多工器1603的輸入端1。多工器1603的輸入端0接收數值DTIME<19:0>,其反相輸出端提供一數值PG2T<19:0>。多工器1605的輸入端0接收數值PG2T<19:0>,其輸入端1接收數值PG2T<18:0>:VDD0,其反相輸出端提供一數值PG3T<19:0>。多工器1607的輸入端0接收數值PG3T<19:0>,其輸入端1接收數值PG3T<18:0>:VSS0,其反相輸出端提供一數值PG4T<19:0>。多工器1609的輸入端0接收數值PG4T<19:0>,其輸入端1接收數值PG4T<18:0>:VDD0,其反相輸出端提供一數值PG5T<19:0>。多工器1611的輸入端0接收數值PG5T<19:0>,其輸入端1接收數值PG5T<18:0>:VSS0,其反相輸出端提供一數值PG6T<19:0>。多工器1613的輸入端0接收數值PG6T<19:0>,其輸入端1接收數值PG6T<18:0>:VDD0,其反相輸出端提供一數值PG7T<19:0>。多工器1615的輸入端0接收數值PG7T<19:0>,其輸入端1接收數值PG7T<18:0>:VSS0,其反相輸出端提供一數值PG8T<19:0>。多工器1617的輸入端0接收數值PG8T<19:0>,其輸入端1接收數值<*13>VDD0:PGTIMEB<6:3>:<*3>VDD0,其反相輸出端提供一數值PG_TIME<19:0>。 The input 0 of the multiplexer 1601 receives a value <*2>VSS0:PGT<15:0>:<*2>VSS0, and its input 1 receives a value <*3>VSS0:PGT<15:0>: VSS0, whose output is coupled to the input terminal 1 of the next multiplexer 1603. The input 0 of the multiplexer 1603 receives the value DTIME<19:0>, and its inverted output provides a value PG2T<19:0>. The input 0 of the multiplexer 1605 receives the value PG2T<19:0>, its input 1 receives the value PG2T<18:0>: VDD0, and its inverted output provides a value PG3T<19:0>. The input 0 of the multiplexer 1607 receives the value PG3T<19:0>, its input 1 receives the value PG3T<18:0>: VSS0, and its inverted output provides a value PG4T<19:0>. The input 0 of the multiplexer 1609 receives the value PG4T<19:0>, its input 1 receives the value PG4T<18:0>: VDD0, and its inverted output provides a value PG5T<19:0>. The input 0 of the multiplexer 1611 receives the value PG5T<19:0>, its input 1 receives the value PG5T<18:0>: VSS0, and its inverted output provides a value PG6T<19:0>. The input 0 of the multiplexer 1613 receives the value PG6T<19:0>, its input 1 receives the value PG6T<18:0>: VDD0, and its inverted output provides a value PG7T<19:0>. The input 0 of the multiplexer 1615 receives the value PG7T<19:0>, its input 1 receives the value PG7T<18:0>: VSS0, and its inverted output provides a value PG8T<19:0>. The input 0 of the multiplexer 1617 receives the value PG8T<19:0>, and its input terminal 1 receives the value <*13>VDD0: PGTIMEB<6:3>:<*3>VDD0, and its inverted output provides a value. PG_TIME<19:0>.

由於部分的多工器具有反相輸出端,故利用VSS0及VDD0,用以在位移後加入邏輯0或1。舉例而言,在多工器堆疊中,在對偶數的數值PG2T、PG4T及PG6T進行位移後,加入VDD0,即邏輯1,在對奇數的數值PG3T、PG5T及PG7T進行 位移後,加入VSS0,即邏輯0。在其它實施例中,如果多工器並未具有反相輸出端時,則需調整位移後所加入的數值。 Since some of the multiplexers have inverting outputs, VSS0 and VDD0 are used to add logic 0 or 1 after the shift. For example, in the multiplexer stack, after the even values PG2T, PG4T, and PG6T are shifted, VDD0, that is, logic 1, is added, and the odd values PG3T, PG5T, and PG7T are performed. After the shift, add VSS0, which is logic 0. In other embodiments, if the multiplexer does not have an inverting output, then the value added after the displacement needs to be adjusted.

以下將說明第7B圖的時間解碼器712的動作原理。在正常操作下,當未進行電源閘控時,信號RESUME為低位準。簡單來說,首先假設位元PG_FU_ENT<10:5>被程式化,因此,信號FIVE~TEN被觸發至低位準(包括信號SIX及SEVEN),並且多工器1605~1617選擇輸入端0所接收到的信號。信號HIERB被觸發至低位準,因此,多工器1603選擇預設數值DTIME<19:0>,經過多工器堆疊的處理,產生數值PG_TIME<19:0>。多工器1413選擇數值<*4>:VSS0:PGT<15:0>,作為PG_TIME<19:0>的初始值或預設值。如上所述,在起始時脈週期中,控制字元PG_CNTRL<15:0>的低位元(除了MSB以外的位元)被初始成1111000000000000b,並被反相成PG<15:0>,其值為0000111111111111b,故PGT的值為0000000000010000b。PGT的初始值所對應的PG_CLK的初始週期約為80ns(時脈週期的乘法器20的架構將第一組3位元設定成任何值)。可了解的是,在不同的架構中,時脈值可為任意值,並且可選擇任何不同的時脈週期作為初始值。 The principle of operation of the time decoder 712 of Fig. 7B will be explained below. Under normal operation, when the power gating is not performed, the signal RESUME is at a low level. To put it simply, first assume that the bit PG_FU_ENT<10:5> is programmed, so the signal FIVE~TEN is triggered to the low level (including the signals SIX and SEVEN), and the multiplexers 1605~1617 select the input 0 to receive. The signal to. The signal HIERB is triggered to a low level, so the multiplexer 1603 selects the preset value DTIME<19:0>, and after the multiplexer stacking process, the value PG_TIME<19:0> is generated. The multiplexer 1413 selects the value <*4>: VSS0: PGT<15:0> as the initial value or preset value of PG_TIME<19:0>. As described above, in the start clock cycle, the lower bit of the control character PG_CNTRL<15:0> (the bit other than the MSB) is initialized to 1111000000000000b and inverted to PG<15:0>, which The value is 0000111111111111b, so the value of PGT is 0000000000010000b. The initial value corresponding to the PGT PG_CLK the initial period is about 80ns (clock cycle multiplier architecture 20 of the first set of three yuan set to any value). It can be understood that in different architectures, the clock value can be any value, and any different clock cycle can be selected as the initial value.

在持續電源閘控時,控制字元PG_CNTRL<16:0>被減小時,造成相對應的PG<15:0>也隨之減小。當PG<15:0>的第11個位元變成0時,將原本的PG<15:0>的第10個位元設定成1,故PG<15:0>變成0000000000100000b。由於PGT<15:0>係整合在<19:0>之中,用以在電源閘控時,調整 PG_TIME<19:0>,因此,DTIME<19:0>及PG_TIME<19:0>均會被調整。由於選擇下一較大的週期,因此數值PGT<15:0>增加至PG_CLK的兩倍週期時。因而造成PG_CLK的週期變成兩倍,故PG<15:0>的每一下位元變成邏輯0。當PG_CLK的週期增加時,控制字元的調整速度變慢(因具有較低的頻率)。 In the case of continuous power gating, when the control character PG_CNTRL<16:0> is reduced, the corresponding PG<15:0> is also reduced. When the 11th bit of PG<15:0> becomes 0, the 10th bit of the original PG<15:0> is set to 1, so PG<15:0> becomes 0000000000100000b. Since PGT<15:0> is integrated in <19:0>, it is used to adjust during power gating. PG_TIME<19:0>, so both DTIME<19:0> and PG_TIME<19:0> will be adjusted. Since the next larger period is selected, the value PGT<15:0> is increased to twice the period of PG_CLK. Thus, the period of PG_CLK is doubled, so each lower bit of PG<15:0> becomes a logic 0. As the period of PG_CLK increases, the adjustment speed of the control character becomes slower (because of the lower frequency).

如上所述,為了調整PG_CLK的週期,可定義任意數量(0或更多)的臨界電壓(如PG_VREF<1:N>)。如圖所示,PG_REF<1>所表示的臨界電壓還不夠接近臨界電壓PG_VREF<2>所表示的最終電壓位準。在進行電源閘控時,若已達較大的臨界電壓時,HIER會變成低位準,而HIERB變成高位準。因此,多工器1603選擇輸入端1的信號,即多工器1601的輸出信號。若PG_FU_HIERB為低位準(預設值),數值<*2>VSS0:PGT<15:0>:<*2>VSS0會被提供予多工器1603,而不是數值DTIME<19:0>。這個新數值表示PG_TIME<19:0>的PGT<15:0>的數值需進行兩次的左移,也就是把PG_CLK的週期乘上係數4。在持續電源閘控時,除了正常的單一位移外,還需要此額外的兩次位移。 As described above, in order to adjust the period of PG_CLK, any number (0 or more) of threshold voltages (such as PG_VREF<1:N>) can be defined. As shown, the threshold voltage represented by PG_REF<1> is not close enough to the final voltage level indicated by the threshold voltage PG_VREF<2>. When power gating is performed, if a large threshold voltage has been reached, HIER will become a low level and HIERB will become a high level. Therefore, the multiplexer 1603 selects the signal of the input terminal 1, that is, the output signal of the multiplexer 1601. If PG_FU_HIERB is low (preset value), the value <*2>VSS0:PGT<15:0>:<*2>VSS0 will be supplied to multiplexer 1603 instead of the value DTIME<19:0>. This new value indicates that the value of PGT<15:0> of PG_TIME<19:0> needs to be shifted to the left twice, that is, the period of PG_CLK is multiplied by a factor of 4. In addition to the normal single displacement, this additional two displacements are required during continuous power gating.

如果PG_FU_HIERB被觸發成高位準時,當HIERB變成高位準時,多工器1601選擇係數<*3>VSS0:PGT<15:0>:VSS0,用以表示一額外的單一左移,其係將PG_CLK的週期乘上係數2,而不是係數4。因此,數值PG_FU_HIERB允許稍稍增加電源閘控的週期。 If PG_FU_HIERB is triggered to a high level, when HIERB becomes high, multiplexer 1601 selects the coefficient <*3>VSS0:PGT<15:0>:VSS0 to indicate an additional single left shift, which is PG_CLK. The period is multiplied by a factor of 2 instead of a factor of four. Therefore, the value PG_FU_HIERB allows a slight increase in the period of the power gating.

直到達到最終位準,否則在局部的電壓閘控中,為了調整PG_CLK,可只使用單一臨界電壓值,但在其它實施 例中,可使用其它數量的臨界電壓PG_VREF<1:N>,用以根據相對應的比較信號CMP3~CMPN所定義出的臨界電壓,進行任何可程式數量的時脈調整。在考慮到額外臨界電壓以及相對應的時脈週期調整時,可更改第16A及16B圖的多工器結構。 Until the final level is reached, otherwise in the local voltage gating, in order to adjust PG_CLK, only a single threshold voltage value can be used, but in other implementations In the example, other threshold voltages PG_VREF<1:N> may be used to perform any programmable number of clock adjustments according to the threshold voltage defined by the corresponding comparison signals CMP3~CMPN. The multiplexer structure of Figures 16A and 16B can be modified taking into account the additional threshold voltage and the corresponding clock cycle adjustment.

時脈位移器1203根據位元PG<15:6>的數值以及位元PG_FU_ENT<10:5>的設定數值,額外調整PG_CLK的週期。位元PG_FU_ENT<10:5>的數值用以觸發數值FIVE~TEN的至少一者,每一數值使PG數值進行相對應的位移,用以調整信號PG_CLK的週期。在每一實施例中,當位元PG<15:0>的數值到達一相對應數值時,可根據時間數值對位元PG<15:0>的數值進行位移,用以將PG_CLK的週期乘上兩個係數。舉例而言,在電源閘控下,並且信號RESUMEB也被觸發成高位準時,數值TEN被觸發成高位準,故多工器1605選擇數值PG2T<18:0>:VDD0,而不是數值PG2T<19:0>。將數值PG2T<19:0>往左移,並在數值PG2T<19:0>的最右側補上邏輯1(VDD0),用以構成數值PG2T<18:0>:VDD0。其它數值NINE、EIGHT、SEVEN、SIX及FIVE的操作原理也相同,都是在相對應的數值被觸發後,將時脈週期乘上兩係數。如上所述,如果有需要的話,可事先致能數值SIX及SEVEN。在本實施例中,在電源閘控時,根據控制字元PG_CNTRL的相對應數值,位元PG_FU_ENT<15:0>致能數值FIVE~TEN之至少一者,用以調整信號PG_CLK的週期(並且因而增加週期)。 The clock shifter 1203 additionally adjusts the period of the PG_CLK according to the value of the bit PG<15:6> and the set value of the bit PG_FU_ENT<10:5>. The value of the bit PG_FU_ENT<10:5> is used to trigger at least one of the values FIVE~TEN, and each value causes the PG value to be correspondingly shifted to adjust the period of the signal PG_CLK. In each embodiment, when the value of the bit PG<15:0> reaches a corresponding value, the value of the bit PG<15:0> can be shifted according to the time value to multiply the period of the PG_CLK. The upper two coefficients. For example, under power gating, and the signal RESUMEB is also triggered to a high level, the value TEN is triggered to a high level, so the multiplexer 1605 selects the value PG2T<18:0>: VDD0 instead of the value PG2T<19 :0>. The value PG2T<19:0> is shifted to the left, and the logic 1 (VDD0) is added to the rightmost side of the value PG2T<19:0> to form the value PG2T<18:0>: VDD0. The other values NINE, EIGHT, SEVEN, SIX, and FIVE operate in the same principle, multiplying the clock cycle by two coefficients after the corresponding value is triggered. As mentioned above, the values SIX and SEVEN can be enabled in advance if necessary. In this embodiment, at the time of power gating, according to the corresponding value of the control character PG_CNTRL, at least one of the bit values PG_FU_ENT<15:0> enable values FIVE~TEN is used to adjust the period of the signal PG_CLK (and Thus increase the cycle).

如第9A及9B圖所示,在電源閘控操作下,當信號HIGHB被觸發至高位準時,表示已達保留資料或狀態的電壓位 準,因此,在加總數值及差異數值之間動作,使得電壓VDD1維持在保留電壓位準。針對相對應的PG數值的微小變動,控制字PG_CNTRL也只會微小變動。信號PG_CLK的週期維持不變或是維持在兩數值之間。 As shown in Figures 9A and 9B, under the power gating operation, when the signal HIGHB is triggered to a high level, it indicates that the voltage level of the reserved data or state has been reached. Therefore, the action between the total value and the difference value is such that the voltage VDD1 is maintained at the reserved voltage level. The control word PG_CNTRL will only slightly change for a small change in the corresponding PG value. The period of the signal PG_CLK remains unchanged or is maintained between two values.

當信號PGATE1被無效化時,信號RESUME會被觸發,用以開始恢復操作。在恢復操作下,可了解的是,從全電源閘控下回復的時間長短係可被決定的,並且在局部的電源閘控下,從狀態保留位準回復的較差情況(即較長的回復時間)也可被決定。當恢復操作被初始化時,在恢復操作下,實際上的恢復時間係取決於程式化數值以及控制字元的特定數值。若PG_FU_CONST_RES_CLK也被觸發至高位準時,當信號RESUME被觸發時,多工器1617選擇數值<*13>VDD0:PGTIMEB<6:3>:<*3>VDD0,根據PG_FU_RES_PER<1:0>的數值程式化PGTIMEB<6:3>。從數值PGTIMEB<16:3>的左側插入13個邏輯1,並在右側插入3個邏輯1。如上所述,請參考第15圖,根據PG_FU_RES_PER<1:0>的數值,位元PGTIMEB<16:3>只有1個位元被觸發成邏輯0,用以令PG_CLK的週期等於一相對應固定週期。可了解的是,多工器1617反相該數值,使得相對應的邏輯1選擇相對應的時脈週期。 When the signal PGATE1 is deactivated, the signal RESUME is triggered to initiate the recovery operation. Under the recovery operation, it can be understood that the length of time to reply from the full power gate can be determined, and under the local power gating, the worse condition from the state retention level (ie, a longer reply) Time) can also be decided. When the restore operation is initialized, the actual recovery time depends on the stylized value and the specific value of the control character under the recovery operation. If PG_FU_CONST_RES_CLK is also triggered to the high level, when the signal RESUME is triggered, the multiplexer 1617 selects the value <*13>VDD0: PGTIMEB<6:3>:<*3>VDD0, according to the value of PG_FU_RES_PER<1:0> Stylized PGTIMEB<6:3>. Insert 13 logic 1 from the left side of the value PGTIMEB<16:3> and insert 3 logic 1 on the right side. As mentioned above, please refer to Figure 15, according to the value of PG_FU_RES_PER<1:0>, only one bit of the bit PGTIMEB<16:3> is triggered to logic 0, so that the period of PG_CLK is equal to a corresponding fixed cycle. It can be appreciated that the multiplexer 1617 inverts the value such that the corresponding logic 1 selects the corresponding clock cycle.

如果PG_FU_CONST_RES_CLK的初始值為邏輯0時,多工器堆疊的輸出將會被選擇。由於信號RESUMEB為低位準,故每一多工器1603~1615的輸入端0的信號會被選擇,使得數值DTIME<19:0>成為PG_TIME<19:0>。如第14圖所示,由 於信號RESUME為高位準,因此,在恢復操作下,選擇數值<*14>VSS0:PGTLO:PGT<6>:PGT<7>:PGT<8>:PGT<9>,PGTHI為預設時間數值DTIME。在本實施例中,解碼器1420將低位元PGT<5:0>轉換成單一位元PGTLO,以及將高位元PGT<15:10>轉換成單一位元PGTHI。在另一實施例中,數值PGTHI及PGTLO連同剩餘位元PGT<9:6>被插入時間數值。只要位元PGT<5:0>的1位元被觸發時,數值PGTLO為高位準,並且只有在位元PGT<15:10>的1位元被觸發時,數值PGTHI為高位準。因此,當數值PGT<6>、PGT<7>、PGT<8>、PGT<9>及PGTHI被觸發成高位準時,便可選擇一週期予PG_CLK。 If the initial value of PG_FU_CONST_RES_CLK is logic 0, the output of the multiplexer stack will be selected. Since the signal RESUMEB is at a low level, the signal at the input 0 of each multiplexer 1603~1615 is selected such that the value DTIME<19:0> becomes PG_TIME<19:0>. As shown in Figure 14, by The signal RESUME is at a high level. Therefore, under recovery operation, select the value <*14>VSS0:PGTLO:PGT<6>:PGT<7>:PGT<8>:PGT<9>, PGTHI is the preset time value. DTIME. In the present embodiment, the decoder 1420 converts the lower bits PGT<5:0> into a single bit PGTLO, and converts the high bits PGT<15:10> into a single bit PGTHI. In another embodiment, the values PGTHI and PGTLO are inserted into the time value along with the remaining bits PGT<9:6>. As long as 1 bit of the bit PGT<5:0> is triggered, the value PGTLO is high, and the value PGTHI is high only when 1 bit of the bit PGT<15:10> is triggered. Therefore, when the values PGT<6>, PGT<7>, PGT<8>, PGT<9>, and PGTHI are triggered to a high level, a period of PG_CLK can be selected.

在恢復操作中,由於在時間數值裡的PGT的數值會被反相,因此,一開始信號PG_CLK的週期係為一相當小的數值,用以快速地進行頻率調整。在恢復操作下,2輸入-多工器915選擇一加總調整數值,因此,控制字元開始逐漸增加。此時,信號PG_CLK的週期逐漸增加,用以控制電壓VDD1的上升時間。然而,PGT的高位元被合併成單一位元的數值PGTHI,因此,信號PG_CLK會長時間維持在較短的週期中,用以快速地增加電壓VDD1。當電壓VDD1到達操作電壓位準時,信號PG_CLK的頻率會隨著控制字元的增加而減少。在另一實施例中,在快復操作中,當電壓VDD1的上升時間在一適當的範圍內時,控制字元可能會大幅地增加,用以減小信號PG_CLK的週期。一旦控制字元PG_CNTRL<16:0>到達了一特定位準時,例如位元PG_CNTRL<13>或PG_CNTRL<14>係取決於PG_FU_RESUME_STOP的設定,最高有效位元PG16會被觸 發,並且控制字元PG_CNTRL<16:0>會回到初始值,並且停止信號PG_CLK的動作。 In the recovery operation, since the value of the PGT in the time value is inverted, the period of the initial signal PG_CLK is a relatively small value for fast frequency adjustment. Under the recovery operation, the 2-input-multiplexer 915 selects a total adjustment value, and therefore, the control character begins to gradually increase. At this time, the period of the signal PG_CLK is gradually increased to control the rise time of the voltage VDD1. However, the high bits of the PGT are combined into a single bit value PGTHI, so the signal PG_CLK will be maintained in a short period for a long time to rapidly increase the voltage VDD1. When the voltage VDD1 reaches the operating voltage level, the frequency of the signal PG_CLK decreases as the control word increases. In another embodiment, in the fast reset operation, when the rise time of the voltage VDD1 is within an appropriate range, the control word may be greatly increased to reduce the period of the signal PG_CLK. Once the control character PG_CNTRL<16:0> reaches a certain level, for example, the bit PG_CNTRL<13> or PG_CNTRL<14> depends on the setting of PG_FU_RESUME_STOP, the most significant bit PG16 will be touched. The control character PG_CNTRL<16:0> will return to the initial value and stop the action of the signal PG_CLK.

可以了解的是,在另一實施例中,電壓VDD1從資料保留位準增加至正常操作位準的時間可能比電壓VDD1從正常操作位準減少至資料保留位準的時間還快。然而,需控制電壓VDD1的增加,用以確保電壓VDD0不會明顯地受到影響,進而影響微處理器100的其它核心(或電路)的供給電壓。另外,可根據特定結構可程式化地調整電壓VDD1增加的電壓。 It can be appreciated that in another embodiment, the time during which the voltage VDD1 increases from the data retention level to the normal operation level may be faster than the time when the voltage VDD1 decreases from the normal operation level to the data retention level. However, an increase in control voltage VDD1 is required to ensure that voltage VDD0 is not significantly affected, thereby affecting the supply voltage of other cores (or circuits) of microprocessor 100. In addition, the voltage increased by the voltage VDD1 can be programmatically adjusted according to a specific structure.

當PG_CKILL_CORE1被觸發,用以開始全電源閘控操作時,第11圖的反相器1111將信號KILL觸發至高位準,使得OR閘組1123將控制字元PG_CNTRL<16:0>的每一位元上拉至高位準(因此,控制字元PG_CNTRL<16:0>的每一位元被無效化或是不被觸發)。因此,PMOS電晶體502、504、506、508及601均不導通,用以隔離電壓VDD1與VDD0,並將電壓VDD1下拉至地或是VSS0。PG<15:0>被初始化成0000000001111111b(透過邏輯閘1115及1117),因此,PG_CLK的週期為一起始選擇調期。當PG_KILL_CORE1被無效化時,藉由初始化PG及PGT數值,便可觸發RESUME。當PG被初始化時,便可在恢復操作下,初始化控制字元PG_CNTRL<16:0>。如果在恢復操作下,沒有選擇一固定的時脈週期時,當數值PGT被初始化時,可將PG_CLK的頻率設定在一高時脈頻率,用以快速恢復操作。在本實施例中,在恢復操作時,恢復時脈的週期係根據架構,完全地被程式化。雖然全電源閘控可快速地被致能,但必須控制閘控供給電壓回到正常操作位準的增加 量,以避免影響周圍的核心及電路。 When PG_CKILL_CORE1 is triggered to initiate a full power gating operation, the inverter 1111 of FIG. 11 triggers the signal KILL to a high level so that the OR gate group 1123 will control each bit of the character PG_CNTRL<16:0>. The element is pulled up to the high level (hence, each bit of the control character PG_CNTRL<16:0> is invalidated or not triggered). Therefore, the PMOS transistors 502, 504, 506, 508, and 601 are not turned on to isolate the voltages VDD1 and VDD0, and pull the voltage VDD1 to ground or VSS0. PG<15:0> is initialized to 0000000001111111b (through logic gates 1115 and 1117), so the period of PG_CLK is a start selection period. When PG_KILL_CORE1 is invalidated, RESUME can be triggered by initializing the PG and PGT values. When the PG is initialized, the control character PG_CNTRL<16:0> can be initialized under the recovery operation. If a fixed clock cycle is not selected during the recovery operation, when the value PGT is initialized, the frequency of PG_CLK can be set to a high clock frequency for fast recovery operation. In the present embodiment, at the time of the recovery operation, the cycle of the recovery clock is completely programmed according to the architecture. Although full power gating can be enabled quickly, it is necessary to control the increase in the gating supply voltage back to the normal operating level. Amount to avoid affecting the surrounding core and circuit.

PG_CLK的週期係根據許多係數而被程式化,用以在電源閘控或是恢復操作下,控制電壓VDD1的位準變化。一係數就是控制字元本身。舉例而言,數值PGT及PG_TIME<19:0>係根據位元PGT的變化而改變。藉由保險絲或掃描方式…等等,程式化額外的時間位移。另一用以控制週期的係數係為電壓VDD1的位準,如上所述,藉由觸發HIER,用以表示較高的臨界電壓(如切換多工器1601的輸入信號)。利用不同的實現方式或架構,對電壓VDD1的臨界電壓進行額外的調整。 The period of PG_CLK is programmed according to a number of coefficients to control the level change of voltage VDD1 under power gating or recovery operation. A coefficient is the control character itself. For example, the values PGT and PG_TIME<19:0> are changed according to the change of the bit PGT. Stylize additional time shifts by fuse or scan...etc. Another coefficient used to control the period is the level of the voltage VDD1, which is used to indicate a higher threshold voltage (such as the input signal of the switching multiplexer 1601) by triggering HIER as described above. Additional adjustments are made to the threshold voltage of voltage VDD1 using different implementations or architectures.

時脈控制器706產生信號PG_CLK。在本實施例中,時脈控制器706產生多個時脈信號,並且時間解碼器712產生時間數值PG_TIME<19:0>,用以選擇一時脈信號。在另一實施例中,時脈控制器706可能係由一可程式時脈產生器所實現,而時間數值可用以程式化時脈信號的週期。在其它實施例中,可利用時間器或計數器…等等實現時脈控制器706。 Clock controller 706 generates signal PG_CLK. In the present embodiment, the clock controller 706 generates a plurality of clock signals, and the time decoder 712 generates a time value PG_TIME<19:0> for selecting a clock signal. In another embodiment, the clock controller 706 may be implemented by a programmable clock generator, and the time value may be used to program the period of the clock signal. In other embodiments, the clock controller 706 can be implemented with a timer or counter, etc.

在電源閘控或恢復操作下,藉由許多係數程式化控制字元的調整,用以控制電壓VDD1的調整幅度。一係數就是控制字元本身,藉由一可選擇量,位移控制字元,便可控制調整增益。 In the power gating or recovery operation, the adjustment of the control character is programmed by a number of coefficients to control the adjustment range of the voltage VDD1. A coefficient is the control character itself, and the adjustment gain can be controlled by a selectable amount, displacement control character.

上述實施例已呈現根據可程式化的臨界電壓,調整PG_CLK。也可以利用可程式化的臨界電壓進行增益的調整。如第17圖所示,根據一臨界電壓(如比較信號CMP3)進行額外的增益調整。如第17所示,多工器903的輸入端耦接多工器 1701及1703的輸出端。如上所述,多工器903、1701及1703係為16位元的結構,各自具有16個多工器。多工器903根據程式化數值PG_FU_SUB_GN選擇多工器1701或1703的輸出信號。多工器1701根據比較信號CMP3,選擇位移數值SHIFTVAL1或SHIFTVAL2。多工器1703根據比較信號CMP3,選擇位移數值SHIFTVAL3或SHIFTVAL4。每一位移數值均具有16位元,並在電源閘控下,表示控制字元的不同的位移結構,其係相對於不同的增益值。在本實施例中,可額外新增多工器,用以根據任意數量的臨界電壓,利用加總數值及/或差異數值進行增益調整。 The above embodiment has been shown to adjust PG_CLK based on the programmable threshold voltage. The gain can also be adjusted using a programmable threshold voltage. As shown in Fig. 17, additional gain adjustment is performed based on a threshold voltage (e.g., comparison signal CMP3). As shown in the 17th, the input end of the multiplexer 903 is coupled to the multiplexer The output of the 1701 and 1703. As described above, the multiplexers 903, 1701, and 1703 are 16-bit structures each having 16 multiplexers. The multiplexer 903 selects an output signal of the multiplexer 1701 or 1703 based on the stylized value PG_FU_SUB_GN. The multiplexer 1701 selects the displacement value SHIFTVAL1 or SHIFTVAL2 based on the comparison signal CMP3. The multiplexer 1703 selects the displacement value SHIFTVAL3 or SHIFTVAL4 based on the comparison signal CMP3. Each displacement value has 16 bits and, under power gating, represents a different displacement structure of the control character, which is relative to different gain values. In this embodiment, an additional multiplexer may be additionally added to perform gain adjustment by using the total value and/or the difference value according to any number of threshold voltages.

具有資料恢復功能的數位電源閘控的系統及方法係為全可程式化,用以根據電流裝置的觸發狀況,數位化地控制一閘控電壓,如一本地供給電壓,電流裝置可為PMOS、NMOS電晶體…等等,其耦接於兩電壓之間,其中一電壓係為一整合供給電壓。一微處理器具有不同程度的電源閘控,故可靜態地或動態地調整特定的最終電壓位準。此外,可改變電路或核心…等等的特定結構,如整合ECC記憶體…等等。因此,決定最終位準的參考電壓可能被調整或是選擇一不同的參考電壓。實際上的最終電壓位準可能是取決於特定結構及操作模式。具有資料恢復功能的數位電源閘控的系統及方法係完整地可程式化產生任何適合的電壓位準。 The system and method for digital power gating with data recovery function is fully programmable, and digitally controls a gate voltage according to the trigger condition of the current device, such as a local supply voltage, and the current device can be PMOS or NMOS. The transistor, etc., is coupled between two voltages, one of which is an integrated supply voltage. A microprocessor has varying degrees of power gating, so that a particular final voltage level can be adjusted statically or dynamically. In addition, specific structures such as circuits or cores, etc., such as integrated ECC memory, etc., can be changed. Therefore, the reference voltage that determines the final level may be adjusted or a different reference voltage may be selected. The actual final voltage level may depend on the particular structure and mode of operation. The digital power gating system and method with data recovery function is fully programmable to produce any suitable voltage level.

控制字元PG_CNTRL的二進制數值係取決於許多參數,如處理器、溫度以及最終電壓位準。實際上的電壓會被測量,並在一控制迴路中,連續性或週期性地加入或減去一調 整數值,用以調整電壓。具有資料恢復功能的數位電源閘控的系統及方法相似於一類比電壓調節器,不同之處在於上述的系統及方法係數位化地控制,並應用在二進制分散的裝置中,根據最終電壓位準控制二進制裝置。 The binary value of the control character PG_CNTRL is dependent on a number of parameters such as processor, temperature, and final voltage level. The actual voltage will be measured and added or subtracted in a continuous or periodic manner in a control loop. Integer value to adjust the voltage. The system and method for digital power gating with data recovery function is similar to that of a voltage regulator, except that the above system and method coefficients are bit-controlled and applied in a binary decentralized device, according to the final voltage level. Control the binary device.

雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。 Although the present invention has been disclosed in the above preferred embodiments, it is not intended to limit the invention, and any one of ordinary skill in the art can make some modifications and refinements without departing from the spirit and scope of the invention. Therefore, the scope of the invention is defined by the scope of the appended claims.

101‧‧‧核心 101‧‧‧ core

105‧‧‧電源閘系統 105‧‧‧Power Gate System

201‧‧‧電源閘控系統 201‧‧‧Power Gate Control System

PG_GATE_TOP202、PG_GATE_LEFT203、PG_GATE_RIGHT204、PG_GATE_BOTTOM205‧‧‧閘控電路 PG_GATE_TOP202, PG_GATE_LEFT203, PG_GATE_RIGHT204, PG_GATE_BOTTOM205‧‧‧ gate control circuit

206‧‧‧閘控供給匯流排 206‧‧‧Gate control supply bus

VDD0、VDD1、VSS0‧‧‧電壓 VDD0, VDD1, VSS0‧‧‧ voltage

V_DOWN<4:0>‧‧‧信號組 V_DOWN<4:0>‧‧‧Signal Group

PWR_GOOD‧‧‧信號 PWR_GOOD‧‧‧ signal

PG_CNTRL<16:0>‧‧‧控制字元 PG_CNTRL<16:0>‧‧‧ control characters

VDD1_FB、I<16:0>‧‧‧輸入端 VDD1_FB, I<16:0>‧‧‧ input

EESDCLK‧‧‧時脈信號 EESDCLK‧‧‧ clock signal

PG_VREF<1:N>‧‧‧參考電壓組 PG_VREF<1:N>‧‧‧reference voltage group

FSB<3:0>‧‧‧前端匯流排數值 FSB<3:0>‧‧‧ front-end busbar values

PG_KILL_CORE1‧‧‧信號 PG_KILL_CORE1‧‧‧ signal

PGATE1‧‧‧電源閘控信號 PGATE1‧‧‧Power gating signal

PGOOD1‧‧‧電源就緒信號 PGOOD1‧‧‧Power Ready Signal

PG_FU_ADD_GN、PG_FU_SUB_GN、PG_FU_CONST_RES_CLK、PG_FU_RESUME_STOP、PG_FU_HIERB‧‧‧數值 PG_FU_ADD_GN, PG_FU_SUB_GN, PG_FU_CONST_RES_CLK, PG_FU_RESUME_STOP, PG_FU_HIERB‧‧‧ values

PG_FU_ENT<10:5>、PG_FU_RESUME_GN<1:0>、 PG_FU_RES_PER<1:0>‧‧‧數值組 PG_FU_ENT<10:5>, PG_FU_RESUME_GN<1:0>, PG_FU_RES_PER<1:0>‧‧‧value group

PG_CNTRLA<16:0>、PG_CNTRLB<16:0>‧‧‧緩衝結果 PG_CNTRLA<16:0>, PG_CNTRLB<16:0>‧‧‧ buffer results

O<16:0>‧‧‧輸出端 O<16:0>‧‧‧ output

Claims (42)

一種數位電源閘系統,用以控制一閘控供給匯流排的電壓,該閘控供給匯流排耦接一功能電路的一供給電壓輸入端,該功能電路與一整合供給匯流排的電壓有關,該數位電源閘系統包括:複數閘控裝置,每一閘控裝置具有一電流端對以及一控制端,該電流端對耦接該整合供給匯流排及該閘控供給匯流排;一電源閘控系統,控制一數位控制數值,其中該數位控制數值包括複數位元,該數位控制數值的每一位元用以控制該等閘控裝置的至少一控制端,用以致能該等閘控裝置中之一相對應的閘控裝置;以及其中該電源閘控系統連續性地調整該數位控制數值,執行電源閘控,用以降低該閘控供給匯流排的電壓至一狀態保留電壓位準,在保留該功能電路的一數位狀態時,減少漏電流。 A digital power supply gate system for controlling a voltage of a gate control supply busbar, the gate control supply busbar being coupled to a supply voltage input end of a functional circuit, the functional circuit being related to a voltage of an integrated supply busbar, The digital power gate system comprises: a plurality of gate control devices, each gate control device has a current terminal pair and a control terminal, the current terminal pair is coupled to the integrated supply bus bar and the gate control supply bus bar; and a power gate control system Controlling a digital control value, wherein the digital control value comprises a plurality of bits, each bit of the digital control value being used to control at least one control terminal of the gate control device for enabling the gate control device a corresponding gate control device; and wherein the power gate control system continuously adjusts the digital control value, and performs power gating to reduce the voltage of the gate control supply bus to a state retention voltage level, while retaining When the function circuit is in a digital state, the leakage current is reduced. 如申請專利範圍第1項所述之數位電源閘系統,其中該數位控制數值的該等位元係為二進制加權(binary weighted)。 The digital power brake system of claim 1, wherein the bits of the digital control value are binary weighted. 如申請專利範圍第1項所述之數位電源閘系統,其中一電源控制器令該功能電路進入一閒置模式,關閉一功能性時脈並觸發一閘控信號,該功能電路接收該功能性時脈,該閘控信號令該電源閘控系統根據一參考電壓,降低該閘控供給匯流排的電壓至該狀態保留電壓位準。 The digital power gate system of claim 1, wherein a power controller causes the function circuit to enter an idle mode, turning off a functional clock and triggering a gate control signal, the function circuit receiving the functionality The gate control signal causes the power gating system to lower the voltage of the gate control supply bus to the state retention voltage level according to a reference voltage. 如申請專利範圍第1項所述之數位電源閘系統,其中該電源 閘控系統包括一數位調整器,在複數連續調整週期之每一者後,該數位調整器藉由整合一數位調整數值與該數位控制數值,減少該閘控供給匯流排的電壓。 The digital power brake system of claim 1, wherein the power supply The gate control system includes a digital adjuster. After each of the plurality of consecutive adjustment periods, the digital adjuster reduces the voltage of the gate control supply bus by integrating a digital adjustment value and the digital control value. 如申請專利範圍第4項所述之數位電源閘系統,其中該數位調整器週期性地調整該數位調整數值,用以在連續調整週期中,維持一固定增益。 The digital power brake system of claim 4, wherein the digital adjuster periodically adjusts the digital adjustment value to maintain a fixed gain during the continuous adjustment period. 如申請專利範圍第4項所述之數位電源閘系統,其中該數位調整數值係為該數位控制數值的一目前數值的位移結果。 The digital power brake system of claim 4, wherein the digital adjustment value is a displacement result of a current value of the digital control value. 如申請專利範圍第4項所述之數位電源閘系統,其中該數位調整器週期性地調整該數位調整數值的一調整率及一大小,用以避免無法達到該狀態保留電壓位準。 The digital power brake system of claim 4, wherein the digital adjuster periodically adjusts an adjustment rate and a magnitude of the digital adjustment value to avoid failing to reach the state retention voltage level. 如申請專利範圍第4項所述之數位電源閘系統,其中當該閘控供給匯流排的電壓朝該狀態保留電壓位準而減少時,該數位調整器增加該等連續調整週期的持續時間,並且減小該數位調整數值。 The digital power brake system of claim 4, wherein the digital adjuster increases the duration of the continuous adjustment period when the voltage of the gate supply busbar decreases toward the state retention voltage level, And reduce the digital adjustment value. 如申請專利範圍第1項所述之數位電源閘系統,更包括:一電壓比較器,用以比較該閘控供給匯流排的電壓與複數臨界電壓,該等臨界電壓包括一預設臨界電壓,該預設臨界電壓表示該狀態保留電壓位準;以及其中該電源閘控系統包括一時脈控制器,該時脈控制器改變一時脈信號的週期,當該閘控供給匯流排的電壓達到至少一預設電壓位準時,該時脈信號控制調整時間,該預設電壓位準大於該狀態保留電壓位準。 The digital power gate system of claim 1, further comprising: a voltage comparator for comparing the voltage of the gate control supply bus and the complex threshold voltage, wherein the threshold voltage comprises a predetermined threshold voltage, The preset threshold voltage represents the state retention voltage level; and wherein the power gating system includes a clock controller that changes a period of a clock signal when the voltage of the gate supply bus bar reaches at least one When the voltage level is preset, the clock signal controls the adjustment time, and the preset voltage level is greater than the state retention voltage level. 如申請專利範圍第1項所述之數位電源閘系統,其中該電源 閘控系統包括一時脈控制器,該時脈控制器改變一時脈信號的週期,當該數位控制數值等於該預設電壓位準時,該時脈信號控制調整時間。 The digital power brake system of claim 1, wherein the power supply The gate control system includes a clock controller that changes a period of a clock signal. When the digit control value is equal to the preset voltage level, the clock signal controls the adjustment time. 如申請專利範圍第1項所述之數位電源閘系統,其中該電源閘控系統包括一增量調整器,該增量調整器從該數位控制數值的複數不同位移結果中選擇一者,用以作為該數位調整數值,並且當該數位控制數值到達該預設數值時,該增量調整器從該等不同位移結果中選擇另一者作為該數位調整數值。 The digital power gate system of claim 1, wherein the power gate control system comprises an incremental adjuster, wherein the incremental adjuster selects one of a plurality of different displacement results of the digital control value for As the digit adjustment value, and when the digit control value reaches the preset value, the increment adjuster selects the other one of the different displacement results as the digit adjustment value. 如申請專利範圍第1項所述之數位電源閘系統,更包括:一電壓比較器,用以比較該閘控供給匯流排的電壓與複數臨界電壓,該等臨界電壓具有一預設臨界電壓,該預設臨界電壓係表示該狀態保留電壓位準;以及其中該電源閘控系統包括一增量調整器,該增量調整器從該數位控制數值的複數不同位移結果中選擇一者,用以作為該數位調整數值,並且當閘控供給匯流排的電壓達該預設電壓位準時,該增量調整器從該等不同位移結果中選擇另一者作為該數位調整數值,該預設電壓位準大於該狀態保留電壓位準。 The digital power gate system of claim 1, further comprising: a voltage comparator for comparing a voltage of the gate control supply bus and a complex threshold voltage, the threshold voltage having a predetermined threshold voltage, The preset threshold voltage is indicative of the state retention voltage level; and wherein the power gating system includes an incremental regulator that selects one of a plurality of different displacement results of the digital control value for As the digit adjustment value, and when the voltage of the gate control supply bus bar reaches the preset voltage level, the incremental regulator selects the other one of the different displacement results as the digit adjustment value, the preset voltage bit It is more than this state to retain the voltage level. 如申請專利範圍第1項所述之數位電源閘系統,其中該電源閘控系統週期性地調整該數位調整數值的一調整率及一大小之至少一者,使得該閘控供給匯流排的電壓跟隨一預設電壓曲線。 The digital power brake system of claim 1, wherein the power gating system periodically adjusts at least one of an adjustment rate and a magnitude of the digital adjustment value, so that the gate is supplied with a voltage of the bus bar. Follow a preset voltage curve. 一種積體電路,包括: 一整合供給匯流排;至少一閘控供給匯流排;至少一功能方塊,每一功能方塊具有一電壓供給輸入端,該電壓供給輸入端耦接相對應的閘控供給匯流排;以及至少一數位電源閘系統,每一電源閘控系統被提供予相對應的功能方塊,並包括:複數閘控裝置,每一閘控裝置具有一電流端對以及一控制端,該電流端對耦接該整合供給匯流排及該狀態保留電壓位準;一電源閘控系統,控制一數位控制數值,其中該數位控制數值包括複數位元,該數位控制數值的每一位元控制該等閘控裝置的至少一控制端,用以啟動相對應的閘控裝置;以及其中該電源閘控系統藉由連續調整該數位控制數值,以進行電源閘控,用以將相對應的閘控供給匯流排的電壓減少至一狀態保留電壓位準,在維持該功能方塊的一數位狀態時,減少漏電流。 An integrated circuit comprising: An integrated supply bus; at least one gate is supplied to the bus; at least one functional block, each functional block has a voltage supply input coupled to the corresponding gate supply bus; and at least one digit The power gate system, each power gate control system is provided to a corresponding function block, and includes: a plurality of gate control devices, each gate control device has a current terminal pair and a control terminal, and the current terminal pair is coupled to the integration Supplying a bus bar and the state retaining voltage level; a power gating system controlling a digital control value, wherein the digital control value comprises a complex bit, each bit of the digital control value controlling at least one of the gating devices a control terminal for activating the corresponding gate device; and wherein the power gating system continuously adjusts the digital control value for power gating to reduce the voltage of the corresponding gating supply bus The state of the voltage is preserved to a state, and the leakage current is reduced while maintaining a digital state of the functional block. 如申請專利範圍第14項所述之積體電路,其中該等閘控裝置之每一者均包括一PMOS電晶體。 The integrated circuit of claim 14, wherein each of the gate devices comprises a PMOS transistor. 如申請專利範圍第14項所述之積體電路,其中該數位控制數值的第一位元控制該等閘控裝置之一部分,該等閘控裝置之其它部分係由該數位控制數值的其它位元所控制。 The integrated circuit of claim 14, wherein the first bit of the digital control value controls one of the gate devices, and the other portions of the gate control device are other bits of the digital control value. Controlled by the yuan. 如申請專利範圍第14項所述之積體電路,更包括:一電源控制器,令該相對應功能方塊進行一閒置模式,並 以令該電源閘控系統將該閘控供給匯流排的電壓減少至該狀態保留電壓位準。 The integrated circuit of claim 14, further comprising: a power controller, wherein the corresponding function block is in an idle mode, and So that the power gating system reduces the voltage of the gating control busbar to the state retention voltage level. 如申請專利範圍第14項所述之積體電路,更包括:一限制電路,用以將該數位控制數值限制在一預設最小數位數值。 The integrated circuit of claim 14, further comprising: a limiting circuit for limiting the digital control value to a predetermined minimum digital value. 如申請專利範圍第14項所述之積體電路,更包括:該功能方塊包括複數功能方塊;該閘控供給匯流排包括複數閘控供給匯流排,該等閘控供給匯流排之每一者提供一閘控供給電壓予該等功能方塊中之一相對應功能方塊;該數位電源閘系統包括複數數位電源閘系統,該等數位電源閘系統之每一者對該等功能方塊中之一相對應功能方塊進行電源閘控;以及一電源控制器,觸發複數閘控信號中一相對應閘控信號,用以對該等功能方塊之任一者進行電源閘控操作的初始化。 The integrated circuit of claim 14, further comprising: the function block includes a plurality of functional blocks; the gate control supply bus includes a plurality of gate control supply bus bars, and each of the gate control supply bus bars Providing a gated supply voltage to one of the functional blocks of the functional blocks; the digital power gate system includes a plurality of digital power gate systems, each of the digital power gate systems being one of the functional blocks Corresponding function block performs power gating; and a power controller triggers a corresponding gating signal in the plurality of gating signals for initializing the power gating operation of any of the functional blocks. 如申請專利範圍第19項所述之積體電路,其中該等功能方塊包括複數處理器核心,該等處理器核心係設置於一多處理器系統中。 The integrated circuit of claim 19, wherein the functional blocks comprise a plurality of processor cores, the processor cores being disposed in a multiprocessor system. 如申請專利範圍第14項所述之積體電路,其中該電源控制系統包括:一方塊控制器,提供一時脈信號,用以控制該數位控制數值的連續調整的調整率,並且當該相對應的閘控供給流排的電壓到達複數臨界電壓之每一者時,該方塊調整器調整 該時脈信號的週期;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並且產生並調整該數位控制數值,用以執行該電源閘控。 The integrated circuit of claim 14, wherein the power control system comprises: a block controller that provides a clock signal for controlling a continuously adjusted adjustment rate of the digital control value, and when the corresponding The block adjuster adjusts when the voltage of the gated supply flow line reaches each of the complex threshold voltages a period of the clock signal; and a power gate controller receiving a power gating signal and the clock signal, and generating and adjusting the digital control value for performing the power gating. 如申請專利範圍第14項所述之積體電路,其中該電源閘控系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值的連續調整的調整率,並在電源閘控時,並且該數位控制數值達該數位控制數值的至少一預設數值時,調整該時脈信號的週期;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控。 The integrated circuit of claim 14, wherein the power gating system comprises: a clock controller that provides a clock signal for controlling the continuous adjustment of the digital control value and is at the power gate Controlling the time, and adjusting the period of the clock signal when the digital control value reaches at least a preset value of the digital control value; and a power gate controller receiving a power gating signal and the clock signal, and generating And adjusting the digital control value to perform the power gating. 如申請專利範圍第14項所述之積體電路,其中該電源閘控系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值的連續調整的調整率,並在電源閘控時,以及該數位控制數值達複數程式化數值的每一者時,調整該時脈信號的週期;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控。 The integrated circuit of claim 14, wherein the power gating system comprises: a clock controller that provides a clock signal for controlling the continuous adjustment of the digital control value and is at the power gate The timing of the clock signal is adjusted when the digital control value reaches each of the complex programmed values; and a power gate controller receives a power gate signal and the clock signal, and generates and adjusts The digital control value is used to perform the power gating. 如申請專利範圍第14項所述之積體電路,其中該電源閘控系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值的連續調整的調整率,並包括:一解碼器,將該數位控制數值轉換成一時間控制數值;以 及一選擇邏輯,在該電源閘控下,利用該時間控制數值,判斷該時脈信號的週期;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控。 The integrated circuit of claim 14, wherein the power gating system comprises: a clock controller that provides a clock signal for controlling the adjustment rate of the continuous adjustment of the digital control value, and includes: a decoder that converts the digital control value into a time control value; And a selection logic, wherein the time control value is used to determine the period of the clock signal under the power gating; and a power gate controller receives a power gating signal and the clock signal, and generates and adjusts the The digital control value is used to perform the power gating. 如申請專利範圍第24項所述之積體電路,其中該解碼器包括一單熱點解碼器,調整該時間控制數值,用以包示該數位控制數值的一最高位元,該最高位元被觸發成一關閉狀態,其中在該電源閘控時,該時脈信號的週期係根據該時間控制數值的每一次改變而增加。 The integrated circuit of claim 24, wherein the decoder comprises a single hotspot decoder, and the time control value is adjusted to represent a highest bit of the digital control value, the highest bit being The triggering is in an off state, wherein during the power gating, the period of the clock signal is increased according to each change of the time control value. 如申請專利範圍第25項所述之積體電路,其中當該相對應的閘控供給匯流排的電壓小於一高臨界電壓時,該選擇邏輯選擇該時間控制數值的一數位位移結果,該高臨界電壓大於該狀態保留電壓位準。 The integrated circuit of claim 25, wherein when the voltage of the corresponding gate supply bus is less than a high threshold voltage, the selection logic selects a digital displacement result of the time control value, the height The threshold voltage is greater than the state retention voltage level. 如申請專利範圍第24項所述之積體電路,其中該時脈控制器更包括:一時脈位移器,將該數位控制數值的一部分轉換成複數週期調整數值;以及一時脈週期選擇器,在該電源閘控下,該數位控制數值被調整,並且當該等週期調整數值的任一者變成一特定位準時,調整該時脈信號的週期。 The integrated circuit of claim 24, wherein the clock controller further comprises: a clock shifter that converts a portion of the digital control value into a complex period adjustment value; and a clock cycle selector, Under the power gating, the digital control value is adjusted, and the period of the clock signal is adjusted when any of the periodic adjustment values becomes a specific level. 如申請專利範圍第14項所述之積體電路,其中該電源閘控系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值 的連續調整的調整率;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控,其中該電源閘控制器包括:一數位減法器,將該數位控制數值減去一數位調整數值,用以在該電源閘控下,調整該數位控制數值,該數位控制數值同步於該時脈信號;以及其中,該數位調整數值係為該數位控制數值位移後的結果。 The integrated circuit of claim 14, wherein the power gating system comprises: a clock controller that provides a clock signal for controlling the digital control value a continuously adjusted adjustment rate; and a power gate controller that receives a power gating signal and the clock signal, and generates and adjusts the digital control value for performing the power gating control, wherein the power gate controller includes a digital subtractor that subtracts a digital adjustment value for adjusting the digital control value under the power gating, the digital control value being synchronized to the clock signal; and wherein the digital adjustment The numerical value is the result of controlling the numerical displacement of the digit. 如申請專利範圍第14項所述之積體電路,其中該該電源閘控系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值的連續調整的調整率;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控,其中該電源閘控制器包括:一數位減法器,將該數位控制數值減去一數位調整數值,用以在電源閘控下,隨時調整該數位控制數值,該數位控制數值同步於該時脈信號;一選擇邏輯,從該數位調整數值的複數不同的位移結果中進行選擇;以及一增益邏輯,當該數位控制數值等於至少一預設數值時,令該選擇邏輯從該數位調整數值的該等不同的位移結果中選擇一者。 The integrated circuit of claim 14, wherein the power gating system comprises: a clock controller, providing a clock signal for controlling a continuously adjusted adjustment rate of the digital control value; and a power supply The gate controller receives a power gating signal and the clock signal, and generates and adjusts the digital control value for performing the power gating control, wherein the power gate controller comprises: a digital subtractor, the digital control The value is subtracted from the digital adjustment value for adjusting the digital control value at any time under power gating, the digital control value is synchronized with the clock signal; a selection logic is used to adjust the complex value of the value from the digital position Selecting; and a gain logic that, when the digit control value is equal to at least a predetermined value, causes the selection logic to select one of the different displacement results of the digit adjustment value. 如申請專利範圍第14項所述之積體電路,其中該電源閘控 系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值的連續調整的調整率;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控,其中該電源閘控制器包括:一數位減法器,將該數位控制數值減去一數位調整數值,用以在電源閘控下,隨時調整該數位控制數值,該數位控制數值同步於該時脈信號;一選擇邏輯,從該數位調整數值的複數不同的位移結果中進行選擇;以及一增益邏輯,當該相對應閘控供給匯流排的電壓達複數臨界電壓之每一者時,令該選擇邏輯從該數位調整數值的該等不同的位移結果中選擇一者。 The integrated circuit of claim 14, wherein the power supply is controlled The system includes: a clock controller that provides a clock signal for controlling the adjustment rate of the continuous adjustment of the digital control value; and a power gate controller that receives a power gate control signal and the clock signal, and generates and adjusts The digital control value is used to perform the power gating control, wherein the power gate controller comprises: a digital subtractor, the digit control value is subtracted by a digit adjustment value, and the digit is adjusted at any time under power gating Controlling a value, the digital control value being synchronized to the clock signal; a selection logic selecting from a plurality of different displacement results of the digital adjustment value; and a gain logic, when the corresponding gate is supplied to the bus Each of the plurality of threshold voltages causes the selection logic to select one of the different displacement results of the digit adjustment value. 如申請專利範圍第14項所述之積體電路,其中該電源閘控系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值的連續調整的調整率;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控,其中該電源閘控制器包括:一數位減法器,將該數位控制數值減去一數位差異數值,用以提供一減少數位數值;一數位加法器,相加一數位加總數值及該數位控制數值, 用以提供一增加數位數值;一選擇邏輯,在該減少數位數值與該增加數位數值之間進行選擇,用以提供一選擇數位數值;一暫存器邏輯,接收該選擇數位數值,用以提供一更新數位數值,該更新數位數值同步於該時脈信號;以及其中該數位差異數值及該數位加總數值均包括該數位控制數值的位移結果。 The integrated circuit of claim 14, wherein the power gating system comprises: a clock controller, providing a clock signal for controlling a continuously adjusted adjustment rate of the digital control value; and a power gate The controller receives a power gating signal and the clock signal, and generates and adjusts the digital control value for performing the power gating control, wherein the power gate controller comprises: a digital subtractor, the digital control value Subtracting a digit difference value to provide a reduced digit value; a digit adder adding a digit plus a total value and the digit control value, Providing an increasing digit value; a selection logic for selecting between the decreasing digit value and the increasing digit value for providing a selected digit value; and a register logic for receiving the selected digit value for providing And updating the digital value, the updated digital value is synchronized with the clock signal; and wherein the digital difference value and the digital plus total value both include a displacement result of the digital control value. 如申請專利範圍第14項所述之積體電路,其中該電源閘控系統包括:一時脈控制器,提供一時脈信號,用以控制該數位控數值的連續調整的調整率;以及一電源閘控制器,接收一電源閘控信號以及該時脈信號,並產生及調整該數位控制數值,用以執行該電源閘控,其中該電源閘控制器包括:一數位減法器,將該數位控制數值減去一數位差異數值,用以提供一減少數位數值;一數位加法器,相加一數位加總數值及該數位控制數值,用以提供一增加數位數值;一選擇邏輯,在該減少數位數值與該增加數位數值之間進行選擇,用以提供一選擇數位數值;一暫存器邏輯,接收該選擇數位數值,用以提供一更新數位數值,該更新數位數值同步於該時脈信號;以及一增益選擇器,在複數不同的數位差異數值之間進行選擇,該等數位差異數值之每一者包括該數位控制數值的一 位移結果。 The integrated circuit of claim 14, wherein the power gating system comprises: a clock controller, providing a clock signal for controlling a continuously adjusted adjustment rate of the digital control value; and a power gate The controller receives a power gating signal and the clock signal, and generates and adjusts the digital control value for performing the power gating control, wherein the power gate controller comprises: a digital subtractor, the digital control value Subtracting a digit difference value to provide a reduced digit value; a digit adder adding a digit plus a total value and the digit control value for providing an increasing digit value; a selection logic at which the digit value is reduced Selecting between the increased digit value to provide a selected digit value; a register logic receiving the selected digit value for providing an updated digit value, the updated digit value being synchronized to the clock signal; a gain selector for selecting between a plurality of different digit difference values, each of the digit difference values including the digit A braking value Displacement results. 一種電源閘控方法,用以提供一供給電壓予一功能方塊,並包括:提供一數位控制數值,該數位控制數值控制複數電流裝置,該等電流裝置耦接在一非閘控供給匯流排與一閘控供給匯流排之間;觸發該數位控制數值,用以觸發該等電流裝置之一部分,使得在一全電流模式下,該閘控供給匯流排的電壓被箝制在該非閘控供給匯流排的電壓;以及接收一閘控信號,並藉由週期性地調整該數位控制數值的大小,用以根據該閘控信號執行電源閘控,直到該閘控供給匯流排的電壓達一狀態保留電壓位準,在保留該功能方塊的一數位狀態時,減少漏電流。 A power gating method for providing a supply voltage to a functional block, and comprising: providing a digital control value, the digital control value controlling a plurality of current devices coupled to a non-gate controlled supply bus and a gate control is provided between the bus bars; triggering the digital control value to trigger a portion of the current devices such that in a full current mode, the voltage of the gate control supply bus is clamped to the non-gate controlled supply bus And receiving a gate control signal, and periodically adjusting the magnitude of the digital control value to perform power gating according to the gate control signal until the voltage of the gate control supply busbar reaches a state retention voltage Level, reducing leakage current while retaining a digital state of the function block. 如申請專利範圍第33項所述之電源閘控方法,更包括:二進制化該數位控制數值的位元。 The power gating method according to claim 33, further comprising: binarizing the bit of the digital control value. 如申請專利範圍第33項所述之電源閘控方法,其中該週期性調整該數位控制數值的大小的步驟包括:週期性地整合該數位控制數值與一數位調整數值。 The power gating method of claim 33, wherein the step of periodically adjusting the magnitude of the digital control value comprises periodically integrating the digital control value with a digital adjustment value. 如申請專利範圍第35項所述之電源閘控方法,更包括:將該數位調整數值作為該數位控制數值的一位移結果。 The power gating method according to claim 35, further comprising: using the digit adjustment value as a displacement result of the digit control value. 如申請專利範圍第35項所述之電源閘控方法,更包括:比較該閘控供給匯流排的電壓與至少一臨界電壓;選擇該數位控制數值的複數位移結果之一者作為一第一數位調整數值;以及 當該閘控供給匯流排達該臨界電壓時,選擇該數位控制數值的該等位移結果之另一者。 The power gating method according to claim 35, further comprising: comparing a voltage of the gating control supply bus and at least one threshold voltage; selecting one of the complex displacement results of the digital control value as a first digit Adjust the value; When the gate control supply reaches the threshold voltage, the other of the displacement results of the digital control value is selected. 如申請專利範圍第35項所述之電源閘控方法,更包括:選擇該數位控制數值之複數位移結果之一者作為一第一數位調整數值;以及當該數位控制數值達至少一預設數值時,選擇該數位控制數值的該等位移結果之另一者。 The power gating method according to claim 35, further comprising: selecting one of the complex displacement results of the digital control value as a first digit adjustment value; and when the digit control value reaches at least a preset value At the time, the other of the displacement results of the digital control value is selected. 如申請專利範圍第35項所述之電源閘控方法,更包括:提供一時脈信號,並以其中該週期性整合的步驟包括:根據該時脈信號的週期,將該數位控制數值與該數位調整數值整合在一比例關係。 The power gating method according to claim 35, further comprising: providing a clock signal, and wherein the step of periodically integrating comprises: selecting the digital control value and the digit according to a period of the clock signal Adjust the values to integrate in a proportional relationship. 如申請專利範圍第39項所述之電源閘控方法,更包括:比較該閘控供給匯流排的電壓與至少一臨界電壓;以及其中提供該時脈信號的步驟包括:提供該時脈信號,該時脈信號具有一初始值週期;當該閘控供給匯流排的電壓達該臨界電壓時,改變該時脈信號的週期。 The power gating method according to claim 39, further comprising: comparing a voltage of the gating control bus and at least one threshold voltage; and the step of providing the clock signal includes: providing the clock signal, The clock signal has an initial value period; when the voltage of the gate supply bus bar reaches the threshold voltage, the period of the clock signal is changed. 如申請專利範圍第39項所述之電源閘控方法,其中提供該時脈信號的步驟包括:提供該時脈信號,該時脈信號具有一初始值週期;當該數位控制數值達至少一預設數值時,改變該時脈信號的週期。 The power gating method of claim 39, wherein the step of providing the clock signal comprises: providing the clock signal, the clock signal having an initial value period; when the digital control value reaches at least one pre- When the value is set, the period of the clock signal is changed. 如申請專利範圍第39項所述之電源閘控方法,更包括:將該數位控制數值的部分位元轉換成複數週期調整數值; 以及當該等週期調整數值之任一者為一特定位準時,改變該時脈信號的週期。 The power gating method according to claim 39, further comprising: converting a partial bit of the digital control value into a complex period adjustment value; And changing the period of the clock signal when any of the periodic adjustment values is a specific level.
TW103119502A 2013-06-05 2014-06-05 Integrated circuit and method with digital power gating TWI523425B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361831544P 2013-06-05 2013-06-05
US201461930356P 2014-01-22 2014-01-22
US14/202,275 US9007122B2 (en) 2013-06-05 2014-03-10 Digital power gating with state retention
US14/202,298 US8963627B2 (en) 2013-06-05 2014-03-10 Digital power gating with controlled resume
US14/202,313 US9450580B2 (en) 2013-06-05 2014-03-10 Digital power gating with programmable control parameter
US14/202,288 US9000834B2 (en) 2013-06-05 2014-03-10 Digital power gating with global voltage shift

Publications (2)

Publication Number Publication Date
TW201448467A true TW201448467A (en) 2014-12-16
TWI523425B TWI523425B (en) 2016-02-21

Family

ID=52707653

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103119502A TWI523425B (en) 2013-06-05 2014-06-05 Integrated circuit and method with digital power gating

Country Status (1)

Country Link
TW (1) TWI523425B (en)

Also Published As

Publication number Publication date
TWI523425B (en) 2016-02-21

Similar Documents

Publication Publication Date Title
US9450580B2 (en) Digital power gating with programmable control parameter
US9007122B2 (en) Digital power gating with state retention
US8476930B2 (en) Level shifter with embedded logic and low minimum voltage
US7245172B2 (en) Level shifter apparatus and method for minimizing duty cycle distortion
US9886994B2 (en) Semiconductor device having CAL latency function
JP2009543267A (en) Integrated circuit having memory for reading / writing operation at low voltage
KR102304087B1 (en) Sram wordline driver supply block with multiple modes
US9000834B2 (en) Digital power gating with global voltage shift
EP2811651B1 (en) Digital power gating with controlled resume
TWI523425B (en) Integrated circuit and method with digital power gating
US20230195191A1 (en) Fast droop detection circuit
US10826467B1 (en) High-accuracy dual-mode free running oscillator
CN103986241A (en) Digital power gating integrated circuit and method
WO2021015968A1 (en) Cross-domain power control circuit
JPH05204503A (en) Data processing system having programmable mode for selecting operation by one of plurality of power supply potential
US10707861B2 (en) Semiconductor apparatus including a power gating circuit
KR100675899B1 (en) Internal voltage generating circuit and internal voltage supplying apparatus
KR100956778B1 (en) Delay apparatus for semiconductor integrated circuit
JP2024514719A (en) Control circuit and semiconductor memory
JP2005085422A (en) Semiconductor device
KR20210136277A (en) Electronic device for controlling clock generation
US7990801B2 (en) Internal write/read pulse generating circuit of a semiconductor memory apparatus
JPH09284100A (en) Register circuit
JPH04245813A (en) Output control circuit for semiconductor device