TW201448115A - Semiconductor device and fabrication method thereof - Google Patents

Semiconductor device and fabrication method thereof Download PDF

Info

Publication number
TW201448115A
TW201448115A TW102120117A TW102120117A TW201448115A TW 201448115 A TW201448115 A TW 201448115A TW 102120117 A TW102120117 A TW 102120117A TW 102120117 A TW102120117 A TW 102120117A TW 201448115 A TW201448115 A TW 201448115A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
interlayer dielectric
semiconductor device
patterned mask
Prior art date
Application number
TW102120117A
Other languages
Chinese (zh)
Other versions
TWI593053B (en
Inventor
Ching-Wen Hung
Chih-Sen Huang
Po-Chao Tsao
Shih-Fang Tzou
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW102120117A priority Critical patent/TWI593053B/en
Publication of TW201448115A publication Critical patent/TW201448115A/en
Application granted granted Critical
Publication of TWI593053B publication Critical patent/TWI593053B/en

Links

Abstract

A method for fabricating a semiconductor device is provided herein and includes the following steps. First, a first interlayer dielectric is formed on a substrate. Then, a gate electrode is formed on the substrate, wherein a periphery of the gate electrode is surrounded by the first interlayer dielectric. Afterwards, a patterned mask layer is formed on the gate electrode, wherein a bottom surface of the patterned mask layer is level with a top surface of the first interlayer dielectric. A second interlayer dielectric is then formed to cover a top surface and each side surface of the patterned mask layer. Finally, a self-aligned contact structure is formed in the first interlayer dielectric and the second interlayer dielectric.

Description

半導體裝置及其製作方法 Semiconductor device and method of fabricating the same

本發明係關於一種半導體裝置及其製作方法,特別是關於一種具有金屬電極以及自對準接觸結構的半導體裝置及其製作方法。 The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a semiconductor device having a metal electrode and a self-aligned contact structure and a method of fabricating the same.

隨著積體電路(IC)積集度不斷提升,積體電路內各半導體元件的特徵尺寸也持續微縮。為了因應半導體元件微縮所引起的各種電性或製程限制,業界也提出了多種解決之道。舉例來說,對於電晶體裝置而言,為了解決傳統多晶矽閘極造成硼穿透(boron penetration)以及空乏效應(depletion effect)的問題,目前業界多採用後閘極(gate last)製程,以具有金屬電極的金屬閘極取代傳統的多晶矽閘極。此外,隨著各閘極結構間的距離逐漸微縮,業界也相對應地提出了自對準形成接觸結構的方法,以因應各閘極結構間空間不足之情形。 As the integrated circuit (IC) accumulates continuously, the feature size of each semiconductor element in the integrated circuit continues to shrink. In order to meet various electrical or process limitations caused by the shrinkage of semiconductor components, the industry has also proposed various solutions. For example, in the case of a transistor device, in order to solve the problem of boron penetration and depletion effect caused by a conventional polysilicon gate, a gate last process is often used in the industry to have The metal gate of the metal electrode replaces the conventional polysilicon gate. In addition, as the distance between the gate structures is gradually reduced, the industry has also proposed a method of self-aligning the contact structure to cope with the shortage of space between the gate structures.

對於同時採用金屬閘極以及自對準接觸結構的電晶體裝置結構而言,為了避免金屬閘極內的金屬電極與自對準接觸結構間產生不必要的電接觸,一般會先形成一遮罩層以覆蓋住金屬閘極內的金屬電極,使得後續形成的自對準接觸結構可受到遮罩層的阻擋而不會與金屬閘極產生不必要的接觸。 For a transistor device structure using both a metal gate and a self-aligned contact structure, in order to avoid unnecessary electrical contact between the metal electrode and the self-aligned contact structure in the metal gate, a mask is generally formed first. The layer covers the metal electrode within the metal gate such that the subsequently formed self-aligned contact structure can be blocked by the mask layer without unnecessarily contacting the metal gate.

然而,上述製備電晶體裝置的製程仍引起諸多問題。由於上述形 成遮罩層的步驟包括依序蝕刻去除部份的金屬電極以留下一溝渠以及填入遮罩層至溝渠內,因此會減縮金屬閘極之高度。已知最終金屬閘極之高度與電晶體裝置的電性密切相關,製造商為了讓最終金屬閘極的高度能維持在預定的數值內,便會相對應地提昇初始虛置閘極(dummy gate)的高度,但是過高的虛置閘極卻會造成諸多製程問題,例如:虛置閘極容易在研磨製程中斷裂、虛置閘極在離子佈植製程中易產生遮蔽效應(shadowing effect)、介電層不易填入各虛置閘極之間及金屬層不易填入閘極溝渠內等問題。此外,由於形成遮罩層的過程中會施行平坦化製程,而使得部份尺寸較大的遮罩層產生凹陷(dishing)之情形。 However, the above-described process for preparing the crystal device still causes many problems. Due to the above shape The step of forming a mask layer includes sequentially etching away portions of the metal electrodes to leave a trench and filling the mask layer into the trench, thereby reducing the height of the metal gate. It is known that the height of the final metal gate is closely related to the electrical properties of the transistor device. In order to maintain the height of the final metal gate within a predetermined value, the manufacturer will correspondingly raise the initial dummy gate (dummy gate). The height, but too high a virtual gate will cause many process problems, for example, the dummy gate is easy to break in the grinding process, and the dummy gate is easy to produce a shadowing effect in the ion implantation process. The dielectric layer is not easy to fill in between the dummy gates and the metal layer is not easily filled into the gate trenches. In addition, since a planarization process is performed in the process of forming the mask layer, a portion of the larger-sized mask layer is dented.

因此,目前業界仍需要一種改良式的金屬閘極以及自對準接觸結構及其製作方法,以有效克服上述缺點。 Therefore, there is still a need in the industry for an improved metal gate and self-aligned contact structure and method of fabricating the same to effectively overcome the above disadvantages.

本發明之一目的係在於提供一種具有金屬閘極以及自對準接觸結構的半導體裝置及其製作方法,以解決習知技術之缺失。 It is an object of the present invention to provide a semiconductor device having a metal gate and a self-aligned contact structure and a method of fabricating the same to address the deficiencies of the prior art.

根據本發明之一較佳實施例,係提供一種半導體裝置之製作方法,其包括下列步驟。首先,形成第一層間介電層於基板上。之後,於基板上形成閘極電極,其中閘極電極的週邊會被第一層間介電層包圍。之後,於閘極電極上形成圖案化遮罩層,其中圖案化遮罩層的底面會切齊第一層間介電層的頂面。繼以形成第二層間介電層,以覆蓋住圖案化遮罩層的頂面和各側面。最後,於第一層間介電層以及第二層間介電層內形成自對準接觸結構。 In accordance with a preferred embodiment of the present invention, a method of fabricating a semiconductor device is provided that includes the following steps. First, a first interlayer dielectric layer is formed on the substrate. Thereafter, a gate electrode is formed on the substrate, wherein the periphery of the gate electrode is surrounded by the first interlayer dielectric layer. Thereafter, a patterned mask layer is formed on the gate electrode, wherein the bottom surface of the patterned mask layer is aligned with the top surface of the first interlayer dielectric layer. A second interlayer dielectric layer is then formed to cover the top surface and sides of the patterned mask layer. Finally, a self-aligned contact structure is formed in the first interlayer dielectric layer and the second interlayer dielectric layer.

根據本發明另一較佳實施例,係提供一種半導體裝置,其包括金屬閘極電極、第一層間介電層、圖案化遮罩層、第二層間介電層以及自對準接觸結構。金屬閘極電極係被設置於基板上,且第一層間介電層會包圍金屬 閘極電極的週邊。圖案化遮罩層係被設置於金屬閘極電極上,且圖案化遮罩層之底面會切齊第一層間介電層之頂面。第二層間介電層,覆蓋圖案化遮罩層的頂面和至少一側壁。自對準接觸結構係被設置於第一層間介電層以及第二層間介電層內內,且電連接基板。 In accordance with another preferred embodiment of the present invention, a semiconductor device is provided that includes a metal gate electrode, a first interlayer dielectric layer, a patterned mask layer, a second interlayer dielectric layer, and a self-aligned contact structure. The metal gate electrode is disposed on the substrate, and the first interlayer dielectric layer surrounds the metal The perimeter of the gate electrode. The patterned mask layer is disposed on the metal gate electrode, and the bottom surface of the patterned mask layer is aligned with the top surface of the first interlayer dielectric layer. a second interlayer dielectric layer covering the top surface of the patterned mask layer and at least one sidewall. The self-aligned contact structure is disposed within the first interlayer dielectric layer and the second interlayer dielectric layer and electrically connected to the substrate.

100‧‧‧基板 100‧‧‧Substrate

110‧‧‧虛置閘極結構 110‧‧‧Virtual gate structure

112‧‧‧犧牲層 112‧‧‧ sacrificial layer

114‧‧‧蓋層 114‧‧‧ cover

120‧‧‧閘極側壁子 120‧‧‧ gate sidewall

130‧‧‧磊晶層 130‧‧‧ epitaxial layer

140‧‧‧蝕刻停止層 140‧‧‧etch stop layer

150‧‧‧第一層間介電層 150‧‧‧First interlayer dielectric layer

210‧‧‧溝渠 210‧‧‧ Ditch

212‧‧‧閘極電極 212‧‧‧gate electrode

214‧‧‧介電層 214‧‧‧ dielectric layer

220‧‧‧遮罩層 220‧‧‧mask layer

220b‧‧‧圖案化遮罩層 220b‧‧‧ patterned mask layer

230‧‧‧介電層 230‧‧‧ dielectric layer

232‧‧‧側壁子 232‧‧‧ Sidewall

232a‧‧‧下層側壁子 232a‧‧‧lower side wall

232b‧‧‧上層側壁子 232b‧‧‧Upper side wall

240‧‧‧第二層間介電層 240‧‧‧Second interlayer dielectric layer

242‧‧‧接觸洞 242‧‧‧Contact hole

243‧‧‧自對準接觸結構 243‧‧‧ Self-aligned contact structure

244‧‧‧金屬矽化物 244‧‧‧Metal Telluride

245‧‧‧阻障層 245‧‧‧Barrier layer

246‧‧‧金屬層 246‧‧‧metal layer

310‧‧‧金屬閘極結構 310‧‧‧Metal gate structure

H1‧‧‧第一高度 H1‧‧‧ first height

H2‧‧‧第二高度 H2‧‧‧second height

H3‧‧‧第三高度 H3‧‧‧ third height

L1‧‧‧第一長度 L1‧‧‧ first length

W1‧‧‧第一寬度 W1‧‧‧ first width

W2‧‧‧第二寬度 W2‧‧‧ second width

W3‧‧‧第三寬度 W3‧‧‧ third width

第1圖至第9圖為本發明第一較佳實施例製作半導體裝置之示意圖,其中:第1圖是半導體裝置在製程初始階段時的示意圖;第2圖是半導體裝置在施行取代金屬閘極製程後的示意圖;第3圖是沉積遮罩層後的示意圖;第4圖是形成圖案化遮罩層後的示意圖;第5圖是沉積介電層後的示意圖;第6圖是形成側壁子後的示意圖;第7圖是形成接觸洞後的示意圖;第8圖及第9圖是形成接觸結構後的示意圖;以及第10圖是本發明第一較佳實施例之一變化型之半導體裝置示意圖;以及第11圖是本發明第一較佳實施例之另一變化型之半導體裝置示意圖。 1 to 9 are schematic views showing a semiconductor device according to a first preferred embodiment of the present invention, wherein: FIG. 1 is a schematic view of a semiconductor device in an initial stage of a process; and FIG. 2 is a semiconductor device in which a metal gate is replaced. Schematic diagram after the process; FIG. 3 is a schematic view after depositing the mask layer; FIG. 4 is a schematic view after forming the patterned mask layer; FIG. 5 is a schematic view after depositing the dielectric layer; FIG. 7 is a schematic view showing a contact hole; FIG. 8 and FIG. 9 are schematic views showing a contact structure; and FIG. 10 is a semiconductor device according to a variation of the first preferred embodiment of the present invention; BRIEF DESCRIPTION OF THE DRAWINGS Fig. 11 is a schematic view showing another variation of the semiconductor device of the first preferred embodiment of the present invention.

第1圖至第9圖是本發明第一較佳實施例製作半導體裝置之示意圖。以下先就製備具有電晶體結構以及接觸結構的半導體裝置的製程步驟加以介紹。首先,請參照第1圖,第1圖是半導體裝置在製程初始階段時的示意圖。如第1圖所示,於此製程階段,基板上可包括堆疊結構、側壁子、磊晶層、 摻雜區、遮蓋層以及介電層。舉例來說,基板100可以是一半導體基底,其表面可以選擇性地具有多個鰭狀突起結構,但不限於此。多個堆疊結構可例如是虛置閘極結構110,其由下至上可包括介質層(圖未示)、犧牲層112以及蓋層114。側壁子可例如是閘極側壁子120,其會被設置於各虛置閘極結構110的側壁上。磊晶層130會被設置於基板100內部或外側,且各自位於虛置閘極結構110的各側,但不限於此。摻雜區(圖未示)可以例如是輕摻雜汲極區及/或源極/汲極區,其會被設置於各虛置閘極結構110的兩側,且可以選擇性地位於基板100內或磊晶層130內,但不限於此。遮蓋層和介電層可以分別是蝕刻停止層140和第一層間介電層150,其係由下至上依序堆疊於基板100之上,其中蝕刻停止層140可順向性地覆蓋住閘極側壁子120、磊晶層130以及蓋層114。 1 to 9 are schematic views showing a semiconductor device fabricated in accordance with a first preferred embodiment of the present invention. The process steps for fabricating a semiconductor device having a transistor structure and a contact structure will be described below. First, please refer to FIG. 1. FIG. 1 is a schematic view of the semiconductor device at the initial stage of the process. As shown in FIG. 1 , in the process stage, the substrate may include a stacked structure, a sidewall, an epitaxial layer, a doped region, a capping layer, and a dielectric layer. For example, the substrate 100 may be a semiconductor substrate whose surface may selectively have a plurality of fin-like structures, but is not limited thereto. The plurality of stacked structures may be, for example, dummy gate structures 110, which may include a dielectric layer (not shown), a sacrificial layer 112, and a cap layer 114 from bottom to top. The sidewalls may be, for example, gate sidewalls 120 that may be disposed on sidewalls of each dummy gate structure 110. The epitaxial layers 130 are disposed inside or outside the substrate 100 and are each located on each side of the dummy gate structure 110, but are not limited thereto. The doped region (not shown) may be, for example, a lightly doped drain region and/or a source/drain region, which may be disposed on both sides of each dummy gate structure 110 and may be selectively located on the substrate. Within 100 or within the epitaxial layer 130, but is not limited thereto. The opaque layer and the dielectric layer may be an etch stop layer 140 and a first interlayer dielectric layer 150, respectively, which are sequentially stacked on the substrate 100 from bottom to top, wherein the etch stop layer 140 can cover the gate compliantly The pole side wall 120, the epitaxial layer 130, and the cap layer 114.

其中,上述的基板100可以選自矽基板、矽鍺基板或絕緣層上覆矽(silicon-on-insulator,SOI)基板等,但不限於此。當基板100具有鰭狀突起結構時,各虛置閘極結構110的底部可包覆住相對應鰭狀突起結構的部份區段。虛置閘極結構110內的介質層(圖未示)、犧牲層112以及蓋層114可以分別對應至氧化層、矽質層以及氮化層,例如分別對應至氧化矽層、多晶矽層以及氮化矽層,但不限於此。閘極側壁子120可以選自氮化矽、碳化矽、氮碳化矽、氮氧化矽或其他合適之半導體化合物。設置於各虛置閘極結構110兩側的磊晶層130可以選自具有或不具有摻質的半導體材料,例如矽鍺、矽磷、矽碳等,其可以提供適當之應力至通道區域,以增進通道區域內載子的遷移率(mobility)。蝕刻停止層140可以選自氮碳化矽、氮氧化矽、氮化矽、碳化矽或其他合適之半導體化合物,其可以施加適當之應力至通道區域及/或作為後續形成接觸結構之蝕刻停止層。第一層間介電層150係選自不具導電性的介電材質,例如氧化矽。 The substrate 100 may be selected from a germanium substrate, a germanium substrate, or a silicon-on-insulator (SOI) substrate, but is not limited thereto. When the substrate 100 has a fin-like structure, the bottom of each dummy gate structure 110 may cover a portion of the corresponding fin-like structure. The dielectric layer (not shown), the sacrificial layer 112, and the cap layer 114 in the dummy gate structure 110 may correspond to an oxide layer, a tantalum layer, and a nitride layer, respectively, for example, corresponding to a hafnium oxide layer, a polysilicon layer, and a nitrogen layer, respectively. The layer of phlegm is not limited to this. The gate sidewalls 120 may be selected from the group consisting of tantalum nitride, tantalum carbide, tantalum carbide, niobium oxynitride or other suitable semiconductor compounds. The epitaxial layer 130 disposed on both sides of each dummy gate structure 110 may be selected from semiconductor materials with or without dopants, such as germanium, antimony, germanium, and the like, which may provide appropriate stress to the channel region. To improve the mobility of the carriers in the channel region. The etch stop layer 140 can be selected from the group consisting of niobium oxynitride, hafnium oxynitride, tantalum nitride, niobium carbide, or other suitable semiconductor compound that can apply appropriate stress to the channel region and/or as an etch stop layer for subsequent formation of the contact structure. The first interlayer dielectric layer 150 is selected from a dielectric material that is not electrically conductive, such as hafnium oxide.

於此製程階段,蓋層114的頂面與基板100的頂面間具有一第一高度H1,而犧牲層112的頂面與基板100的頂面間具有一第二高度H2。其中,第一高度H1約介於1000埃至2000埃之間,較佳為1300埃;而第二高度H2約介於700埃至1200埃之間,較佳為900埃。 In this process stage, the top surface of the cap layer 114 and the top surface of the substrate 100 have a first height H1, and the top surface of the sacrificial layer 112 and the top surface of the substrate 100 have a second height H2. Wherein, the first height H1 is between about 1000 angstroms and 2000 angstroms, preferably 1300 angstroms; and the second height H2 is between about 700 angstroms and 1200 angstroms, preferably 900 angstroms.

接著,進行研磨製程及/或蝕刻製程,例如化學機械研磨製程,以完全移除蓋層114並暴露出犧牲層112的頂面。在此製程中,由於各虛置閘極結構110內的犧牲層112可能會被部份消耗,而使得犧牲層112頂面與基板100頂面間的距離略為減縮。 Next, a polishing process and/or an etching process, such as a chemical mechanical polishing process, is performed to completely remove the cap layer 114 and expose the top surface of the sacrificial layer 112. In this process, since the sacrificial layer 112 in each dummy gate structure 110 may be partially consumed, the distance between the top surface of the sacrificial layer 112 and the top surface of the substrate 100 is slightly reduced.

繼以同時參照第1圖及第2圖,其中第2圖是本發明第一較佳實施例施行取代金屬閘極製程後的半導體裝置示意圖。在暴露出犧牲層112之頂面後,可進行一取代金屬閘極(replacement metal gate,RMG)製程,而形成如第2圖所示之結構。如1圖以及第2圖所示,其製程可包括:移除各虛置閘極結構110內的犧牲層112,以留下溝渠210,並依續將介電層214、功函數層(圖未示)以及導電層填入溝渠210內。之後施行研磨製程,去除位於溝渠210外之介電層214、功函數層(圖未示)以及導電層,直至暴露出層間介電層150。此時,可獲得金屬閘極結構310,且位於各溝渠210內之導電層係作為金屬閘極結構310之閘極電極212。 Referring to FIG. 1 and FIG. 2 simultaneously, FIG. 2 is a schematic view of a semiconductor device after the metal gate process is replaced by the first preferred embodiment of the present invention. After exposing the top surface of the sacrificial layer 112, a replacement metal gate (RMG) process can be performed to form the structure as shown in FIG. As shown in FIG. 1 and FIG. 2, the process may include: removing the sacrificial layer 112 in each dummy gate structure 110 to leave the trench 210, and continuing the dielectric layer 214 and the work function layer (Fig. Not shown) and the conductive layer is filled into the trench 210. Thereafter, a polishing process is performed to remove the dielectric layer 214, the work function layer (not shown), and the conductive layer outside the trench 210 until the interlayer dielectric layer 150 is exposed. At this time, the metal gate structure 310 is obtained, and the conductive layer located in each trench 210 serves as the gate electrode 212 of the metal gate structure 310.

於此階段,閘極電極212之頂面較佳實質上切齊第一層間介電層150之頂面,且閘極電極212之頂面與基板100之頂面間會具有一第三高度H3。由於上述研磨製程除了會去除導電層之外,其也會移除些許的閘極側壁子120、蝕刻停止層140以及第一層間介電層150,因此第三高度H3會約略小於第二高度H2,其差值大約介於50埃至300埃之間,較佳為150埃。此外,上 述閘極電極212的頂面亦可略低於閘極側壁子120、蝕刻停止層140以及第一層間介電層150的頂面,但不限於此。 At this stage, the top surface of the gate electrode 212 is preferably substantially aligned with the top surface of the first interlayer dielectric layer 150, and the top surface of the gate electrode 212 and the top surface of the substrate 100 have a third height. H3. Since the above polishing process removes a small number of gate sidewalls 120, an etch stop layer 140, and a first interlayer dielectric layer 150, in addition to removing the conductive layer, the third height H3 is approximately smaller than the second height. H2, the difference is between about 50 angstroms and 300 angstroms, preferably 150 angstroms. In addition, on The top surface of the gate electrode 212 may also be slightly lower than the gate sidewall 120, the etch stop layer 140, and the top surface of the first interlayer dielectric layer 150, but is not limited thereto.

上述介電層214較佳係為一介電常數大致大於20之高介電常數介電層,例如氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO)、氧化鉭(tantalum oxide,Ta2O5)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicate,ZrSiO4)、鋯酸鉿(hafnium zirconate,HfZrO)、氧化釔(yttrium oxide,Yb2O3)、氧化矽釔(yttrium silicon oxide,YbSiO)、鋁酸鋯(zirconium aluminate,ZrAl)、鋁酸鉿(hafnium aluminate,HfAlO)、氮化鋁(aluminum nitride,AlN)、氧化鈦(titanium oxide,TiO2),氮氧化鋯(zirconium oxynitride,ZrON)、氮氧化鉿(hafnium oxynitride,HfON)、氮氧矽鋯(zirconium silicon oxynitride,ZrSiON)、氮氧矽鉿(hafnium silicon oxynitride,HfSiON)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)或鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST),但不限於此。此外,功函數層可包括氮化鈦(titanium nitride,TiN)、碳化鈦(titanium carbide,TiC)、氮化鉭(tantalum nitride,TaN)、碳化鉭(tantalum carbide,TaC)、碳化鎢(tungsten carbide,WC)或氮化鋁鈦(aluminum titanium nitride,TiAlN),但不限於此。閘極電極212可包括具有優良填充能力與較低阻值的金屬或金屬氧化物,例如鋁(aluminum,Al)、鋁化鈦(titanium aluminide,TiAl)、氧化鋁鈦(titanium aluminum oxide,TiAlO)、鎢(tungsten,W)或銅(copper,Cu),但不限於此。 The dielectric layer 214 is preferably a high dielectric constant dielectric layer having a dielectric constant of substantially greater than 20, such as hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), Hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), lanthanum aluminum oxide (LaAlO), oxidation Tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), zirconium silicate (ZrSiO 4 ), hafnium zirconate (HfZrO), yttrium oxide (yttrium oxide, Yb 2 O 3 ), yttrium silicon oxide (YbSiO), zirconium aluminate (ZrAl), hafnium aluminate (HfAlO), aluminum nitride (AlN), titanium oxide Titanium oxide, TiO 2 ), zirconium oxynitride (ZrON), hafnium oxynitride (HfON), zirconium silicon oxynitride (ZrSiON), hafnium silicon oxynitride (HfSiON) , strontium bismuth tantalate, SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (PbZr x Ti 1-x O 3 , PZT) or barium strontium titanate (Ba x Sr 1-x TiO 3 , BST), but not Limited to this. In addition, the work function layer may include titanium nitride (TiN), titanium carbide (TiC), tantalum nitride (TaN), tantalum carbide (TaC), tungsten carbide (tungsten carbide). , WC) or aluminum titanium nitride (TiAlN), but is not limited thereto. The gate electrode 212 may include a metal or metal oxide having excellent filling ability and lower resistance, such as aluminum (aluminum), titanium aluminide (TiAl), titanium aluminum oxide (TiAlO). , tungsten (tungsten, W) or copper (copper, Cu), but is not limited to this.

此外,由於上述製程係為一後閘極(gate-last)製程搭配後高介電常數介電層(high-k last)製程,因此介電層214和功函數層較佳均會位於各溝渠 210的側壁以及底部。但本實施例不限於此,其亦可適用後閘極製程搭配前高介電常數介電層(high-k first)製程。因此在去除犧牲層前,溝渠210內的基板100上便會被高介電常數介電層覆蓋。在此情況下,高介電常數介電層頂面可選擇性地形成一阻障層(圖未示),用以避免高介電常數介電層連同犧牲層一起被去除。其中,上述阻障層可以是金屬層,例如氮化鈦層。 In addition, since the process is a post-last process with a high-k last process, the dielectric layer 214 and the work function layer are preferably located in each trench. The side walls of the 210 and the bottom. However, the embodiment is not limited thereto, and the post-gate process can also be applied to a high-k first process. Therefore, the substrate 100 in the trench 210 is covered by the high-k dielectric layer before the sacrificial layer is removed. In this case, a barrier layer (not shown) may be selectively formed on the top surface of the high-k dielectric layer to prevent the high-k dielectric layer from being removed together with the sacrificial layer. Wherein, the barrier layer may be a metal layer such as a titanium nitride layer.

請參照第3圖,其中第3圖是本發明第一較佳實施例沉積遮罩層後的半導體裝置示意圖。如第3圖所示,可繼以施行一沉積製程,例如物理氣相沉積製程,以形成一遮罩層220,其厚度大約介於200埃至400埃,較佳為350埃。遮罩層220可以完整覆蓋住閘極電極212、閘極側壁子120、蝕刻停止層140以及第一層間介電層150。較佳而言,上述遮罩層220可以包括氮碳化矽、氮氧化矽、氮化矽或碳化矽等相異於第一層間介電層150之材質,使得彼此間會具有一定的蝕刻選擇比,但不限於此。 Please refer to FIG. 3, wherein FIG. 3 is a schematic view of a semiconductor device after depositing a mask layer according to a first preferred embodiment of the present invention. As shown in FIG. 3, a deposition process, such as a physical vapor deposition process, can be performed to form a mask layer 220 having a thickness of between about 200 angstroms and about 400 angstroms, preferably 350 angstroms. The mask layer 220 may completely cover the gate electrode 212, the gate sidewall spacer 120, the etch stop layer 140, and the first interlayer dielectric layer 150. Preferably, the mask layer 220 may include a material different from the first interlayer dielectric layer 150, such as lanthanum oxynitride, lanthanum oxynitride, tantalum nitride or tantalum carbide, so that there is a certain etching option between each other. Than, but not limited to.

參照第4圖,第4圖是本發明第一較佳實施例形成圖案化遮罩層後的半導體裝置示意圖。接著,依序進行光微影及蝕刻製程,於遮罩層220上形成圖案化層(圖未示),並將圖案化層之圖案轉移至遮罩層220中而形成如第4圖所示之圖案化遮罩層220b。具體來說,圖案化層較佳係具有一多層堆層結構,例如由下至上依序包括有機介電層(organic dielectric layer,ODL)/抗反射層/光阻層的堆層結構,但不限於此。此外,光微影及/或蝕刻製程較佳係包括一雙重圖案化技術(double patterning technology,DPT),但不限於此。 Referring to Figure 4, a fourth embodiment of the present invention is a schematic view of a semiconductor device after forming a patterned mask layer in accordance with a first preferred embodiment of the present invention. Then, a photolithography and etching process is sequentially performed to form a patterned layer (not shown) on the mask layer 220, and the pattern of the patterned layer is transferred to the mask layer 220 to form a pattern as shown in FIG. The patterned mask layer 220b. Specifically, the patterned layer preferably has a multi-layer stack structure, for example, a stack structure including an organic dielectric layer (ODL)/antireflection layer/photoresist layer from bottom to top, but Not limited to this. In addition, the photolithography and/or etching process preferably includes a double patterning technology (DPT), but is not limited thereto.

仍如第4圖所示,圖案化遮罩層220b係位於閘極電極212、閘極側壁子120、蝕刻停止層140以及第一層間介電層150之上,使得閘極電極212及介電層214會完全被圖案化遮罩層220b覆蓋。舉例來說,圖案化遮罩層220b以及其下方之閘極電極212及介電層214會具有一第一寬度W1,使得圖案化遮 罩層220b以及閘極電極212實質上會具有相同的臨界尺寸(critical dimension,CD)。但本發明不限於此,換句話說,圖案化遮罩層之寬度亦可以略大或略小於閘極電極之寬度,且兩者之間亦允許有些許的錯位。 As shown in FIG. 4, the patterned mask layer 220b is located on the gate electrode 212, the gate sidewall 120, the etch stop layer 140, and the first interlayer dielectric layer 150, so that the gate electrode 212 and the gate electrode The electrical layer 214 will be completely covered by the patterned mask layer 220b. For example, the patterned mask layer 220b and the gate electrode 212 and the dielectric layer 214 under it may have a first width W1, such that the patterned mask The cap layer 220b and the gate electrode 212 will have substantially the same critical dimension (CD). However, the invention is not limited thereto, in other words, the width of the patterned mask layer may be slightly larger or slightly smaller than the width of the gate electrode, and a slight misalignment is also allowed between the two.

請參照第5圖以及第6圖,其中第5圖是本發明第一較佳實施例沉積介電層後的示意圖,第6圖是本發明第一較佳實施例形成側壁子後的示意圖。如第5圖所示,可以施行一沉積製程,例如物理氣相沉積製程、化學氣相沉積製程或原子氣相沉積製程,形成一介電層230,以完整覆蓋於閘極電極212、閘極側壁子120、蝕刻停止層140、第一層間介電層150以及圖案化遮罩層220b。其中,介電層230包括氮碳化矽、氮氧化矽、氮化矽或碳化矽等等相異於第一層間介電層150之材質,使得彼此間會具有一定的蝕刻選擇比,但不限於此。接著如第6圖所示,藉由蝕刻介電層230直至暴露出層間介電層150,使得圖案化遮罩層220b的各側壁上形成一側壁子232。較佳而言,各側壁子232會具有一第二寬度W2,且第二寬度W2較佳會小於圖案化遮罩層220b的第一寬度W1。本實施例之一特徵在於圖案化遮罩層220b連同其側壁之側壁子232會完全覆蓋住下方對應之閘極電極212、介電層214及功函數層。換句話說,圖案化遮罩層220b連同其側壁之側壁子232之寬度會大於其下方閘極電極212之寬度。 Referring to FIG. 5 and FIG. 6, FIG. 5 is a schematic view showing a first embodiment of the present invention after depositing a dielectric layer, and FIG. 6 is a schematic view showing a first embodiment of the present invention after forming a sidewall. As shown in FIG. 5, a deposition process, such as a physical vapor deposition process, a chemical vapor deposition process, or an atomic vapor deposition process, may be performed to form a dielectric layer 230 to completely cover the gate electrode 212 and the gate. The sidewall spacer 120, the etch stop layer 140, the first interlayer dielectric layer 150, and the patterned mask layer 220b. The dielectric layer 230 includes a material different from the first interlayer dielectric layer 150, such as lanthanum oxynitride, lanthanum oxynitride, tantalum nitride or tantalum carbide, so that there is a certain etching selectivity ratio between each other, but not Limited to this. Next, as shown in FIG. 6, a sidewall spacer 232 is formed on each sidewall of the patterned mask layer 220b by etching the dielectric layer 230 until the interlayer dielectric layer 150 is exposed. Preferably, each of the side walls 232 has a second width W2, and the second width W2 is preferably smaller than the first width W1 of the patterned mask layer 220b. One feature of this embodiment is that the patterned mask layer 220b along with the sidewalls 232 of its sidewalls completely covers the corresponding gate electrode 212, dielectric layer 214 and work function layer below. In other words, the width of the patterned mask layer 220b along with its sidewall sidewalls 232 may be greater than the width of the lower gate electrode 212.

請參照第7圖,其中第7圖是本發明第一較佳實施例形成接觸洞後的示意圖。在完成上述圖案化遮罩層220b及各側壁子232之後,如第7圖所示,可繼以全面沉積一第二層間介電層240,例如金屬前介電層(pre-metal dielectric,PMD),以完全覆蓋圖案化遮罩層220b、各側壁子232以及第一層間介電層150。其中,第二層間介電層240可例如是氧化矽等相近於第一層間介電層150之材質,使得彼此間會具有相同或相近的蝕刻速率。接著,施行光微影及蝕刻製程, 於第二層間介電層240及第一層間介電層150內形成一接觸洞242,以暴露出位於各閘極電極212間的磊晶層130或基板100。 Please refer to FIG. 7, wherein FIG. 7 is a schematic view of the first preferred embodiment of the present invention after forming a contact hole. After the patterning of the mask layer 220b and the sidewalls 232 are completed, as shown in FIG. 7, a second interlayer dielectric layer 240, such as a pre-metal dielectric (PMD), may be deposited. The patterned mask layer 220b, the sidewall spacers 232, and the first interlayer dielectric layer 150 are completely covered. The second interlayer dielectric layer 240 may be, for example, a material similar to the first interlayer dielectric layer 150 such as ruthenium oxide, so that the same or similar etch rate may be obtained from each other. Then, performing photolithography and etching processes, A contact hole 242 is formed in the second interlayer dielectric layer 240 and the first interlayer dielectric layer 150 to expose the epitaxial layer 130 or the substrate 100 between the gate electrodes 212.

在此需注意的是,圖案化遮罩層220b、側壁子232、閘極側壁子120、蝕刻停止層140、第二層間介電層240以及第一層間介電層150間會具有適當的蝕刻選擇比。更具體來說,在選定的蝕刻劑和蝕刻程式下,圖案化遮罩層220b、側壁子232、閘極側壁子120以及蝕刻停止層140被移除之速率會小於第二層間介電層240以及第一層間介電層150被移除之速率,使得圖案化遮罩層220b、側壁子232、閘極側壁子120以及蝕刻停止層140只會被些許地蝕除。因此,即便光微影製程產生對準誤差(misalignment)的情形,接觸洞242也只會暴露出磊晶層130或基板100,而不會暴露出各閘極電極212。上述之蝕刻劑可選自適合的氣體成份,例如包含C4F6、C5F8、O2、Ar、CO或CH2F2等蝕刻氣體之一或其組合,但不限於此。 It should be noted that the patterned mask layer 220b, the sidewall spacer 232, the gate sidewall spacer 120, the etch stop layer 140, the second interlayer dielectric layer 240, and the first interlayer dielectric layer 150 may have appropriate Etching selection ratio. More specifically, the patterned mask layer 220b, the sidewall spacers 232, the gate sidewall spacers 120, and the etch stop layer 140 are removed at a lower rate than the second interlayer dielectric layer 240 under selected etchants and etch procedures. And the rate at which the first interlayer dielectric layer 150 is removed such that the patterned mask layer 220b, the sidewall spacers 232, the gate sidewall spacers 120, and the etch stop layer 140 are only slightly etched away. Therefore, even if the photolithography process produces misalignment, the contact holes 242 will only expose the epitaxial layer 130 or the substrate 100 without exposing the gate electrodes 212. The etchant described above may be selected from suitable gas components, for example, one or a combination of etching gases including C 4 F 6 , C 5 F 8 , O 2 , Ar, CO or CH 2 F 2 , but is not limited thereto.

請參照第8圖,其中第8圖是本發明第一較佳實施例形成接觸結構後的示意圖。如第8圖所示,進行一自對準矽金屬化製程,以形成一金屬矽化物244於磊晶層130內。之後,進行一自對準接觸結構製程,依序將阻障層245以及金屬層246填入接觸洞242內,而形成一自對準接觸結構243。在此需注意的是,自對準接觸結構243可直接接觸圖案化遮罩層220b、側壁子232、閘極側壁子120、蝕刻停止層140、第二層間介電層240以及第一層間介電層150,並電連接其下方之金屬矽化物244,但不限於此。 Please refer to FIG. 8, which is a schematic view of the first preferred embodiment of the present invention after forming a contact structure. As shown in FIG. 8, a self-aligned germanium metallization process is performed to form a metal germanide 244 in the epitaxial layer 130. Thereafter, a self-aligned contact structure process is performed, and the barrier layer 245 and the metal layer 246 are sequentially filled into the contact holes 242 to form a self-aligned contact structure 243. It should be noted that the self-aligned contact structure 243 can directly contact the patterned mask layer 220b, the sidewall spacer 232, the gate sidewall spacer 120, the etch stop layer 140, the second interlayer dielectric layer 240, and the first interlayer layer. The dielectric layer 150 is electrically connected to the metal halide 244 below it, but is not limited thereto.

其中,上述金屬矽化物244可包括由鎢(W)、鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、鈮(Nb)、鉺(Er)、鉬(Mo)、鈷(Co)、鎳(Ni)、 鉑(Pt)和其合金所組成之群組的矽化物。自對準接觸結構243可選自鎢(W)、鋁(Al)、鈦(Ti)、銅(Cu)、鉬(Mo)、鈷(Co)、鉑(Pt)和其合金所組成之群組。阻障層245包含氮化鈦(TiN)、氮化鉭(TaN)、鈦/氮化鈦(Ti/TiN)或鉭/氮化鉭(Ta/TaN),但不限於此。 Wherein, the metal halide 244 may include tungsten (W), aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), niobium (Nb), europium (Er), molybdenum (Mo), Cobalt (Co), nickel (Ni), A telluride of a group consisting of platinum (Pt) and its alloys. The self-aligned contact structure 243 may be selected from the group consisting of tungsten (W), aluminum (Al), titanium (Ti), copper (Cu), molybdenum (Mo), cobalt (Co), platinum (Pt), and alloys thereof. group. The barrier layer 245 includes titanium nitride (TiN), tantalum nitride (TaN), titanium/titanium nitride (Ti/TiN), or tantalum/tantalum nitride (Ta/TaN), but is not limited thereto.

請參照第9圖,其中第9圖是本發明第一較佳實施例形成接觸結構後的示意圖。第9圖的製程時點以及結構大致對應於第8圖的製程時點以及結構,然而第9圖同時繪示了金屬閘極結構310的短軸以及長軸之剖面結構。如第9圖所示,其左側之金屬閘極結構310具有一類似如第8圖金屬閘極結構310之第一寬度W1,而其右側之金屬閘極結構310具有一尺度大於第一寬度W1之第一長度L1,且第一長度L1較佳係為第一寬度W1的5倍以上。由於本實施例係利用沉積以及蝕刻方式形成圖案化遮罩層220b,而不必要對圖案化遮罩層220b進行研磨,因此第9圖右側金屬閘極結構310上方的圖案化遮罩層220b便不會產生凹陷(dishing)之情形。 Please refer to FIG. 9, wherein FIG. 9 is a schematic view of the first preferred embodiment of the present invention after forming a contact structure. The process time point and structure of FIG. 9 substantially correspond to the process time point and structure of FIG. 8, but FIG. 9 also shows the short axis and long axis cross-sectional structure of the metal gate structure 310. As shown in FIG. 9, the metal gate structure 310 on the left side has a first width W1 similar to that of the metal gate structure 310 of FIG. 8, and the metal gate structure 310 on the right side has a dimension larger than the first width W1. The first length L1 is preferably 5 times or more of the first width W1. Since the patterned mask layer 220b is formed by deposition and etching in this embodiment, it is not necessary to polish the patterned mask layer 220b. Therefore, the patterned mask layer 220b above the metal gate structure 310 on the right side of FIG. 9 is used. There is no case of dishing.

根據上述之第一較佳實施例,係利用沉積以及圖案化方式形成圖案化遮罩層220b,由於在形成圖案化遮罩層220b之過程中及之後均不必要蝕刻去除閘極電極212之上部,也不必要對圖案化遮罩層220b進行研磨,因此可以降低初始虛置閘極結構110至最終金屬閘極結構310高度減損的程度。在此情況下,便可有效降低製程初始階段虛置閘極結構110高度,連帶降低後續溝渠210之高度。因此,便可以避免虛置閘極結構110容易斷裂之情形、避免虛置閘極結構110在離子佈植的過程中時造成遮蔽效應(shadowing effect)、增進層間介電層150填入各虛置閘極結構110間的能力以及增進導電層填入各溝渠210之能力。又,由於不必要蝕刻去除閘極電極212之上部之故, 蝕刻劑便無法經由閘極電極212內的缺陷,例如空穴缺陷(void defect),而蝕刻破壞閘極電極212下方之結構,例如介電層或基板,此亦增加了製程之良率。 According to the first preferred embodiment described above, the patterned mask layer 220b is formed by deposition and patterning, since it is unnecessary to etch and remove the upper portion of the gate electrode 212 during and after the formation of the patterned mask layer 220b. It is also not necessary to grind the patterned mask layer 220b, so that the degree of height loss of the initial dummy gate structure 110 to the final metal gate structure 310 can be reduced. In this case, the height of the dummy gate structure 110 in the initial stage of the process can be effectively reduced, and the height of the subsequent trench 210 is reduced. Therefore, the dummy gate structure 110 can be easily broken, the shadowing effect of the dummy gate structure 110 during the ion implantation process can be avoided, and the interlayer dielectric layer 150 can be filled into the dummy layer. The ability between the gate structures 110 and the ability to enhance the filling of the conductive layers into the trenches 210. Moreover, since it is unnecessary to etch and remove the upper portion of the gate electrode 212, The etchant cannot etch the underlying structure of the gate electrode 212, such as a dielectric layer or substrate, via defects in the gate electrode 212, such as void defects, which also increases the yield of the process.

此外,由於本實施例可選擇性地另於圖案化遮罩層220b的側壁形成側壁子232,即便起始的各虛置閘極結構110有位置偏移、或後續的圖案化遮罩層220b及/或接觸洞242有對位不準之情形發生,其也可以透過側壁子232補足此偏差,而使得圖案化遮罩層220b及其相對應之側壁子232仍可以完全覆蓋住其下方相應之閘極電極212,進而避免自對準接觸結構243與閘極電極212產生不必要的電連接。 In addition, since the present embodiment can selectively form sidewall spacers 232 on the sidewalls of the patterned mask layer 220b, even if the initial dummy gate structures 110 are displaced, or the subsequent patterned mask layer 220b And/or the contact hole 242 has a misalignment situation, which can also complement the deviation through the sidewall 232, so that the patterned mask layer 220b and its corresponding sidewall 232 can still completely cover the corresponding lower side. The gate electrode 212, in turn, avoids unnecessary electrical connections between the self-aligned contact structure 243 and the gate electrode 212.

以下針對本發明第一較佳實施例的變化型作相應的描述。為了簡潔起見,下文僅針對主要差異處加以描述,其餘相同或相似之製程或結構請參照上述之第一較佳實施例。 The variations of the first preferred embodiment of the present invention are described below. For the sake of brevity, the following description is only for the main differences, and the rest of the same or similar processes or structures are referred to the first preferred embodiment described above.

請參照第10圖,第10圖是本發明第一較佳實施例之一變化型之半導體裝置示意圖。本變化型之製程及結構大致類似於上述第一較佳實施例之製程及結構,其主要差別在於本變化型位於圖案化遮罩層220b各側之側壁子係具有一多層堆疊結構,例如由下層側壁子232a和上層側壁子232b所構成之雙層堆疊結構,且下層側壁子232a和上層側壁子232b可對應於氧化層以及氮化層,但不限於此。由於側壁子具有多層堆疊之結構,因此在形成側壁子的過程中便不易過度蝕刻其下方之第一層間介電層150。由於本變化型其他的特徵以及優點均類似於第一較佳實施例,在此便不再贅述。 Please refer to FIG. 10, which is a schematic diagram of a semiconductor device according to a variation of the first preferred embodiment of the present invention. The process and structure of the present variation are substantially similar to the processes and structures of the first preferred embodiment described above, the main difference being that the sidewalls of the variant on each side of the patterned mask layer 220b have a multi-layer stack structure, such as The two-layer stacked structure composed of the lower sidewall 232a and the upper sidewall 232b, and the lower sidewall 232a and the upper sidewall 232b may correspond to the oxide layer and the nitride layer, but is not limited thereto. Since the sidewalls have a multi-layer stacked structure, it is not easy to over-etch the first interlayer dielectric layer 150 underneath the sidewalls during the formation of the sidewalls. Since other features and advantages of the present modification are similar to the first preferred embodiment, no further details are provided herein.

請參照第11,第11圖是本發明第一較佳實施例之另一變化型之半導體裝置示意圖。本變化型之製程及結構大致類似於上述第一較佳實施例之製程及結構,其主要差別在於本變化型圖案化遮罩層220b各側不具有側壁子,因此閘極電極212僅會被圖案化遮罩層220b所覆蓋。在此需注意的是,為了確保在上述對位誤差之情況下仍能完整保護閘極電極212,閘極電極212上方之圖案化遮罩層220b必須具有一較大之寬度,以彌補對位誤差所造成之缺陷。更具體來說,閘極電極212以及圖案化遮罩層220b會分別具有一第一寬度W1以及一第三寬度W3,其中第一寬度W1會小於第三寬度W3。由於本變化型其他的特徵以及優點均類似於第一較佳實施例,在此便不再贅述。 Please refer to FIG. 11, which is a schematic diagram of another variation of the semiconductor device according to the first preferred embodiment of the present invention. The process and structure of the present variation are substantially similar to the processes and structures of the first preferred embodiment described above, the main difference being that the side of the variant patterned mask layer 220b does not have sidewalls, so the gate electrode 212 is only The patterned mask layer 220b is covered. It should be noted here that in order to ensure that the gate electrode 212 can be completely protected even in the case of the above alignment error, the patterned mask layer 220b above the gate electrode 212 must have a large width to compensate for the alignment. Defects caused by errors. More specifically, the gate electrode 212 and the patterned mask layer 220b may have a first width W1 and a third width W3, respectively, wherein the first width W1 may be smaller than the third width W3. Since other features and advantages of the present modification are similar to the first preferred embodiment, no further details are provided herein.

此外,為了簡潔起見,上述第一較佳實施例及其變化型僅以電晶體裝置作為主要之應用標的。然而,本發明不限於此,其精神亦可以被均等地適用於製備其他種類的半導體裝置。舉例來說,上述部份或全部之金屬閘極結構可以被替代為電阻結構、電容結構、電熔絲結構等半導體裝置。換句話說,自對準接觸結構不限於一定要形成於兩相鄰金屬閘極結構之間,其亦可以形成於兩相鄰電阻結構之間或兩相鄰之電阻結構及金屬閘極結構之間,但不限於此。 Moreover, for the sake of brevity, the first preferred embodiment described above and variations thereof have only the optoelectronic device as the primary application. However, the present invention is not limited thereto, and the spirit thereof can be equally applied to the preparation of other kinds of semiconductor devices. For example, some or all of the above metal gate structures may be replaced by semiconductor devices such as a resistive structure, a capacitor structure, and an electric fuse structure. In other words, the self-aligned contact structure is not limited to be formed between two adjacent metal gate structures, and may be formed between two adjacent resistor structures or two adjacent resistor structures and metal gate structures. Between, but not limited to.

綜上所述,根據本發明之實施例,係利用沉積以及圖案化方式於金屬電極上方形成圖案化遮罩層,並選擇性地進一步於圖案化遮罩層之側壁形成側壁子。由於在形成圖案化遮罩層之過程中及之後不必要蝕刻去除金屬電極之上部,也不必要對圖案化遮罩層進行研磨,因此可以降低初始堆疊結構至最終堆疊結構高度減損的程度,也避免了圖案化遮罩層產生凹陷之情形。在此情況下,便可有 效降低製程初始階段堆疊結構高度,連帶降低後續溝渠之高度。因此,可以避免堆疊結構容易斷裂之情形、避免離子佈植時堆疊結構造成的遮蔽效應、增進層間介電層填入各堆疊結構間的能力以及增進導電層填入各溝渠內之能力。此外,也消除了蝕刻劑蝕穿金屬電極內的缺陷而破壞金屬電極下方結構之情形。 In summary, according to an embodiment of the present invention, a patterned mask layer is formed over the metal electrode by deposition and patterning, and a sidewall is selectively formed further on the sidewall of the patterned mask layer. Since it is not necessary to etch and remove the upper portion of the metal electrode during and after the formation of the patterned mask layer, it is not necessary to grind the patterned mask layer, thereby reducing the degree of height reduction of the initial stack structure to the final stack structure, The situation in which the patterned mask layer is recessed is avoided. In this case, there will be The effect is to reduce the height of the stack structure in the initial stage of the process, and reduce the height of the subsequent trench. Therefore, it is possible to avoid the situation that the stacked structure is easily broken, the shielding effect caused by the stacked structure during ion implantation, the ability to fill the interlayer dielectric layer between the stacked structures, and the ability of the conductive layer to be filled into the respective trenches. In addition, the etchant is also etched through the defects in the metal electrode to destroy the structure under the metal electrode.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

100‧‧‧基板 100‧‧‧Substrate

120‧‧‧閘極側壁子 120‧‧‧ gate sidewall

130‧‧‧磊晶層 130‧‧‧ epitaxial layer

140‧‧‧蝕刻停止層 140‧‧‧etch stop layer

150‧‧‧第一層間介電層 150‧‧‧First interlayer dielectric layer

212‧‧‧閘極電極 212‧‧‧gate electrode

214‧‧‧介電層 214‧‧‧ dielectric layer

220b‧‧‧圖案化遮罩層 220b‧‧‧ patterned mask layer

232‧‧‧側壁子 232‧‧‧ Sidewall

240‧‧‧第二層間介電層 240‧‧‧Second interlayer dielectric layer

242‧‧‧接觸洞 242‧‧‧Contact hole

243‧‧‧自對準接觸結構 243‧‧‧ Self-aligned contact structure

244‧‧‧金屬矽化物 244‧‧‧Metal Telluride

245‧‧‧阻障層 245‧‧‧Barrier layer

246‧‧‧金屬層 246‧‧‧metal layer

Claims (21)

一種半導體裝置的製作方法,包括:形成一第一層間介電層於一基板上;形成一閘極電極於該基板上,其中該閘極電極的週邊會被該第一層間介電層包圍;形成一圖案化遮罩層於該閘極電極上,其中該圖案化遮罩層的一底面會切齊該第一層間介電層的一頂面;形成一第二層間介電層,以覆蓋住該圖案化遮罩層的一頂面和各側面;以及形成一自對準接觸結構於該第一層間介電層以及該第二層間介電層內。 A method of fabricating a semiconductor device includes: forming a first interlayer dielectric layer on a substrate; forming a gate electrode on the substrate, wherein a periphery of the gate electrode is formed by the first interlayer dielectric layer Surrounding; forming a patterned mask layer on the gate electrode, wherein a bottom surface of the patterned mask layer is aligned with a top surface of the first interlayer dielectric layer; forming a second interlayer dielectric layer And covering a top surface and each side of the patterned mask layer; and forming a self-aligned contact structure in the first interlayer dielectric layer and the second interlayer dielectric layer. 如請求項第1項所述之半導體裝置的製作方法,另包括:形成一虛置閘極電極於該基板上;移除該虛置閘極電極,以留下一溝槽;形成一閘極電極於該溝槽內;沉積一金屬層,以填滿該溝槽;以及去除部份該金屬層,直至暴露出該第一層間介電層。 The method of fabricating the semiconductor device of claim 1, further comprising: forming a dummy gate electrode on the substrate; removing the dummy gate electrode to leave a trench; forming a gate An electrode is disposed in the trench; a metal layer is deposited to fill the trench; and a portion of the metal layer is removed until the first interlayer dielectric layer is exposed. 如請求項第2項所述之半導體裝置的製作方法,另包括:形成一閘極側壁子於該虛置閘極電極的各側壁;順向性地沉積一蝕刻停止層於各該閘極側壁子之上;以及形成該自對準接觸結構於該第一層間介電層以及該第二層間介電層內,其中該自對準接觸結構會直接接觸該蝕刻停止層。 The method of fabricating the semiconductor device of claim 2, further comprising: forming a gate sidewall on each sidewall of the dummy gate electrode; and sequentially depositing an etch stop layer on each of the gate sidewalls And forming the self-aligned contact structure in the first interlayer dielectric layer and the second interlayer dielectric layer, wherein the self-aligned contact structure directly contacts the etch stop layer. 如請求項第1項所述之半導體裝置的製作方法,其中在沉積該遮罩層之前,該第一層間介電層的該頂面實質上切齊於該閘極電極的該頂面。 The method of fabricating the semiconductor device of claim 1, wherein the top surface of the first interlayer dielectric layer is substantially aligned with the top surface of the gate electrode before depositing the mask layer. 如請求項第1項所述之半導體裝置的製作方法,另包括:沉積一遮罩層,以直接覆蓋該閘極電極的一頂面;以及蝕刻該遮罩層,以形成該圖案化遮罩層。 The method of fabricating the semiconductor device of claim 1, further comprising: depositing a mask layer to directly cover a top surface of the gate electrode; and etching the mask layer to form the patterned mask Floor. 如請求項第1項所述之半導體裝置的製作方法,其中該圖案化遮罩層的寬度實質上會大於該閘極電極的寬度。 The method of fabricating a semiconductor device according to claim 1, wherein the patterned mask layer has a width substantially greater than a width of the gate electrode. 如請求項第1項所述之半導體裝置的製作方法,其中在形成該自對準接觸結構之前,另包含形成一側壁子於該圖案化遮罩層的各該側壁。 The method of fabricating the semiconductor device of claim 1, wherein before forming the self-aligned contact structure, further comprising forming a sidewall on each sidewall of the patterned mask layer. 如請求項第7項所述之半導體裝置的製作方法,其中各該側壁子係具有一由氧化層以及氮化層依序堆疊的結構。 The method of fabricating a semiconductor device according to claim 7, wherein each of the sidewall sub-systems has a structure in which an oxide layer and a nitride layer are sequentially stacked. 如請求項第1項所述之半導體裝置的製作方法,其中形成該側壁子的步驟包括:順向性地沉積一介電層於該圖案化遮罩層之上;以及蝕刻該介電層,以暴露出該第一層間介電層。 The method of fabricating the semiconductor device of claim 1, wherein the forming the sidewall includes: sequentially depositing a dielectric layer over the patterned mask layer; and etching the dielectric layer, To expose the first interlayer dielectric layer. 如請求項第1項所述之半導體裝置的製作方法,其中形成該自對準接觸結構的步驟包括:依序蝕刻該第二層間介電層、該圖案化遮罩層以及該第一層間介電層,以形成一接觸洞,其中該接觸洞會暴露出該基板的部份區域;形成一矽化物於該部份區域;以及形成一金屬層以填滿該接觸洞。 The method of fabricating the semiconductor device of claim 1, wherein the step of forming the self-aligned contact structure comprises: sequentially etching the second interlayer dielectric layer, the patterned mask layer, and the first layer a dielectric layer to form a contact hole, wherein the contact hole exposes a portion of the substrate; a germanide is formed in the portion; and a metal layer is formed to fill the contact hole. 如請求項第1項所述之半導體裝置的製作方法,其中該自對準接觸結構直 接接觸該圖案化遮罩層。 The method of fabricating the semiconductor device of claim 1, wherein the self-aligned contact structure is straight Contact the patterned mask layer. 一種半導體裝置,包括:一金屬閘極電極,設置於一基板上;一第一層間介電層,包圍該金屬閘極電極的週邊;一圖案化遮罩層,設置於該金屬閘極電極上,其中該圖案化遮罩層之一底面切齊該第一層間介電層之一頂面;一第二層間介電層,覆蓋該圖案化遮罩層的一頂面和至少一側壁;以及一自對準接觸結構,設置於該第一層間介電層以及該第二層間介電層內,且電連接該基板。 A semiconductor device comprising: a metal gate electrode disposed on a substrate; a first interlayer dielectric layer surrounding the periphery of the metal gate electrode; and a patterned mask layer disposed on the metal gate electrode The bottom surface of one of the patterned mask layers is aligned with a top surface of the first interlayer dielectric layer; a second interlayer dielectric layer covering a top surface and at least one sidewall of the patterned mask layer And a self-aligned contact structure disposed in the first interlayer dielectric layer and the second interlayer dielectric layer and electrically connected to the substrate. 如請求項第12項所述之半導體裝置,其中該金屬閘極電極的一頂面實質上切齊於該第一層間介電層的該頂面。 The semiconductor device of claim 12, wherein a top surface of the metal gate electrode is substantially aligned with the top surface of the first interlayer dielectric layer. 如請求項第12項所述之半導體裝置,其中該第一層間介電層及該第二層間介電層包括氧化矽。 The semiconductor device of claim 12, wherein the first interlayer dielectric layer and the second interlayer dielectric layer comprise ruthenium oxide. 如請求項第12項所述之半導體裝置,其中該圖案化遮罩層包括氮化矽、氮碳化矽、氮氧化矽或碳化矽。 The semiconductor device of claim 12, wherein the patterned mask layer comprises tantalum nitride, hafnium nitride, niobium oxynitride or tantalum carbide. 如請求項第12項所述之半導體裝置,其中該圖案化遮罩層的寬度實質上會大於該金屬閘極電極的寬度。 The semiconductor device of claim 12, wherein the patterned mask layer has a width substantially greater than a width of the metal gate electrode. 如請求項第12項所述之半導體裝置,另包含一側壁子,設置於該圖案化遮罩層的各側壁。 The semiconductor device of claim 12, further comprising a sidewall disposed on each sidewall of the patterned mask layer. 如請求項第17項所述之半導體裝置,其中各該側壁子包括氮化矽、氮碳 化矽、氮氧化矽或碳化矽。 The semiconductor device of claim 17, wherein each of the sidewalls comprises tantalum nitride, nitrogen carbon Chemical bismuth, bismuth oxynitride or strontium carbide. 如請求項第17項所述之半導體裝置,其中該圖案化遮罩層和各該側壁子會完全覆蓋住該金屬閘極電極。 The semiconductor device of claim 17, wherein the patterned mask layer and each of the sidewalls completely cover the metal gate electrode. 如請求項第12項所述之半導體裝置,另包括:一閘極側壁子,設置於該金屬閘極電極之各側壁上;以及一蝕刻停止層,設置於各該閘極側壁子以及該自對準接觸結構之間。 The semiconductor device of claim 12, further comprising: a gate sidewall disposed on each sidewall of the metal gate electrode; and an etch stop layer disposed on each of the gate sidewalls and the self Align between the contact structures. 如請求項第20項所述之半導體裝置,其中各該閘極側壁子以及各該蝕刻停止層包括氮化矽、氮碳化矽、氮氧化矽或碳化矽。 The semiconductor device of claim 20, wherein each of the gate sidewalls and each of the etch stop layers comprises tantalum nitride, hafnium nitrite, niobium oxynitride or tantalum carbide.
TW102120117A 2013-06-06 2013-06-06 Semiconductor device and fabrication method thereof TWI593053B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102120117A TWI593053B (en) 2013-06-06 2013-06-06 Semiconductor device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102120117A TWI593053B (en) 2013-06-06 2013-06-06 Semiconductor device and fabrication method thereof

Publications (2)

Publication Number Publication Date
TW201448115A true TW201448115A (en) 2014-12-16
TWI593053B TWI593053B (en) 2017-07-21

Family

ID=52707597

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102120117A TWI593053B (en) 2013-06-06 2013-06-06 Semiconductor device and fabrication method thereof

Country Status (1)

Country Link
TW (1) TWI593053B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264350B2 (en) 2020-03-19 2022-03-01 Nanya Technology Corporation Semiconductor device with composite dielectric structure and method for forming the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264350B2 (en) 2020-03-19 2022-03-01 Nanya Technology Corporation Semiconductor device with composite dielectric structure and method for forming the same
TWI763296B (en) * 2020-03-19 2022-05-01 南亞科技股份有限公司 Semiconductor device and method for preparing the same
US11664341B2 (en) 2020-03-19 2023-05-30 Nanya Technology Corporation Method for preparing semiconductor device with composite dielectric structure

Also Published As

Publication number Publication date
TWI593053B (en) 2017-07-21

Similar Documents

Publication Publication Date Title
US9006804B2 (en) Semiconductor device and fabrication method thereof
US10332978B2 (en) Device with reinforced metal gate spacer and method of fabricating
US8669618B2 (en) Manufacturing method for semiconductor device having metal gate
US8673755B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US9117886B2 (en) Method for fabricating a semiconductor device by forming and removing a dummy gate structure
US20140264480A1 (en) Semiconductor device and method of forming the same
CN105826174B (en) Semiconductor device and method for fabricating the same
US20130099307A1 (en) Semiconductor device having metal gate and manufacturing method thereof
US20140349452A1 (en) Method for manufacturing semiconductor devices
US9070710B2 (en) Semiconductor process
US9679813B2 (en) Semiconductor structure and process for forming plug including layer with pulled back sidewall part
US9093465B2 (en) Method of fabricating semiconductor device
US8980701B1 (en) Method of forming semiconductor device
US9543203B1 (en) Method of fabricating a semiconductor structure with a self-aligned contact
TW201707206A (en) Semiconductor device and a fabrication method thereof
CN111554659B (en) Plug structure and manufacturing process thereof
TWI593053B (en) Semiconductor device and fabrication method thereof
TWI533360B (en) Semiconductor device having metal gate and manufacturing method thereof
TW201714277A (en) Semiconductor structure and method of forming the same
US9812327B2 (en) Semiconductor device and method of forming the same
TWI524394B (en) Manufacturing method for semiconductor device having metal gate
US20170062339A1 (en) Semiconductor device and fabrication method thereof
CN109545747B (en) Semiconductor element and manufacturing method thereof
TWI520307B (en) Resistor and manufacturing method thereof
TW201318068A (en) Semiconductor device having metal gate and manufacturing method thereof