TW201448068A - Forming process and structure of bump on TSV bottom - Google Patents

Forming process and structure of bump on TSV bottom Download PDF

Info

Publication number
TW201448068A
TW201448068A TW102119649A TW102119649A TW201448068A TW 201448068 A TW201448068 A TW 201448068A TW 102119649 A TW102119649 A TW 102119649A TW 102119649 A TW102119649 A TW 102119649A TW 201448068 A TW201448068 A TW 201448068A
Authority
TW
Taiwan
Prior art keywords
bump
layer
crucible
perforated
protective layer
Prior art date
Application number
TW102119649A
Other languages
Chinese (zh)
Other versions
TWI514488B (en
Inventor
Chao-Shun Chiu
Yen-Chu Chen
Original Assignee
Powertech Technology Inc
Mocrotech Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Powertech Technology Inc, Mocrotech Technology Inc filed Critical Powertech Technology Inc
Priority to TW102119649A priority Critical patent/TWI514488B/en
Publication of TW201448068A publication Critical patent/TW201448068A/en
Application granted granted Critical
Publication of TWI514488B publication Critical patent/TWI514488B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Disclosed is a forming process of bump on TSV bottom. Embedded in semiconductor material layer of a substrate is at least a TSV conductive pillar which surface is covered by a dielectric liner. Next, the thickness of the semiconductor material layer is reduced from a chip backside to make the bottom portion of the dielectric liner be exposed from the chip backside. A backside passivation is selectively deposited on the chip backside with formed on the bottom of the TSV conductive pillar. Next, the bottom portion of the dielectric liner is selectively etched off. An UBM layer is formed on the backside passivation. Next, a bump is formed on the UBM layer where the interface between the bump and the TSV conductive pillar is centrally lumped toward the bump. Accordingly, the interface between the bump and the TSV conductive pillar has an increased bonding area and an increased metal-to-metal complicated bonding shape.

Description

矽穿孔底部形成凸塊之製程與結構 Process and structure for forming bumps at the bottom of the perforated hole

本發明係有關於半導體裝置之縱向導通結構(VIA connection),特別係有關於一種矽穿孔底部形成凸塊之製程與結構。 The present invention relates to a VIA connection of a semiconductor device, and more particularly to a process and structure for forming a bump at the bottom of a crucible.

矽穿孔(Through Silicon Via)係能應用於先進的半導體晶片接合結構,達到晶片之雙面導通,可進行高堆疊數與小尺寸的立體(3D)晶片對晶片堆疊組合。利用矽穿孔之立體(3D)晶片堆疊技術可維持摩爾定律(Moore's Law)的持續發展,能實現在更小尺寸內具有高速與低功率的積體電路。目前矽穿孔製程依矽穿孔形成之時機主要可區分為三大方案,即前段鑽孔(via-first)、中段鑽孔(via-middle)與後段鑽孔(via-last),其中前段鑽孔製程為矽穿孔形成在積體電路製作之前,中段鑽孔為矽穿孔形成在積體電路製作之後與後通道(back end of line,BEOL)製作之前,後段鑽孔為矽穿孔形成在前通道(FEOL)製程與後通道(BEOL)製程之後。 Through Silicon Via can be applied to advanced semiconductor wafer bonding structures to achieve double-sided conduction of the wafer, enabling high stacking and small size stereo (3D) wafer-to-wafer stacking. The continuous development of Moore's Law can be achieved by using a three-dimensional (3D) wafer stacking technique of 矽 perforation, enabling integrated circuits with high speed and low power in a smaller size. At present, the timing of the formation of perforated perforation is mainly divided into three major schemes, namely, via-first, via-middle and via-last, in which the front section is drilled. The process is formed by the boring of the boring before the formation of the integrated circuit, and the drilling of the middle section is formed by the boring. After the formation of the integrated circuit and before the production of the back end of line (BEOL), the hole in the back is formed by the boring and perforation in the front channel ( FEOL) After the process and back channel (BEOL) process.

此外,微型凸塊亦應製作於晶片之表面,以供立體晶片堆疊之接合。以目前在中段鑽孔製程之後製作微型凸塊之製程為例,如欲將微型凸塊對準於矽穿孔導柱,其標準製程為:晶背研磨、以深反應性離子蝕刻(DRIE)處理晶背方式以露出介電襯裡(dielectric liner)、形成晶背保護層、以化學機械平坦化(CMP)方式移除矽穿孔導柱之突 出端上的介電襯裡與晶背保護層,之後再形成凸塊下金屬層(UBM)與電鍍形成凸塊。其中,晶背保護層同時覆蓋在矽穿孔導柱之突出端,選用DRIE的原因是要讓矽穿孔導柱更加突出於晶背,一般而言突出高度需要控制在5~10微米或更大,這是因為如果突出高度不夠,在化學機械平坦化(CMP)時將一併移除晶背保護層的過多部份,這將導致晶背之絕緣保護不足,同時在化學機械平坦化(CMP)時也會有移除到矽穿孔導柱而產生的銅污染問題。此外,習知在矽穿孔底部形成凸塊之結構中,亦因上述化學機械平坦化方式導致凸塊與矽穿孔導柱之間之金屬對金屬接合介面相當平坦光滑,易有接合力不足造成的凸塊斷裂問題。 In addition, microbumps should also be fabricated on the surface of the wafer for bonding of the stacked wafers. For example, in the process of fabricating microbumps after the middle drilling process, if the microbumps are to be aligned with the tantalum perforated guide posts, the standard process is: crystal back grinding, deep reactive ion etching (DRIE) processing The back mode is to expose a dielectric liner, form a crystal back protective layer, and remove the 矽 perforated pillar by chemical mechanical planarization (CMP) The dielectric lining and the crystal back protective layer on the exit end are then formed into a bump under metal layer (UBM) and plated to form bumps. Wherein, the crystal back protective layer covers the protruding end of the crucible-perforated guide pillar at the same time, and the reason for selecting DRIE is to make the crucible-perforated guide pillar protrude more prominently on the crystal back. Generally, the protruding height needs to be controlled at 5-10 micrometers or more. This is because if the protrusion height is not enough, too many portions of the crystal back protective layer will be removed during chemical mechanical planarization (CMP), which will result in insufficient insulation protection of the crystal back while chemical mechanical planarization (CMP). There is also the problem of copper contamination resulting from the removal of the perforated guide post. In addition, in the structure in which the bump is formed at the bottom of the perforated hole, the metal-to-metal joint interface between the bump and the perforated guide post is relatively flat and smooth due to the above chemical mechanical planarization, and is easily caused by insufficient bonding force. Bump fracture problem.

為了解決上述之問題,本發明之主要目的係在於提供一種矽穿孔底部形成凸塊之製程與結構,可運用於中段鑽孔(via-middle)之後製程,可以增加凸塊與矽穿孔導柱之間之介面接合面積與金屬對金屬之接合形狀複雜度,可簡單化半導體材料層之薄化技術與減少薄化厚度,在薄化以露出介電襯裡之步驟中能以一般蝕刻方式取代習知的DRIE製程,在顯露TSV導柱之步驟中,能以選擇性蝕刻介電襯裡之方式取代習知的CMP表面平坦化製程,以降低銅污染,並可降低晶背保護層與凸塊下金屬層之厚度,藉以達到矽穿孔底部形成凸塊之低成本且高品質之製作。 In order to solve the above problems, the main object of the present invention is to provide a process and structure for forming a bump at the bottom of a perforated hole, which can be applied to a post-middle process, and can increase the bump and the perforated guide post. The interfacial bonding area and the metal-to-metal bonding shape complexity can simplify the thinning technique of the semiconductor material layer and reduce the thinning thickness, and can replace the conventional etching method in the step of thinning to expose the dielectric lining. The DRIE process, in the step of revealing the TSV pillar, can replace the conventional CMP surface planarization process by selectively etching the dielectric liner to reduce copper contamination and reduce the crystal back protective layer and under bump metal The thickness of the layer is used to achieve a low cost and high quality fabrication of the bumps at the bottom of the perforations.

本發明的目的及解決其技術問題是採用以下技術方案來實現的。本發明揭示一種矽穿孔底部形成凸塊之製程,包含以下步驟:首先,提供一基板,係具有一第一表面與一第二表面,該基板之該第一表面係貼附於一晶圓承載系統,該基板之一半導體材料層內係嵌埋有至少一矽穿孔導柱,該矽穿孔導柱之柱壁與柱底係覆 蓋有一介電襯裡。之後,由該第二表面降低該半導體材料層之厚度,以顯露該介電襯裡覆蓋在該矽穿孔導柱之柱底之一底端部位。之後,選擇性沉積一晶背保護層於該第二表面上,該晶背保護層係不形成於該矽穿孔導柱之柱底上。之後,選擇性蝕刻該介電襯裡之該底端部位,而不蝕刻該晶背保護層,以顯露該矽穿孔導柱之柱底。之後,形成一凸塊下金屬層於該晶背保護層上,該凸塊下金屬層係連接至該矽穿孔導柱之柱底。之後,形成一凸塊於該凸塊下金屬層上,該凸塊與該矽穿孔導柱之間之介面係為朝向該凸塊之中間凸起狀。本發明另揭示一種矽穿孔底部形成凸塊之結構。 The object of the present invention and solving the technical problems thereof are achieved by the following technical solutions. The invention discloses a process for forming a bump on a bottom of a boring hole, comprising the steps of: firstly providing a substrate having a first surface and a second surface, the first surface of the substrate being attached to a wafer carrier a system in which one of the semiconductor material layers is embedded with at least one perforated guide post, and the column wall and the column bottom of the crucible perforation guide post are covered The cover has a dielectric lining. Thereafter, the thickness of the layer of semiconductor material is lowered by the second surface to reveal that the dielectric liner covers a bottom end portion of the column bottom of the crucible-perforated pillar. Thereafter, a crystal back protective layer is selectively deposited on the second surface, and the crystal back protective layer is not formed on the pillar bottom of the crucible perforation pillar. Thereafter, the bottom end portion of the dielectric liner is selectively etched without etching the back protective layer to reveal the bottom of the crucible via post. Thereafter, an under bump metal layer is formed on the crystal back protective layer, and the under bump metal layer is connected to the bottom of the crucible via pillar. Thereafter, a bump is formed on the underlying metal layer of the bump, and an interface between the bump and the meandering via pillar is convex toward the middle of the bump. The invention further discloses a structure in which a bump is formed at the bottom of the perforated hole.

本發明的目的及解決其技術問題還可採用以下技術措施進一步實現。 The object of the present invention and solving the technical problems thereof can be further achieved by the following technical measures.

在前述之製程中,上述選擇性蝕刻該介電襯裡之步驟中,該介電襯裡在該矽穿孔導柱之柱壁與該晶背保護層之間係可形成為一凹陷缺口環,並且在形成該凸塊下金屬層之步驟中,該凸塊下金屬層係填入至該凹陷缺口環,藉以增加該凸塊下金屬層與該矽穿孔導柱之金屬接合面積與接合形狀複雜度。 In the foregoing process, in the step of selectively etching the dielectric liner, the dielectric liner may be formed as a depressed notch ring between the pillar wall of the crucible-perforated pillar and the crystal back protective layer, and In the step of forming the underlying metal layer of the bump, the under bump metal layer is filled into the recessed notch ring, thereby increasing the metal bonding area and joint shape complexity of the under bump metal layer and the germanium via pillar.

在前述之製程中,該凹陷缺口環之深度係可不大於該晶背保護層之厚度,可防止該凸塊下金屬層接觸至該半導體材料層。 In the foregoing process, the depth of the recessed notch ring may not be greater than the thickness of the crystal back protective layer, and the under bump metal layer may be prevented from contacting the semiconductor material layer.

在前述之製程中,上述降低該半導體材料層之厚度係可包含一晶背研磨步驟與一選擇性蝕刻步驟,該矽穿孔導柱之柱底係可微突出於該第二表面。 In the foregoing process, the reducing the thickness of the semiconductor material layer may include a crystal back grinding step and a selective etching step, and the pillar bottom of the crucible perforation pillar may protrude slightly from the second surface.

在前述之製程中,該晶背保護層之材質係為有機聚合物,該介電襯裡之材質係可為無機氮化物或無機氧化物。 In the foregoing process, the material of the crystal back protective layer is an organic polymer, and the material of the dielectric lining may be an inorganic nitride or an inorganic oxide.

在前述之製程中,該凸塊下金屬層係可包含有一阻障層與一電鍍種子層。 In the foregoing process, the under bump metal layer may include a barrier layer and a plating seed layer.

在前述之製程中,該凸塊係可包含有一銅柱凸塊與一銲料層。 In the foregoing process, the bump system may include a copper stud bump and a solder layer.

110‧‧‧基板 110‧‧‧Substrate

111‧‧‧第一表面 111‧‧‧ first surface

112‧‧‧第二表面 112‧‧‧ second surface

113‧‧‧半導體材料層 113‧‧‧Semiconductor material layer

114‧‧‧後端製程線路層 114‧‧‧Back-end process circuit layer

120‧‧‧晶圓承載系統 120‧‧‧ Wafer Carrying System

121‧‧‧暫時黏著層 121‧‧‧ Temporary adhesive layer

130‧‧‧矽穿孔導柱 130‧‧‧矽Perforated guide post

131‧‧‧柱底 131‧‧‧ bottom

140‧‧‧介電襯裡 140‧‧‧ dielectric lining

141‧‧‧底端部位 141‧‧‧ bottom part

142‧‧‧凹陷缺口環 142‧‧‧ Sag gap ring

150‧‧‧晶背保護層 150‧‧‧ Crystal back protective layer

160‧‧‧凸塊下金屬層 160‧‧‧ under bump metal layer

161‧‧‧阻障層 161‧‧‧Barrier layer

162‧‧‧電鍍種子層 162‧‧‧Electroplating seed layer

170‧‧‧凸塊 170‧‧‧Bumps

171‧‧‧銅柱凸塊 171‧‧‧ copper pillar bumps

172‧‧‧銲料層 172‧‧‧ solder layer

180‧‧‧光阻 180‧‧‧Light resistance

181‧‧‧凸塊開口 181‧‧‧Bump opening

第1A至1J圖:依據本發明之一具體實施例,一種矽穿孔底部形成凸塊之製程於各步驟中之元件截面示意圖。 1A to 1J are views showing a cross-sectional view of an element in each step of forming a bump at the bottom of the crucible according to an embodiment of the present invention.

以下將配合所附圖示詳細說明本發明之實施例,然應注意的是,該些圖示均為簡化之示意圖,僅以示意方法來說明本發明之基本架構或實施方法,故僅顯示與本案有關之元件與組合關係,圖中所顯示之元件並非以實際實施之數目、形狀、尺寸做等比例繪製,某些尺寸比例與其他相關尺寸比例或已誇張或是簡化處理,以提供更清楚的描述。實際實施之數目、形狀及尺寸比例為一種選置性之設計,詳細之元件佈局可能更為複雜。 The embodiments of the present invention will be described in detail below with reference to the accompanying drawings in which FIG. The components and combinations related to this case, the components shown in the figure are not drawn in proportion to the actual number, shape and size of the actual implementation. Some size ratios are proportional to other related sizes or have been exaggerated or simplified to provide clearer description of. The actual number, shape and size ratio of the implementation is an optional design, and the detailed component layout may be more complicated.

依據本發明之一具體實施例,一種矽穿孔底部形成凸塊之製程舉例說明於第1A至1J圖於各步驟中之元件截面示意圖。該矽穿孔底部形成凸塊之製程包含以下之步驟。 In accordance with an embodiment of the present invention, a process for forming a bump at the bottom of a crucible is illustrated in the cross-sectional views of the elements in the steps of FIGS. 1A to 1J. The process of forming the bumps at the bottom of the crucible includes the following steps.

首先,如第1A圖所示,提供一基板110,係具有一第一表面111與一第二表面112,該基板110之該第一表面111係貼附於一晶圓承載系統120(Wafer Support System,WSS),該基板110之一例如矽之半導體材料層113內係嵌埋有至少一矽穿孔導柱130,該矽穿孔導柱130之柱壁與柱底131係覆蓋有一介電襯裡140。該基板110係 可為一半導體晶圓,已完成中段鑽孔(via-middle)製程與積體電路製作之後通道(back end of line,BEOL)製程,其中該第一表面111係可為一晶圓主動面。在本步驟中,該第二表面112係可為一尚未薄化之晶圓背面,該基板110由該第一表面111至該第二表面112之厚度係可約為775微米,遠大於該矽穿孔導柱130之長度。該矽穿孔導柱130之材質係可為銅或銅合金。該介電襯裡140之材質係可為無機氮化物或無機氧化物,例如氮化矽或二氧化矽。該矽穿孔導柱130之柱底131係朝向該第二表面112。在該矽穿孔導柱130形成之後,該第一表面111上形成有一後端製程線路層114,係電性連接該矽穿孔導柱130。該晶圓承載系統120係以一暫時黏著層121黏附該基板110之該第一表面111,以防止在製程中該基板110之變形。該晶圓承載系統120係可為一玻璃晶圓或一矽晶圓,該暫時黏著層121係可為感光性黏膠,例如照射紫外光之後可失去其黏性,以利於製程後剝離該晶圓承載系統120。而以下步驟包含凸塊170製作完成之後,該基板110皆貼附於該晶圓承載系統120。 First, as shown in FIG. 1A, a substrate 110 is provided having a first surface 111 and a second surface 112. The first surface 111 of the substrate 110 is attached to a wafer carrier system 120 (Wafer Support). The substrate, the semiconductor material layer 113 of the germanium, for example, is embedded with at least one via guide pillar 130. The pillar wall and the pillar bottom 131 of the crucible guide pillar 130 are covered with a dielectric liner 140. . The substrate 110 is The semiconductor wafer can be a via-middle process and a back end of line (BEOL) process, wherein the first surface 111 can be a wafer active surface. In this step, the second surface 112 can be a back surface of the wafer that has not been thinned. The thickness of the substrate 110 from the first surface 111 to the second surface 112 can be about 775 micrometers, which is much larger than the thickness. The length of the perforated guide post 130. The material of the crucible-perforated guide post 130 may be copper or a copper alloy. The material of the dielectric liner 140 may be an inorganic nitride or an inorganic oxide such as tantalum nitride or hafnium oxide. The column bottom 131 of the crucible-perforated guide post 130 faces the second surface 112. After the dowel-perforated pillars 130 are formed, a first back-end process wiring layer 114 is formed on the first surface 111 to electrically connect the crucible-perforated pillars 130. The wafer carrier system 120 adheres the first surface 111 of the substrate 110 with a temporary adhesive layer 121 to prevent deformation of the substrate 110 during the process. The wafer carrier system 120 can be a glass wafer or a wafer. The temporary adhesive layer 121 can be a photosensitive adhesive, for example, after being exposed to ultraviolet light, the viscosity can be lost to facilitate stripping the crystal after the process. The circular carrying system 120. After the following steps include the fabrication of the bumps 170, the substrate 110 is attached to the wafer carrier system 120.

之後,如第1B圖所示,由該第二表面112降低該半導體材料層113之厚度。在本步驟中,上述降低該半導體材料層113之厚度係可包含一晶背研磨(backside grinding)步驟與一選擇性蝕刻步驟。該晶背研磨步驟處理了該半導體材料層113被降低厚度之大部份,但不外露該矽穿孔導柱130及該介電襯裡140。如第1C圖所示,上述選擇性蝕刻步驟係為只蝕刻半導體材料但不蝕刻該介電襯裡140之乾蝕刻或濕蝕刻方式,以乾蝕刻製程為例可採用感應式耦合電漿系統(ICP system,Inductively Coupled plasma system),處理室內通入六氟化硫(SF6)作為電漿反 應氣體,亦可更包含有氧氣、氯氣(Cl2)、氬氣(Ar)、氦氣(He)、溴化氫(HBr)等,處理室頂部電源可在500~3000W,處理室底部電源可在0~1000W;以濕蝕刻製程為例,可選用氫氧化鉀(KOH)基底或是TMAH(Tetramethylammonium hydroxide)基底之半導體蝕刻液。由該第二表面112持續降低該半導體材料層113之厚度,以顯露該介電襯裡140覆蓋在該矽穿孔導柱130之柱底131之一底端部位141,較佳地該步驟中該矽穿孔導柱130之柱底131係微突出於該第二表面112。此步驟中,該基板110由該第一表面111至該第二表面112之厚度係可降低到100微米或更小之程度。而該矽穿孔導柱130之柱底131微突出於該第二表面112之高度係可介於1~5微米,可比習知的DRIE製程要求的5~10微米更低,並且不會有該矽穿孔導柱130與後製程形成之凸塊170接合失敗之風險。 Thereafter, as shown in FIG. 1B, the thickness of the semiconductor material layer 113 is lowered by the second surface 112. In this step, the reducing the thickness of the semiconductor material layer 113 may include a backside grinding step and a selective etching step. The back grinding step handles a substantial portion of the reduced thickness of the semiconductor material layer 113, but does not expose the germanium via pillars 130 and the dielectric liner 140. As shown in FIG. 1C, the selective etching step is a dry etching or wet etching method in which only the semiconductor material is etched but the dielectric liner 140 is not etched, and an inductive coupling plasma system (ICP) can be used as an example of the dry etching process. System,Inductively Coupled plasma system), the treatment room is fed with sulfur hexafluoride (SF6) as a plasma counter Gas, it may also contain oxygen, chlorine (Cl2), argon (Ar), helium (He), hydrogen bromide (HBr), etc., the power supply at the top of the processing chamber can be 500~3000W, the power supply at the bottom of the processing chamber can be In the wet etching process, for example, a semiconductor etchant of a potassium hydroxide (KOH) substrate or a TMAH (Tetramethylammonium hydroxide) substrate may be used. The thickness of the semiconductor material layer 113 is continuously reduced by the second surface 112 to expose the dielectric liner 140 covering a bottom end portion 141 of the column bottom 131 of the crucible perforation pillar 130. Preferably, the crucible is in the step. The column bottom 131 of the perforated guide post 130 protrudes slightly from the second surface 112. In this step, the thickness of the substrate 110 from the first surface 111 to the second surface 112 can be reduced to a level of 100 microns or less. The height of the pillar bottom 131 of the crucible-perforated pillar 130 slightly protruding from the second surface 112 may be between 1 and 5 micrometers, which is lower than the 5-10 micrometer required by the conventional DRIE process, and the There is a risk that the perforated guide post 130 will fail to engage the post 170 formed by the post process.

之後,如第1D圖所示,選擇性沉積一晶背保護層150於該第二表面112上,該晶背保護層150係不形成於該矽穿孔導柱130之柱底131上。在本實施例中,該晶背保護層150之材質係為有機聚合物,而該介電襯裡140之無機材質不同。該晶背保護層150之沉積厚度係可不大於該矽穿孔導柱130之微突出高度。該晶背保護層150僅沉積於該半導體材料層113上而不會沉積於該介電襯裡140,上述選擇性沉積之一具體方法為濕式製程之電子接枝(electrografting)的技術,故該晶背保護層150不會沉積於該介電襯裡140上。 Thereafter, as shown in FIG. 1D, a back protective layer 150 is selectively deposited on the second surface 112, and the back protective layer 150 is not formed on the pillar bottom 131 of the crucible via pillar 130. In this embodiment, the material of the crystal back protective layer 150 is an organic polymer, and the dielectric lining 140 has different inorganic materials. The deposition thickness of the crystal back protective layer 150 may not be greater than the micro-protrusion height of the crucible-perforated pillars 130. The crystal back protective layer 150 is deposited only on the semiconductor material layer 113 and is not deposited on the dielectric liner 140. One of the above selective deposition methods is a wet process electrografting technology. A crystalline back protective layer 150 is not deposited on the dielectric liner 140.

之後,如第1E圖所示,選擇性蝕刻該介電襯裡140之該底端部位141,而不蝕刻該晶背保護層150,以顯露該矽穿孔導柱130之柱底131。上述選擇性蝕刻步驟係為只蝕刻該介電襯裡140但不蝕刻該晶背保護層150與 該矽穿孔導柱130之乾蝕刻或濕蝕刻方式,以乾蝕刻製程為例可採用感應式耦合電漿系統(ICP system,Inductively Coupled plasma system),處理室內通入四氟化硫(CF4)作為電漿反應氣體,亦可更包含有氧氣、八氟環丁烷(C4F8)、氬氣(Ar)等,處理室頂部電源可在300~3000W,處理室底部電源可在0~2000W;以濕蝕刻製程為例,可選用1:20或其他比例混合之氟化氫(HF)比稀釋劑之混合液以蝕刻該介電襯裡140,故選擇性蝕刻時不會蝕刻到該晶背保護層150。較佳地,上述選擇性蝕刻該介電襯裡140之步驟中,該介電襯裡140在該矽穿孔導柱130之柱壁與該晶背保護層150之間係可形成為一凹陷缺口環142。故在顯露該矽穿孔導柱130之步驟中,能以選擇性蝕刻該介電襯裡140之方式取代習知的CMP表面平坦化製程,不會磨耗到該晶背保護層150與該矽穿孔導柱130,該步驟產生之銅污染與製程成本可大幅降低。 Thereafter, as shown in FIG. 1E, the bottom end portion 141 of the dielectric liner 140 is selectively etched without etching the back protective layer 150 to expose the pillar bottom 131 of the crucible-perforated pillar 130. The selective etching step is to etch only the dielectric liner 140 but not to etch the crystal back protective layer 150. The dry etching or wet etching method of the crucible-perforated pillar 130 can be performed by using an inductively coupled plasma system (ICP system) as an example of a dry etching process, and sulfur tetrafluoride (CF4) is introduced into the processing chamber. The plasma reaction gas may further contain oxygen, octafluorocyclobutane (C4F8), argon (Ar), etc., the power supply at the top of the processing chamber may be 300~3000W, and the power supply at the bottom of the processing chamber may be 0~2000W; For example, the etching process may be performed by etching a mixture of hydrogen fluoride (HF) and a diluent in a ratio of 1:20 or other ratios to etch the dielectric liner 140, so that the back protective layer 150 is not etched during selective etching. Preferably, in the step of selectively etching the dielectric liner 140, the dielectric liner 140 may be formed as a concave notch ring 142 between the pillar wall of the crucible-perforated pillar 130 and the crystal back protective layer 150. . Therefore, in the step of exposing the crucible-perforated pillar 130, the conventional CMP surface planarization process can be replaced by selectively etching the dielectric liner 140 without consuming the crystal back protective layer 150 and the crucible perforation guide. Column 130, the copper contamination and process costs incurred in this step can be significantly reduced.

之後,如第1F圖所示,可利用物理氣相沉積方式形成一凸塊下金屬層160於該晶背保護層150上,該凸塊下金屬層160係連接至該矽穿孔導柱130之柱底131。在本步驟中,該凸塊下金屬層160係可包含有一阻障層161與一電鍍種子層162,該阻障層161之材質係可為鈦(Ti)、鎳(Ni)、氮化鈦(TiN)或鉭(Ta)等,以防止金屬擴散;該電鍍種子層162係具有高導電性,例如銅、鋁、金或其合金或是多層之組合。並且,在形成該凸塊下金屬層160之步驟中,該凸塊下金屬層160係較佳可填入至該凹陷缺口環142,藉以增加該凸塊下金屬層160與該矽穿孔導柱130之金屬接合面積與接合形狀複雜度。此外,該凹陷缺口環142之深度係可不大於該晶背保護層150之厚度,例如小於5微米,可防止該凸塊下金屬層160接觸至該半導體材料層 113。 Then, as shown in FIG. 1F, a sub-bump metal layer 160 may be formed on the crystal back protective layer 150 by physical vapor deposition, and the under bump metal layer 160 is connected to the crucible via pillar 130. Column bottom 131. In this step, the under bump metal layer 160 may include a barrier layer 161 and a plating seed layer 162. The barrier layer 161 may be made of titanium (Ti), nickel (Ni), or titanium nitride. (TiN) or tantalum (Ta) or the like to prevent metal diffusion; the plating seed layer 162 has high conductivity, such as copper, aluminum, gold or an alloy thereof or a combination of layers. Moreover, in the step of forming the under bump metal layer 160, the under bump metal layer 160 is preferably filled into the recessed notch ring 142, thereby increasing the under bump metal layer 160 and the germanium via guide pillar. The metal joint area and joint shape complexity of 130. In addition, the depth of the recessed notch ring 142 may be no greater than the thickness of the crystal back protective layer 150, for example, less than 5 micrometers, to prevent the under bump metal layer 160 from contacting the semiconductor material layer. 113.

如第1G圖所示,可形成一光阻180於該凸塊下金屬層160上並曝光顯影出至少一個凸塊開口181,對準在該矽穿孔導柱130上。如第1H圖所示,在該凸塊下金屬層160之導通下並依照該凸塊開口181之形狀,可電鍍形成一凸塊170於該凸塊下金屬層160上,該凸塊170與該矽穿孔導柱130之間之介面係為朝向該凸塊170之中間凸起狀。而上述中間凸起狀係依據該矽穿孔導柱130之微突出高度而呈中空型態。在本實施例中,該凸塊170係可包含有一銅柱凸塊171與一銲料層172,可在同一電鍍製程以同一光阻製作而得。 As shown in FIG. 1G, a photoresist 180 may be formed on the under bump metal layer 160 and exposed to develop at least one bump opening 181 aligned on the via via pillar 130. As shown in FIG. 1H, under the conduction of the under bump metal layer 160 and in accordance with the shape of the bump opening 181, a bump 170 may be electroplated to form the under bump metal layer 160, the bump 170 and The interface between the turns of the guide posts 130 is convex toward the middle of the bumps 170. The intermediate convex shape is hollow in accordance with the micro-protrusion height of the crucible-perforated guide post 130. In this embodiment, the bump 170 can include a copper stud bump 171 and a solder layer 172, which can be fabricated by the same photoresist in the same electroplating process.

如第1I圖所示,以去光阻液清洗之方式移除該光阻180,以顯露該凸塊下金屬層160在該凸塊170外之其它部位。如第1J圖所示,蝕刻移除該凸塊下金屬層160在該凸塊170外之顯露部位。故依上述製程可製得如第1J圖所示之矽穿孔底部形成凸塊之結構。 As shown in FIG. 1I, the photoresist 180 is removed by photoresist removal to expose the under bump metal layer 160 at other portions of the bump 170. As shown in FIG. 1J, the exposed portion of the under bump metal layer 160 outside the bump 170 is removed by etching. Therefore, according to the above process, a structure in which a bump is formed at the bottom of the perforated hole as shown in FIG. 1J can be obtained.

因此,本發明提供之一種矽穿孔底部形成凸塊之製程與結構可以增加凸塊與矽穿孔導柱之間之介面接合面積與金屬對金屬之接合形狀複雜度,可簡單化半導體材料層之薄化技術與減少薄化厚度,在薄化以露出介電襯裡之步驟中能以一般蝕刻方式取代習知的DRIE製程,在顯露TSV導柱之步驟中,能以選擇性蝕刻介電襯裡之方式取代習知的CMP表面平坦化製程,以降低銅污染,並可降低晶背保護層與凸塊下金屬層之厚度,藉以達到矽穿孔底部形成凸塊之低成本且高品質之製作。 Therefore, the process and structure for forming a bump on the bottom of the perforated hole can increase the interface joint area between the bump and the perforated guide post and the metal-to-metal joint shape complexity, and can simplify the thin layer of the semiconductor material. The technique and the reduction of the thickness of the thinning can be replaced by a conventional DRIE process in a general etching manner in the step of thinning to expose the dielectric liner, and the dielectric lining can be selectively etched in the step of revealing the TSV pillar. It replaces the conventional CMP surface flattening process to reduce copper contamination and reduce the thickness of the crystal back protective layer and the under bump metal layer, thereby achieving the low cost and high quality fabrication of the bump forming bottom.

以上所述,僅是本發明的較佳實施例而已,並非對本發明作任何形式上的限制,雖然本發明已以較佳實施例揭露如上,然而並非用以限定本發明,任何熟悉本項 技術者,在不脫離本發明之技術範圍內,所作的任何簡單修改、等效性變化與修飾,均仍屬於本發明的技術範圍內。 The above is only a preferred embodiment of the present invention, and is not intended to limit the scope of the present invention. Although the present invention has been disclosed in the above preferred embodiments, it is not intended to limit the present invention. It is still within the technical scope of the present invention to make any simple modifications, equivalent changes and modifications made by the skilled artisan without departing from the technical scope of the present invention.

110‧‧‧基板 110‧‧‧Substrate

111‧‧‧第一表面 111‧‧‧ first surface

112‧‧‧第二表面 112‧‧‧ second surface

113‧‧‧半導體材料層 113‧‧‧Semiconductor material layer

114‧‧‧後端製程線路層 114‧‧‧Back-end process circuit layer

120‧‧‧晶圓承載系統 120‧‧‧ Wafer Carrying System

121‧‧‧暫時黏著層 121‧‧‧ Temporary adhesive layer

130‧‧‧矽穿孔導柱 130‧‧‧矽Perforated guide post

131‧‧‧柱底 131‧‧‧ bottom

140‧‧‧介電襯裡 140‧‧‧ dielectric lining

142‧‧‧凹陷缺口環 142‧‧‧ Sag gap ring

150‧‧‧晶背保護層 150‧‧‧ Crystal back protective layer

160‧‧‧凸塊下金屬層 160‧‧‧ under bump metal layer

161‧‧‧阻障層 161‧‧‧Barrier layer

162‧‧‧電鍍種子層 162‧‧‧Electroplating seed layer

170‧‧‧凸塊 170‧‧‧Bumps

171‧‧‧銅柱凸塊 171‧‧‧ copper pillar bumps

172‧‧‧銲料層 172‧‧‧ solder layer

Claims (10)

一種矽穿孔底部形成凸塊之製程,包含:提供一基板,係具有一第一表面與一第二表面,該基板之該第一表面係貼附於一晶圓承載系統,該基板之一半導體材料層內係嵌埋有至少一矽穿孔導柱,該矽穿孔導柱之柱壁與柱底係覆蓋有一介電襯裡;由該第二表面降低該半導體材料層之厚度,以顯露該介電襯裡覆蓋在該矽穿孔導柱之柱底之一底端部位;選擇性沉積一晶背保護層於該第二表面上,該晶背保護層係不形成於該矽穿孔導柱之柱底上;選擇性蝕刻該介電襯裡之該底端部位,而不蝕刻該晶背保護層,以顯露該矽穿孔導柱之柱底;形成一凸塊下金屬層於該晶背保護層上,該凸塊下金屬層係連接至該矽穿孔導柱之柱底;以及形成一凸塊於該凸塊下金屬層上,該凸塊與該矽穿孔導柱之間之介面係為朝向該凸塊之中間凸起狀。 A process for forming a bump on a bottom of a perforated hole, comprising: providing a substrate having a first surface and a second surface, the first surface of the substrate being attached to a wafer carrying system, the semiconductor of the substrate At least one perforated guide post is embedded in the material layer, and the column wall and the column bottom of the crucible perforation guide post are covered with a dielectric lining; the thickness of the semiconductor material layer is lowered by the second surface to reveal the dielectric The lining covers a bottom end portion of the bottom of the column of the crucible perforated guide post; selectively depositing a back protective layer on the second surface, the back protective layer is not formed on the bottom of the crucible perforated guide post Selectively etching the bottom end portion of the dielectric liner without etching the crystal back protective layer to expose the bottom of the pillar of the crucible-perforated pillar; forming a sub-bump metal layer on the crystal back protective layer, An under bump metal layer is connected to the bottom of the crucible via post; and a bump is formed on the under bump metal layer, and an interface between the bump and the crucible via post is toward the bump The middle is convex. 依據申請專利範圍第1項之矽穿孔底部形成凸塊之製程,其中上述選擇性蝕刻該介電襯裡之步驟中,該介電襯裡在該矽穿孔導柱之柱壁與該晶背保護層之間係形成為一凹陷缺口環,並且在形成該凸塊下金屬層之步驟中,該凸塊下金屬層係填入至該凹陷缺口環。 a process for forming a bump in a bottom of a perforated hole according to the first aspect of the patent application, wherein in the step of selectively etching the dielectric liner, the dielectric liner is on a column wall of the crucible-perforated guide post and the crystal back protective layer The interlayer is formed as a depressed notch ring, and in the step of forming the underlying metal layer of the bump, the under bump metal layer is filled into the depressed notch ring. 依據申請專利範圍第2項之矽穿孔底部形成凸塊之製程,其中該凹陷缺口環之深度係不大於該晶背保護層之厚度。 According to the second aspect of the patent application, the process of forming a bump at the bottom of the perforation is performed, wherein the depth of the recessed notch is not greater than the thickness of the back protective layer. 依據申請專利範圍第1項之矽穿孔底部形成凸塊之製程,其中上述降低該半導體材料層之厚度係包含一晶背研磨步驟與一選擇性蝕刻步驟,該矽穿孔導柱之柱底係微突出於該第二表面。 The method for forming a bump at the bottom of the perforated hole according to the first aspect of the patent application, wherein the reducing the thickness of the semiconductor material layer comprises a crystal back grinding step and a selective etching step, wherein the column bottom of the crucible perforating column is micro Projecting on the second surface. 依據申請專利範圍第1項之矽穿孔底部形成凸塊之製程,其中該晶背保護層之材質係為有機聚合物,該介電襯裡之材質係為無機氮化物或無機氧化物。 According to the first aspect of the patent application, the process of forming a bump at the bottom of the perforated layer is made of an organic polymer, and the material of the dielectric liner is an inorganic nitride or an inorganic oxide. 依據申請專利範圍第1項之矽穿孔底部形成凸塊之製程,其中該凸塊下金屬層係包含有一阻障層與一電鍍種子層。 According to the first aspect of the patent application, the process of forming a bump at the bottom of the perforation is provided, wherein the under bump metal layer comprises a barrier layer and a plating seed layer. 依據申請專利範圍第1項之矽穿孔底部形成凸塊之製程,其中該凸塊係包含有一銅柱凸塊與一銲料層。 According to the first aspect of the patent application, the process of forming a bump at the bottom of the perforated hole includes a copper stud bump and a solder layer. 一種矽穿孔底部形成凸塊之結構,包含:一基板,係具有一第一表面與一第二表面,該基板之該第一表面係貼附於一晶圓承載系統,該基板之一半導體材料層內係嵌埋有至少一矽穿孔導柱,該矽穿孔導柱之柱壁係覆蓋有一介電襯裡;一晶背保護層,係選擇性沉積於該第二表面上,該晶背保護層係不形成於該矽穿孔導柱之柱底上,其中該矽穿孔導柱之柱底係微突出地顯露於該第二表面;一凸塊下金屬層,係形成於該晶背保護層上,該凸塊下金屬層係連接至該矽穿孔導柱之柱底;以及一凸塊,係形成於該凸塊下金屬層上,該凸塊與該矽穿孔導柱之間之介面係為朝向該凸塊之中間凸起狀。 A structure for forming a bump on a bottom of a perforated hole, comprising: a substrate having a first surface and a second surface, the first surface of the substrate being attached to a wafer carrying system, the semiconductor material of the substrate The inner layer is embedded with at least one perforated guide post, the column wall of the crucible perforated guide post is covered with a dielectric lining; and a crystal back protective layer is selectively deposited on the second surface, the crystal back protective layer The bottom of the column of the crucible-perforated guide post is not slightly formed on the second surface; a sub-bump metal layer is formed on the crystal back protective layer. The under bump metal layer is connected to the pillar bottom of the crucible perforation pillar; and a bump is formed on the under bump metal layer, and the interface between the bump and the crucible perforation pillar is It is convex toward the middle of the bump. 依據申請專利範圍第8項之矽穿孔底部形成凸塊之結構,其中該介電襯裡在該矽穿孔導柱之柱壁與該晶背保護層之間係形成為一凹陷缺口環,並且該凸塊下金屬層係填入至該凹陷缺口環。 a structure in which a bump is formed at the bottom of the perforation according to the eighth aspect of the patent application, wherein the dielectric liner is formed as a concave notch ring between the pillar wall of the crucible perforation pillar and the crystal back protective layer, and the convex The under-metal layer is filled into the recessed notch ring. 依據申請專利範圍第8項之矽穿孔底部形成凸塊之結構,其中該晶背保護層之材質係為有機聚合物,該介電襯裡之材質係為無機氮化物或無機氧化物。 According to the eighth aspect of the patent application, the structure of the bottom of the perforated hole is formed by a bump, wherein the material of the back protective layer is an organic polymer, and the material of the dielectric liner is an inorganic nitride or an inorganic oxide.
TW102119649A 2013-06-03 2013-06-03 Forming process and structure of bump on tsv bottom TWI514488B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102119649A TWI514488B (en) 2013-06-03 2013-06-03 Forming process and structure of bump on tsv bottom

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102119649A TWI514488B (en) 2013-06-03 2013-06-03 Forming process and structure of bump on tsv bottom

Publications (2)

Publication Number Publication Date
TW201448068A true TW201448068A (en) 2014-12-16
TWI514488B TWI514488B (en) 2015-12-21

Family

ID=52707590

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102119649A TWI514488B (en) 2013-06-03 2013-06-03 Forming process and structure of bump on tsv bottom

Country Status (1)

Country Link
TW (1) TWI514488B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9922845B1 (en) 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
CN112086419A (en) * 2019-06-13 2020-12-15 南亚科技股份有限公司 Semiconductor structure and preparation method thereof

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9922845B1 (en) 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
TWI628762B (en) * 2016-11-03 2018-07-01 美光科技公司 Semiconductor package and fabrication method thereof
CN112086419A (en) * 2019-06-13 2020-12-15 南亚科技股份有限公司 Semiconductor structure and preparation method thereof
TWI741331B (en) * 2019-06-13 2021-10-01 南亞科技股份有限公司 Semiconductor structure and method of manufacturing the same
US11183443B2 (en) 2019-06-13 2021-11-23 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same
CN112086419B (en) * 2019-06-13 2022-09-16 南亚科技股份有限公司 Semiconductor structure and preparation method thereof
US11721610B2 (en) 2019-06-13 2023-08-08 Nanya Technology Corporation Method for manufacturing semiconductor structure same

Also Published As

Publication number Publication date
TWI514488B (en) 2015-12-21

Similar Documents

Publication Publication Date Title
TWI420590B (en) Integrated circuit structure and method of manufacturing the same
US9087878B2 (en) Device with through-silicon via (TSV) and method of forming the same
JP4439976B2 (en) Semiconductor device and manufacturing method thereof
US8390125B2 (en) Through-silicon via formed with a post passivation interconnect structure
JP5201048B2 (en) Semiconductor device and manufacturing method thereof
US9257336B2 (en) Bottom-up plating of through-substrate vias
US8431431B2 (en) Structures with through vias passing through a substrate comprising a planar insulating layer between semiconductor layers
EP2826066B1 (en) Semiconductor devices with close-packed via structures having in-plane routing and method of making same
US9355895B2 (en) Method of providing a via hole and routing structure
SE537874C2 (en) CTE-adapted interposer and method of manufacturing one
US20150048496A1 (en) Fabrication process and structure to form bumps aligned on tsv on chip backside
CN104952789A (en) Manufacturing method of adapter plate comprising high-aspect-ratio TSV (through silicon vias)
CN111968953A (en) Through silicon via structure and preparation method thereof
US8535977B2 (en) Semiconductor device manufacturing method
TWI514488B (en) Forming process and structure of bump on tsv bottom
Dixit et al. Via technologies for MEMS
Ranganathan et al. Integration of high aspect ratio tapered silicon via for silicon carrier fabrication
CN111834313B (en) Active chip high-density TSV structure and manufacturing method
KR101392133B1 (en) Carrier Substrate Including Regions Having Different Wettablities and Method for Processing Device Substrate Using the Same
TWI518859B (en) Chip structure having pillar bump embedded in tsv
Dixit et al. Through-substrate vias based three-dimensional interconnection technology
JP2013058672A (en) Method for manufacturing semiconductor device
TW201330208A (en) Method of manufacturing semiconductor device having silicon through via